From 026eb658612dd3cb6d17261f991759b49b76a275 Mon Sep 17 00:00:00 2001 From: "jose.rodriguezlabra" Date: Wed, 13 Mar 2019 11:14:52 -0400 Subject: [PATCH] Fixed bugs, finished BEQ, Added Halt --- lab2CA.cache/wt/webtalk_pa.xml | 74 ++-- lab2CA.runs/.jobs/vrs_config_24.xml | 8 + lab2CA.runs/.jobs/vrs_config_25.xml | 8 + lab2CA.runs/.jobs/vrs_config_26.xml | 11 + lab2CA.runs/.jobs/vrs_config_27.xml | 11 + lab2CA.runs/.jobs/vrs_config_28.xml | 11 + lab2CA.runs/.jobs/vrs_config_29.xml | 11 + lab2CA.runs/.jobs/vrs_config_30.xml | 11 + .../impl_1/{FetchUnit.tcl => CPU9bits.tcl} | 44 +-- .../impl_1/{FetchUnit.vdi => CPU9bits.vdi} | 321 +++++++---------- ..._routed.pb => CPU9bits_bus_skew_routed.pb} | Bin ...outed.rpt => CPU9bits_bus_skew_routed.rpt} | 12 +- ... => CPU9bits_clock_utilization_routed.rpt} | 85 +---- ...d.rpt => CPU9bits_control_sets_placed.rpt} | 28 +- ...nit_drc_opted.pb => CPU9bits_drc_opted.pb} | Bin ..._drc_routed.rpt => CPU9bits_drc_opted.rpt} | 18 +- ...t_drc_routed.pb => CPU9bits_drc_routed.pb} | Bin ..._drc_opted.rpt => CPU9bits_drc_routed.rpt} | 14 +- ...t_io_placed.rpt => CPU9bits_io_placed.rpt} | 52 +-- .../impl_1/CPU9bits_methodology_drc_routed.pb | Bin 0 -> 52 bytes .../CPU9bits_methodology_drc_routed.rpt | 34 ++ lab2CA.runs/impl_1/CPU9bits_opt.dcp | Bin 0 -> 5942 bytes lab2CA.runs/impl_1/CPU9bits_placed.dcp | Bin 0 -> 116633 bytes lab2CA.runs/impl_1/CPU9bits_power_routed.rpt | 138 +++++++ .../impl_1/CPU9bits_power_summary_routed.pb | Bin 0 -> 707 bytes lab2CA.runs/impl_1/CPU9bits_route_status.pb | Bin 0 -> 43 bytes lab2CA.runs/impl_1/CPU9bits_route_status.rpt | 11 + lab2CA.runs/impl_1/CPU9bits_routed.dcp | Bin 0 -> 119286 bytes ...d.pb => CPU9bits_timing_summary_routed.pb} | 0 ...rpt => CPU9bits_timing_summary_routed.rpt} | 20 +- .../impl_1/CPU9bits_utilization_placed.pb | Bin 0 -> 289 bytes ...ed.rpt => CPU9bits_utilization_placed.rpt} | 54 +-- .../FetchUnit_methodology_drc_routed.pb | Bin 52 -> 0 bytes .../FetchUnit_methodology_drc_routed.rpt | 80 ---- lab2CA.runs/impl_1/FetchUnit_opt.dcp | Bin 14290 -> 0 bytes lab2CA.runs/impl_1/FetchUnit_placed.dcp | Bin 131977 -> 0 bytes lab2CA.runs/impl_1/FetchUnit_power_routed.rpt | 145 -------- .../impl_1/FetchUnit_power_summary_routed.pb | Bin 722 -> 0 bytes lab2CA.runs/impl_1/FetchUnit_route_status.pb | Bin 43 -> 0 bytes lab2CA.runs/impl_1/FetchUnit_route_status.rpt | 11 - lab2CA.runs/impl_1/FetchUnit_routed.dcp | Bin 137572 -> 0 bytes .../impl_1/FetchUnit_utilization_placed.pb | Bin 289 -> 0 bytes lab2CA.runs/impl_1/gen_run.xml | 125 +++++-- lab2CA.runs/impl_1/htr.txt | 2 +- lab2CA.runs/impl_1/init_design.pb | Bin 1623 -> 1622 bytes lab2CA.runs/impl_1/opt_design.pb | Bin 10568 -> 10572 bytes lab2CA.runs/impl_1/place_design.pb | Bin 11935 -> 6968 bytes lab2CA.runs/impl_1/route_design.pb | Bin 12048 -> 12013 bytes lab2CA.runs/impl_1/vivado.jou | 14 +- lab2CA.runs/impl_1/vivado.pb | Bin 149 -> 149 bytes lab2CA.runs/synth_1/CPU9bits.dcp | Bin 0 -> 5580 bytes .../synth_1/{FetchUnit.tcl => CPU9bits.tcl} | 22 +- lab2CA.runs/synth_1/CPU9bits.vds | 341 ++++++++++++++++++ .../synth_1/CPU9bits_utilization_synth.pb | Bin 0 -> 289 bytes ...nth.rpt => CPU9bits_utilization_synth.rpt} | 37 +- lab2CA.runs/synth_1/FetchUnit.dcp | Bin 13917 -> 0 bytes lab2CA.runs/synth_1/FetchUnit.vds | 261 -------------- .../synth_1/FetchUnit_utilization_synth.pb | Bin 289 -> 0 bytes lab2CA.runs/synth_1/gen_run.xml | 43 ++- lab2CA.runs/synth_1/htr.txt | 2 +- lab2CA.runs/synth_1/vivado.jou | 14 +- lab2CA.runs/synth_1/vivado.pb | Bin 27432 -> 44563 bytes lab2CA.srcs/sources_1/new/ALU.v | 9 +- lab2CA.srcs/sources_1/new/BasicModules.v | 29 +- lab2CA.srcs/sources_1/new/CPU9bits.v | 29 +- lab2CA.srcs/sources_1/new/ControlUnit.v | 35 +- lab2CA.srcs/sources_1/new/FetchUnit.v | 4 +- lab2CA.srcs/sources_1/new/RegFile.v | 2 +- lab2CA.xpr | 2 +- 69 files changed, 1145 insertions(+), 1038 deletions(-) create mode 100644 lab2CA.runs/.jobs/vrs_config_24.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_25.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_26.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_27.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_28.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_29.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_30.xml rename lab2CA.runs/impl_1/{FetchUnit.tcl => CPU9bits.tcl} (64%) rename lab2CA.runs/impl_1/{FetchUnit.vdi => CPU9bits.vdi} (50%) rename lab2CA.runs/impl_1/{FetchUnit_bus_skew_routed.pb => CPU9bits_bus_skew_routed.pb} (100%) rename lab2CA.runs/impl_1/{FetchUnit_bus_skew_routed.rpt => CPU9bits_bus_skew_routed.rpt} (60%) rename lab2CA.runs/impl_1/{FetchUnit_clock_utilization_routed.rpt => CPU9bits_clock_utilization_routed.rpt} (51%) rename lab2CA.runs/impl_1/{FetchUnit_control_sets_placed.rpt => CPU9bits_control_sets_placed.rpt} (71%) rename lab2CA.runs/impl_1/{FetchUnit_drc_opted.pb => CPU9bits_drc_opted.pb} (100%) rename lab2CA.runs/impl_1/{FetchUnit_drc_routed.rpt => CPU9bits_drc_opted.rpt} (51%) rename lab2CA.runs/impl_1/{FetchUnit_drc_routed.pb => CPU9bits_drc_routed.pb} (100%) rename lab2CA.runs/impl_1/{FetchUnit_drc_opted.rpt => CPU9bits_drc_routed.rpt} (54%) rename lab2CA.runs/impl_1/{FetchUnit_io_placed.rpt => CPU9bits_io_placed.rpt} (96%) create mode 100644 lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.pb create mode 100644 lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.rpt create mode 100644 lab2CA.runs/impl_1/CPU9bits_opt.dcp create mode 100644 lab2CA.runs/impl_1/CPU9bits_placed.dcp create mode 100644 lab2CA.runs/impl_1/CPU9bits_power_routed.rpt create mode 100644 lab2CA.runs/impl_1/CPU9bits_power_summary_routed.pb create mode 100644 lab2CA.runs/impl_1/CPU9bits_route_status.pb create mode 100644 lab2CA.runs/impl_1/CPU9bits_route_status.rpt create mode 100644 lab2CA.runs/impl_1/CPU9bits_routed.dcp rename lab2CA.runs/impl_1/{FetchUnit_timing_summary_routed.pb => CPU9bits_timing_summary_routed.pb} (100%) rename lab2CA.runs/impl_1/{FetchUnit_timing_summary_routed.rpt => CPU9bits_timing_summary_routed.rpt} (89%) create mode 100644 lab2CA.runs/impl_1/CPU9bits_utilization_placed.pb rename lab2CA.runs/impl_1/{FetchUnit_utilization_placed.rpt => CPU9bits_utilization_placed.rpt} (77%) delete mode 100644 lab2CA.runs/impl_1/FetchUnit_methodology_drc_routed.pb delete mode 100644 lab2CA.runs/impl_1/FetchUnit_methodology_drc_routed.rpt delete mode 100644 lab2CA.runs/impl_1/FetchUnit_opt.dcp delete mode 100644 lab2CA.runs/impl_1/FetchUnit_placed.dcp delete mode 100644 lab2CA.runs/impl_1/FetchUnit_power_routed.rpt delete mode 100644 lab2CA.runs/impl_1/FetchUnit_power_summary_routed.pb delete mode 100644 lab2CA.runs/impl_1/FetchUnit_route_status.pb delete mode 100644 lab2CA.runs/impl_1/FetchUnit_route_status.rpt delete mode 100644 lab2CA.runs/impl_1/FetchUnit_routed.dcp delete mode 100644 lab2CA.runs/impl_1/FetchUnit_utilization_placed.pb create mode 100644 lab2CA.runs/synth_1/CPU9bits.dcp rename lab2CA.runs/synth_1/{FetchUnit.tcl => CPU9bits.tcl} (63%) create mode 100644 lab2CA.runs/synth_1/CPU9bits.vds create mode 100644 lab2CA.runs/synth_1/CPU9bits_utilization_synth.pb rename lab2CA.runs/synth_1/{FetchUnit_utilization_synth.rpt => CPU9bits_utilization_synth.rpt} (83%) delete mode 100644 lab2CA.runs/synth_1/FetchUnit.dcp delete mode 100644 lab2CA.runs/synth_1/FetchUnit.vds delete mode 100644 lab2CA.runs/synth_1/FetchUnit_utilization_synth.pb diff --git a/lab2CA.cache/wt/webtalk_pa.xml b/lab2CA.cache/wt/webtalk_pa.xml index 1719a79..1764233 100644 --- a/lab2CA.cache/wt/webtalk_pa.xml +++ b/lab2CA.cache/wt/webtalk_pa.xml @@ -3,10 +3,10 @@ - +
- +
@@ -21,53 +21,67 @@ This means code written to parse this file will need to be revisited each subseq - - - + + + + + - + + - + + - - - - + + + + + + - + - + - - + + - + - + + + - + + + + + + + @@ -79,35 +93,37 @@ This means code written to parse this file will need to be revisited each subseq + - + - + - + + + - + - + + + - + + + - - - - -
diff --git a/lab2CA.runs/.jobs/vrs_config_24.xml b/lab2CA.runs/.jobs/vrs_config_24.xml new file mode 100644 index 0000000..99b94d7 --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_24.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_25.xml b/lab2CA.runs/.jobs/vrs_config_25.xml new file mode 100644 index 0000000..99b94d7 --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_25.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_26.xml b/lab2CA.runs/.jobs/vrs_config_26.xml new file mode 100644 index 0000000..955267b --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_26.xml @@ -0,0 +1,11 @@ + + + + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_27.xml b/lab2CA.runs/.jobs/vrs_config_27.xml new file mode 100644 index 0000000..955267b --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_27.xml @@ -0,0 +1,11 @@ + + + + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_28.xml b/lab2CA.runs/.jobs/vrs_config_28.xml new file mode 100644 index 0000000..955267b --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_28.xml @@ -0,0 +1,11 @@ + + + + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_29.xml b/lab2CA.runs/.jobs/vrs_config_29.xml new file mode 100644 index 0000000..955267b --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_29.xml @@ -0,0 +1,11 @@ + + + + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_30.xml b/lab2CA.runs/.jobs/vrs_config_30.xml new file mode 100644 index 0000000..955267b --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_30.xml @@ -0,0 +1,11 @@ + + + + + + + + + + + diff --git a/lab2CA.runs/impl_1/FetchUnit.tcl b/lab2CA.runs/impl_1/CPU9bits.tcl similarity index 64% rename from lab2CA.runs/impl_1/FetchUnit.tcl rename to lab2CA.runs/impl_1/CPU9bits.tcl index 567371b..1429327 100644 --- a/lab2CA.runs/impl_1/FetchUnit.tcl +++ b/lab2CA.runs/impl_1/CPU9bits.tcl @@ -60,6 +60,8 @@ proc step_failed { step } { close $ch } +set_msg_config -id {Synth 8-256} -limit 10000 +set_msg_config -id {Synth 8-638} -limit 10000 start_step init_design set ACTIVE_STEP init_design @@ -68,12 +70,12 @@ set rc [catch { create_project -in_memory -part xc7k160tifbg484-2L set_property design_mode GateLvl [current_fileset] set_param project.singleFileAddWarning.threshold 0 - set_property webtalk.parent_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.cache/wt [current_project] - set_property parent.project_path C:/Users/ecelab/ECE3570-Lab/lab2CA.xpr [current_project] - set_property ip_output_repo C:/Users/ecelab/ECE3570-Lab/lab2CA.cache/ip [current_project] + set_property webtalk.parent_dir {C:/Users/JoseIgnacio/CA Lab/lab2CA.cache/wt} [current_project] + set_property parent.project_path {C:/Users/JoseIgnacio/CA Lab/lab2CA.xpr} [current_project] + set_property ip_output_repo {{C:/Users/JoseIgnacio/CA Lab/lab2CA.cache/ip}} [current_project] set_property ip_cache_permissions {read write} [current_project] - add_files -quiet C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1/FetchUnit.dcp - link_design -top FetchUnit -part xc7k160tifbg484-2L + add_files -quiet {{C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1/CPU9bits.dcp}} + link_design -top CPU9bits -part xc7k160tifbg484-2L close_msg_db -file init_design.pb } RESULT] if {$rc} { @@ -89,8 +91,8 @@ set ACTIVE_STEP opt_design set rc [catch { create_msg_db opt_design.pb opt_design - write_checkpoint -force FetchUnit_opt.dcp - create_report "impl_1_opt_report_drc_0" "report_drc -file FetchUnit_drc_opted.rpt -pb FetchUnit_drc_opted.pb -rpx FetchUnit_drc_opted.rpx" + write_checkpoint -force CPU9bits_opt.dcp + create_report "impl_1_opt_report_drc_0" "report_drc -file CPU9bits_drc_opted.rpt -pb CPU9bits_drc_opted.pb -rpx CPU9bits_drc_opted.rpx" close_msg_db -file opt_design.pb } RESULT] if {$rc} { @@ -109,10 +111,10 @@ set rc [catch { implement_debug_core } place_design - write_checkpoint -force FetchUnit_placed.dcp - create_report "impl_1_place_report_io_0" "report_io -file FetchUnit_io_placed.rpt" - create_report "impl_1_place_report_utilization_0" "report_utilization -file FetchUnit_utilization_placed.rpt -pb FetchUnit_utilization_placed.pb" - create_report "impl_1_place_report_control_sets_0" "report_control_sets -verbose -file FetchUnit_control_sets_placed.rpt" + write_checkpoint -force CPU9bits_placed.dcp + create_report "impl_1_place_report_io_0" "report_io -file CPU9bits_io_placed.rpt" + create_report "impl_1_place_report_utilization_0" "report_utilization -file CPU9bits_utilization_placed.rpt -pb CPU9bits_utilization_placed.pb" + create_report "impl_1_place_report_control_sets_0" "report_control_sets -verbose -file CPU9bits_control_sets_placed.rpt" close_msg_db -file place_design.pb } RESULT] if {$rc} { @@ -128,19 +130,19 @@ set ACTIVE_STEP route_design set rc [catch { create_msg_db route_design.pb route_design - write_checkpoint -force FetchUnit_routed.dcp - create_report "impl_1_route_report_drc_0" "report_drc -file FetchUnit_drc_routed.rpt -pb FetchUnit_drc_routed.pb -rpx FetchUnit_drc_routed.rpx" - create_report "impl_1_route_report_methodology_0" "report_methodology -file FetchUnit_methodology_drc_routed.rpt -pb FetchUnit_methodology_drc_routed.pb -rpx FetchUnit_methodology_drc_routed.rpx" - create_report "impl_1_route_report_power_0" "report_power -file FetchUnit_power_routed.rpt -pb FetchUnit_power_summary_routed.pb -rpx FetchUnit_power_routed.rpx" - create_report "impl_1_route_report_route_status_0" "report_route_status -file FetchUnit_route_status.rpt -pb FetchUnit_route_status.pb" - create_report "impl_1_route_report_timing_summary_0" "report_timing_summary -max_paths 10 -file FetchUnit_timing_summary_routed.rpt -pb FetchUnit_timing_summary_routed.pb -rpx FetchUnit_timing_summary_routed.rpx -warn_on_violation " - create_report "impl_1_route_report_incremental_reuse_0" "report_incremental_reuse -file FetchUnit_incremental_reuse_routed.rpt" - create_report "impl_1_route_report_clock_utilization_0" "report_clock_utilization -file FetchUnit_clock_utilization_routed.rpt" - create_report "impl_1_route_report_bus_skew_0" "report_bus_skew -warn_on_violation -file FetchUnit_bus_skew_routed.rpt -pb FetchUnit_bus_skew_routed.pb -rpx FetchUnit_bus_skew_routed.rpx" + write_checkpoint -force CPU9bits_routed.dcp + create_report "impl_1_route_report_drc_0" "report_drc -file CPU9bits_drc_routed.rpt -pb CPU9bits_drc_routed.pb -rpx CPU9bits_drc_routed.rpx" + create_report "impl_1_route_report_methodology_0" "report_methodology -file CPU9bits_methodology_drc_routed.rpt -pb CPU9bits_methodology_drc_routed.pb -rpx CPU9bits_methodology_drc_routed.rpx" + create_report "impl_1_route_report_power_0" "report_power -file CPU9bits_power_routed.rpt -pb CPU9bits_power_summary_routed.pb -rpx CPU9bits_power_routed.rpx" + create_report "impl_1_route_report_route_status_0" "report_route_status -file CPU9bits_route_status.rpt -pb CPU9bits_route_status.pb" + create_report "impl_1_route_report_timing_summary_0" "report_timing_summary -max_paths 10 -file CPU9bits_timing_summary_routed.rpt -pb CPU9bits_timing_summary_routed.pb -rpx CPU9bits_timing_summary_routed.rpx -warn_on_violation " + create_report "impl_1_route_report_incremental_reuse_0" "report_incremental_reuse -file CPU9bits_incremental_reuse_routed.rpt" + create_report "impl_1_route_report_clock_utilization_0" "report_clock_utilization -file CPU9bits_clock_utilization_routed.rpt" + create_report "impl_1_route_report_bus_skew_0" "report_bus_skew -warn_on_violation -file CPU9bits_bus_skew_routed.rpt -pb CPU9bits_bus_skew_routed.pb -rpx CPU9bits_bus_skew_routed.rpx" close_msg_db -file route_design.pb } RESULT] if {$rc} { - write_checkpoint -force FetchUnit_routed_error.dcp + write_checkpoint -force CPU9bits_routed_error.dcp step_failed route_design return -code error $RESULT } else { diff --git a/lab2CA.runs/impl_1/FetchUnit.vdi b/lab2CA.runs/impl_1/CPU9bits.vdi similarity index 50% rename from lab2CA.runs/impl_1/FetchUnit.vdi rename to lab2CA.runs/impl_1/CPU9bits.vdi index 91d5214..559f67a 100644 --- a/lab2CA.runs/impl_1/FetchUnit.vdi +++ b/lab2CA.runs/impl_1/CPU9bits.vdi @@ -2,27 +2,27 @@ # Vivado v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Wed Feb 20 11:36:21 2019 -# Process ID: 644 -# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1 -# Command line: vivado.exe -log FetchUnit.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source FetchUnit.tcl -notrace -# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/FetchUnit.vdi -# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1\vivado.jou +# Start of session at: Wed Mar 13 11:12:42 2019 +# Process ID: 11884 +# Current directory: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/impl_1 +# Command line: vivado.exe -log CPU9bits.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source CPU9bits.tcl -notrace +# Log file: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/impl_1/CPU9bits.vdi +# Journal file: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/impl_1\vivado.jou #----------------------------------------------------------- -source FetchUnit.tcl -notrace -Command: link_design -top FetchUnit -part xc7k160tifbg484-2L +source CPU9bits.tcl -notrace +Command: link_design -top CPU9bits -part xc7k160tifbg484-2L Design is defaulting to srcset: sources_1 Design is defaulting to constrset: constrs_1 INFO: [Project 1-479] Netlist was created with Vivado 2018.3 INFO: [Device 21-403] Loading part xc7k160tifbg484-2L INFO: [Project 1-570] Preparing netlist for logic optimization -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 577.652 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 583.273 ; gain = 0.000 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. 4 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. link_design completed successfully -link_design: Time (s): cpu = 00:00:06 ; elapsed = 00:00:16 . Memory (MB): peak = 583.164 ; gain = 318.402 +link_design: Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 588.785 ; gain = 334.348 Command: opt_design Attempting to get a license for feature 'Implementation' and/or device 'xc7k160ti' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160ti' @@ -33,53 +33,53 @@ INFO: [DRC 23-27] Running DRC with 2 threads INFO: [Project 1-461] DRC finished with 0 Errors INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.704 . Memory (MB): peak = 597.828 ; gain = 14.664 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.165 . Memory (MB): peak = 592.141 ; gain = 3.355 Starting Cache Timing Information Task INFO: [Timing 38-35] Done setting XDC timing constraints. -Ending Cache Timing Information Task | Checksum: 6a15e7bd +Ending Cache Timing Information Task | Checksum: 16212f689 -Time (s): cpu = 00:00:09 ; elapsed = 00:00:10 . Memory (MB): peak = 1157.887 ; gain = 560.059 +Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 1080.938 ; gain = 488.797 Starting Logic Optimization Task Phase 1 Retarget INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: 6a15e7bd +Phase 1 Retarget | Checksum: 16212f689 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.027 . Memory (MB): peak = 1254.445 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.032 . Memory (MB): peak = 1175.109 ; gain = 0.000 INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells Phase 2 Constant propagation INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: 6a15e7bd +Phase 2 Constant propagation | Checksum: 16212f689 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.028 . Memory (MB): peak = 1254.445 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.033 . Memory (MB): peak = 1175.109 ; gain = 0.000 INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells Phase 3 Sweep -Phase 3 Sweep | Checksum: 6a15e7bd +Phase 3 Sweep | Checksum: 16212f689 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.030 . Memory (MB): peak = 1254.445 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.035 . Memory (MB): peak = 1175.109 ; gain = 0.000 INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells Phase 4 BUFG optimization -Phase 4 BUFG optimization | Checksum: 6a15e7bd +Phase 4 BUFG optimization | Checksum: 16212f689 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.032 . Memory (MB): peak = 1254.445 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.037 . Memory (MB): peak = 1175.109 ; gain = 0.000 INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: 6a15e7bd +Phase 5 Shift Register Optimization | Checksum: 16212f689 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.072 . Memory (MB): peak = 1254.445 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.087 . Memory (MB): peak = 1175.109 ; gain = 0.000 INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: 6a15e7bd +Phase 6 Post Processing Netlist | Checksum: 16212f689 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.073 . Memory (MB): peak = 1254.445 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.087 . Memory (MB): peak = 1175.109 ; gain = 0.000 INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells Opt_design Change Summary ========================= @@ -100,42 +100,42 @@ Opt_design Change Summary Starting Connectivity Check Task -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1254.445 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: 6a15e7bd +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1175.109 ; gain = 0.000 +Ending Logic Optimization Task | Checksum: 16212f689 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.075 . Memory (MB): peak = 1254.445 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.090 . Memory (MB): peak = 1175.109 ; gain = 0.000 Starting Power Optimization Task INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: 6a15e7bd +Ending Power Optimization Task | Checksum: 16212f689 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.010 . Memory (MB): peak = 1254.445 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.009 . Memory (MB): peak = 1175.109 ; gain = 0.000 Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: 6a15e7bd +Ending Final Cleanup Task | Checksum: 16212f689 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1254.445 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1175.109 ; gain = 0.000 Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1254.445 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: 6a15e7bd +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1175.109 ; gain = 0.000 +Ending Netlist Obfuscation Task | Checksum: 16212f689 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1254.445 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1175.109 ; gain = 0.000 INFO: [Common 17-83] Releasing license: Implementation 20 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. opt_design completed successfully -opt_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 1254.445 ; gain = 671.281 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1254.445 ; gain = 0.000 +opt_design: Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 1175.109 ; gain = 586.324 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1175.109 ; gain = 0.000 WARNING: [Constraints 18-5210] No constraints selected for write. Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. -INFO: [Common 17-1381] The checkpoint 'C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/FetchUnit_opt.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file FetchUnit_drc_opted.rpt -pb FetchUnit_drc_opted.pb -rpx FetchUnit_drc_opted.rpx -Command: report_drc -file FetchUnit_drc_opted.rpt -pb FetchUnit_drc_opted.pb -rpx FetchUnit_drc_opted.rpx +INFO: [Common 17-1381] The checkpoint 'C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/impl_1/CPU9bits_opt.dcp' has been generated. +INFO: [runtcl-4] Executing : report_drc -file CPU9bits_drc_opted.rpt -pb CPU9bits_drc_opted.pb -rpx CPU9bits_drc_opted.rpx +Command: report_drc -file CPU9bits_drc_opted.rpt -pb CPU9bits_drc_opted.pb -rpx CPU9bits_drc_opted.rpx INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2018.3/data/ip'. INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/FetchUnit_drc_opted.rpt. +INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/impl_1/CPU9bits_drc_opted.rpt. report_drc completed successfully Command: place_design Attempting to get a license for feature 'Implementation' and/or device 'xc7k160ti' @@ -154,127 +154,57 @@ INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of Phase 1 Placer Initialization Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1254.445 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 2dee624c +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1195.590 ; gain = 0.000 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 9761e0e0 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.005 . Memory (MB): peak = 1254.445 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1254.445 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.006 . Memory (MB): peak = 1195.590 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1195.590 ; gain = 0.000 Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: f86a639b +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 19236f07e -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1260.988 ; gain = 6.543 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1204.129 ; gain = 8.539 Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 1b3769117 +Phase 1.3 Build Placer Netlist Model | Checksum: 1e494ed1a -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1260.988 ; gain = 6.543 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1204.129 ; gain = 8.539 Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 1b3769117 +Phase 1.4 Constrain Clocks/Macros | Checksum: 1e494ed1a -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1260.988 ; gain = 6.543 -Phase 1 Placer Initialization | Checksum: 1b3769117 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1204.129 ; gain = 8.539 +Phase 1 Placer Initialization | Checksum: 1e494ed1a -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1260.988 ; gain = 6.543 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1204.129 ; gain = 8.539 -Phase 2 Global Placement +Phase 2 Final Placement Cleanup +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1204.129 ; gain = 0.000 +Phase 2 Final Placement Cleanup | Checksum: 1e494ed1a -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 1b3769117 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1204.129 ; gain = 8.539 +INFO: [Place 30-281] No place-able instance is found; design doesn't contain any instance or all instances are placed +Ending Placer Task | Checksum: 19236f07e -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1262.563 ; gain = 8.117 -WARNING: [Place 46-29] place_design is not in timing mode. Skip physical synthesis in placer -Phase 2 Global Placement | Checksum: fdf1e15d - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1271.574 ; gain = 17.129 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: fdf1e15d - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1271.574 ; gain = 17.129 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 172140857 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1271.574 ; gain = 17.129 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 18b28c28e - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1271.574 ; gain = 17.129 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 18b28c28e - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1271.574 ; gain = 17.129 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 1814d396b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1281.449 ; gain = 27.004 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 1814d396b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1281.449 ; gain = 27.004 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: 1814d396b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1281.449 ; gain = 27.004 -Phase 3 Detail Placement | Checksum: 1814d396b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1281.449 ; gain = 27.004 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -Phase 4.1 Post Commit Optimization | Checksum: 1814d396b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1281.449 ; gain = 27.004 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 1814d396b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1281.449 ; gain = 27.004 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: 1814d396b - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1281.449 ; gain = 27.004 - -Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1281.449 ; gain = 0.000 -Phase 4.4 Final Placement Cleanup | Checksum: 20047366c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1281.449 ; gain = 27.004 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 20047366c - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1281.449 ; gain = 27.004 -Ending Placer Task | Checksum: 127ea04eb - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1281.449 ; gain = 27.004 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1204.129 ; gain = 8.539 INFO: [Common 17-83] Releasing license: Implementation -37 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. +38 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. place_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1281.449 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1204.129 ; gain = 0.000 WARNING: [Constraints 18-5210] No constraints selected for write. Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. Writing placer database... Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.099 . Memory (MB): peak = 1281.449 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/FetchUnit_placed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_io -file FetchUnit_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.058 . Memory (MB): peak = 1281.449 ; gain = 0.000 -INFO: [runtcl-4] Executing : report_utilization -file FetchUnit_utilization_placed.rpt -pb FetchUnit_utilization_placed.pb -INFO: [runtcl-4] Executing : report_control_sets -verbose -file FetchUnit_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1281.449 ; gain = 0.000 +Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.122 . Memory (MB): peak = 1204.129 ; gain = 0.000 +INFO: [Common 17-1381] The checkpoint 'C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/impl_1/CPU9bits_placed.dcp' has been generated. +INFO: [runtcl-4] Executing : report_io -file CPU9bits_io_placed.rpt +report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.086 . Memory (MB): peak = 1210.254 ; gain = 6.125 +INFO: [runtcl-4] Executing : report_utilization -file CPU9bits_utilization_placed.rpt -pb CPU9bits_utilization_placed.pb +INFO: [runtcl-4] Executing : report_control_sets -verbose -file CPU9bits_control_sets_placed.rpt +report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.007 . Memory (MB): peak = 1210.254 ; gain = 0.000 Command: route_design Attempting to get a license for feature 'Implementation' and/or device 'xc7k160ti' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160ti' @@ -286,68 +216,67 @@ INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more in Starting Routing Task INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs -Checksum: PlaceDB: 884dbbb5 ConstDB: 0 ShapeSum: 9f9c4936 RouteDB: 0 +Checksum: PlaceDB: fad50f9e ConstDB: 0 ShapeSum: 9761e0e0 RouteDB: 0 Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: c942aae2 +Phase 1 Build RT Design | Checksum: ae2d8a92 -Time (s): cpu = 00:00:27 ; elapsed = 00:00:22 . Memory (MB): peak = 1488.156 ; gain = 206.707 -Post Restoration Checksum: NetGraph: 96738515 NumContArr: 32cf25cd Constraints: 0 Timing: 0 +Time (s): cpu = 00:00:41 ; elapsed = 00:00:30 . Memory (MB): peak = 1436.336 ; gain = 223.395 +Post Restoration Checksum: NetGraph: 87f14705 NumContArr: 263c438d Constraints: 0 Timing: 0 Phase 2 Router Initialization INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode. Phase 2.1 Fix Topology Constraints -Phase 2.1 Fix Topology Constraints | Checksum: c942aae2 +Phase 2.1 Fix Topology Constraints | Checksum: ae2d8a92 -Time (s): cpu = 00:00:27 ; elapsed = 00:00:22 . Memory (MB): peak = 1492.688 ; gain = 211.238 +Time (s): cpu = 00:00:41 ; elapsed = 00:00:30 . Memory (MB): peak = 1440.074 ; gain = 227.133 Phase 2.2 Pre Route Cleanup -Phase 2.2 Pre Route Cleanup | Checksum: c942aae2 +Phase 2.2 Pre Route Cleanup | Checksum: ae2d8a92 -Time (s): cpu = 00:00:27 ; elapsed = 00:00:22 . Memory (MB): peak = 1492.688 ; gain = 211.238 +Time (s): cpu = 00:00:41 ; elapsed = 00:00:30 . Memory (MB): peak = 1440.074 ; gain = 227.133 Number of Nodes with overlaps = 0 -Phase 2 Router Initialization | Checksum: a27c41a8 +Phase 2 Router Initialization | Checksum: 6e1873f8 -Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.094 ; gain = 234.645 +Time (s): cpu = 00:00:41 ; elapsed = 00:00:31 . Memory (MB): peak = 1448.008 ; gain = 235.066 Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 15301da77 + Number of Nodes with overlaps = 0 +Phase 3 Initial Routing | Checksum: 6e1873f8 -Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.094 ; gain = 234.645 +Time (s): cpu = 00:00:42 ; elapsed = 00:00:31 . Memory (MB): peak = 1452.438 ; gain = 239.496 Phase 4 Rip-up And Reroute Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 1 - Number of Nodes with overlaps = 0 -Phase 4.1 Global Iteration 0 | Checksum: af31d432 +Phase 4.1 Global Iteration 0 | Checksum: 6e1873f8 -Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 1516.094 ; gain = 234.645 -Phase 4 Rip-up And Reroute | Checksum: af31d432 +Time (s): cpu = 00:00:42 ; elapsed = 00:00:31 . Memory (MB): peak = 1452.438 ; gain = 239.496 +Phase 4 Rip-up And Reroute | Checksum: 6e1873f8 -Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 1516.094 ; gain = 234.645 +Time (s): cpu = 00:00:42 ; elapsed = 00:00:31 . Memory (MB): peak = 1452.438 ; gain = 239.496 Phase 5 Delay and Skew Optimization -Phase 5 Delay and Skew Optimization | Checksum: af31d432 +Phase 5 Delay and Skew Optimization | Checksum: 6e1873f8 -Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 1516.094 ; gain = 234.645 +Time (s): cpu = 00:00:42 ; elapsed = 00:00:31 . Memory (MB): peak = 1452.438 ; gain = 239.496 Phase 6 Post Hold Fix Phase 6.1 Hold Fix Iter -Phase 6.1 Hold Fix Iter | Checksum: af31d432 +Phase 6.1 Hold Fix Iter | Checksum: 6e1873f8 -Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 1516.094 ; gain = 234.645 -Phase 6 Post Hold Fix | Checksum: af31d432 +Time (s): cpu = 00:00:42 ; elapsed = 00:00:31 . Memory (MB): peak = 1452.438 ; gain = 239.496 +Phase 6 Post Hold Fix | Checksum: 6e1873f8 -Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 1516.094 ; gain = 234.645 +Time (s): cpu = 00:00:42 ; elapsed = 00:00:31 . Memory (MB): peak = 1452.438 ; gain = 239.496 Phase 7 Route finalize Router Utilization Summary - Global Vertical Routing Utilization = 0.00297689 % - Global Horizontal Routing Utilization = 0.00221654 % + Global Vertical Routing Utilization = 0 % + Global Horizontal Routing Utilization = 0 % Routable Net Status* *Does not include unroutable nets such as driverless and loadless. Run report_route_status for detailed report. @@ -357,10 +286,10 @@ Router Utilization Summary Number of Node Overlaps = 0 Congestion Report -North Dir 1x1 Area, Max Cong = 2.7027%, No Congested Regions. -South Dir 1x1 Area, Max Cong = 25.2252%, No Congested Regions. -East Dir 1x1 Area, Max Cong = 8.82353%, No Congested Regions. -West Dir 1x1 Area, Max Cong = 16.1765%, No Congested Regions. +North Dir 1x1 Area, Max Cong = 0%, No Congested Regions. +South Dir 1x1 Area, Max Cong = 0%, No Congested Regions. +East Dir 1x1 Area, Max Cong = 0%, No Congested Regions. +West Dir 1x1 Area, Max Cong = 0%, No Congested Regions. ------------------------------ Reporting congestion hotspots @@ -382,70 +311,70 @@ Direction: West Congested clusters found at Level 0 Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Phase 7 Route finalize | Checksum: af31d432 +Phase 7 Route finalize | Checksum: 6e1873f8 -Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 1516.094 ; gain = 234.645 +Time (s): cpu = 00:00:42 ; elapsed = 00:00:31 . Memory (MB): peak = 1452.438 ; gain = 239.496 Phase 8 Verifying routed nets Verification completed successfully -Phase 8 Verifying routed nets | Checksum: af31d432 +Phase 8 Verifying routed nets | Checksum: 6e1873f8 -Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 1516.094 ; gain = 234.645 +Time (s): cpu = 00:00:42 ; elapsed = 00:00:31 . Memory (MB): peak = 1454.441 ; gain = 241.500 Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 4b1641fa +Phase 9 Depositing Routes | Checksum: 6e1873f8 -Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 1516.094 ; gain = 234.645 +Time (s): cpu = 00:00:42 ; elapsed = 00:00:31 . Memory (MB): peak = 1454.441 ; gain = 241.500 INFO: [Route 35-16] Router Completed Successfully -Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 1516.094 ; gain = 234.645 +Time (s): cpu = 00:00:42 ; elapsed = 00:00:31 . Memory (MB): peak = 1454.441 ; gain = 241.500 Routing Is Done. INFO: [Common 17-83] Releasing license: Implementation -49 Infos, 3 Warnings, 0 Critical Warnings and 0 Errors encountered. +50 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. route_design completed successfully -route_design: Time (s): cpu = 00:00:30 ; elapsed = 00:00:30 . Memory (MB): peak = 1516.094 ; gain = 234.645 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1516.094 ; gain = 0.000 +route_design: Time (s): cpu = 00:00:43 ; elapsed = 00:00:32 . Memory (MB): peak = 1454.441 ; gain = 244.188 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1454.441 ; gain = 0.000 WARNING: [Constraints 18-5210] No constraints selected for write. Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. Writing placer database... Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.044 . Memory (MB): peak = 1516.094 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/FetchUnit_routed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file FetchUnit_drc_routed.rpt -pb FetchUnit_drc_routed.pb -rpx FetchUnit_drc_routed.rpx -Command: report_drc -file FetchUnit_drc_routed.rpt -pb FetchUnit_drc_routed.pb -rpx FetchUnit_drc_routed.rpx +Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.050 . Memory (MB): peak = 1454.441 ; gain = 0.000 +INFO: [Common 17-1381] The checkpoint 'C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/impl_1/CPU9bits_routed.dcp' has been generated. +INFO: [runtcl-4] Executing : report_drc -file CPU9bits_drc_routed.rpt -pb CPU9bits_drc_routed.pb -rpx CPU9bits_drc_routed.rpx +Command: report_drc -file CPU9bits_drc_routed.rpt -pb CPU9bits_drc_routed.pb -rpx CPU9bits_drc_routed.rpx INFO: [IP_Flow 19-1839] IP Catalog is up to date. INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/FetchUnit_drc_routed.rpt. +INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/impl_1/CPU9bits_drc_routed.rpt. report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file FetchUnit_methodology_drc_routed.rpt -pb FetchUnit_methodology_drc_routed.pb -rpx FetchUnit_methodology_drc_routed.rpx -Command: report_methodology -file FetchUnit_methodology_drc_routed.rpt -pb FetchUnit_methodology_drc_routed.pb -rpx FetchUnit_methodology_drc_routed.rpx +INFO: [runtcl-4] Executing : report_methodology -file CPU9bits_methodology_drc_routed.rpt -pb CPU9bits_methodology_drc_routed.pb -rpx CPU9bits_methodology_drc_routed.rpx +Command: report_methodology -file CPU9bits_methodology_drc_routed.rpt -pb CPU9bits_methodology_drc_routed.pb -rpx CPU9bits_methodology_drc_routed.rpx INFO: [Timing 38-35] Done setting XDC timing constraints. INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/FetchUnit_methodology_drc_routed.rpt. +INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.rpt. report_methodology completed successfully -INFO: [runtcl-4] Executing : report_power -file FetchUnit_power_routed.rpt -pb FetchUnit_power_summary_routed.pb -rpx FetchUnit_power_routed.rpx -Command: report_power -file FetchUnit_power_routed.rpt -pb FetchUnit_power_summary_routed.pb -rpx FetchUnit_power_routed.rpx +INFO: [runtcl-4] Executing : report_power -file CPU9bits_power_routed.rpt -pb CPU9bits_power_summary_routed.pb -rpx CPU9bits_power_routed.rpx +Command: report_power -file CPU9bits_power_routed.rpt -pb CPU9bits_power_summary_routed.pb -rpx CPU9bits_power_routed.rpx WARNING: [Power 33-232] No user defined clocks were found in the design! Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate INFO: [Timing 38-35] Done setting XDC timing constraints. Running Vector-less Activity Propagation... Finished Running Vector-less Activity Propagation -60 Infos, 5 Warnings, 0 Critical Warnings and 0 Errors encountered. +61 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file FetchUnit_route_status.rpt -pb FetchUnit_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file FetchUnit_timing_summary_routed.rpt -pb FetchUnit_timing_summary_routed.pb -rpx FetchUnit_timing_summary_routed.rpx -warn_on_violation +INFO: [runtcl-4] Executing : report_route_status -file CPU9bits_route_status.rpt -pb CPU9bits_route_status.pb +INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file CPU9bits_timing_summary_routed.rpt -pb CPU9bits_timing_summary_routed.pb -rpx CPU9bits_timing_summary_routed.rpx -warn_on_violation INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -2L, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis. -INFO: [runtcl-4] Executing : report_incremental_reuse -file FetchUnit_incremental_reuse_routed.rpt +INFO: [runtcl-4] Executing : report_incremental_reuse -file CPU9bits_incremental_reuse_routed.rpt INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. -INFO: [runtcl-4] Executing : report_clock_utilization -file FetchUnit_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file FetchUnit_bus_skew_routed.rpt -pb FetchUnit_bus_skew_routed.pb -rpx FetchUnit_bus_skew_routed.rpx +INFO: [runtcl-4] Executing : report_clock_utilization -file CPU9bits_clock_utilization_routed.rpt +INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file CPU9bits_bus_skew_routed.rpt -pb CPU9bits_bus_skew_routed.pb -rpx CPU9bits_bus_skew_routed.rpx INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -2L, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Wed Feb 20 11:37:30 2019... +INFO: [Common 17-206] Exiting Vivado at Wed Mar 13 11:13:49 2019... diff --git a/lab2CA.runs/impl_1/FetchUnit_bus_skew_routed.pb b/lab2CA.runs/impl_1/CPU9bits_bus_skew_routed.pb similarity index 100% rename from lab2CA.runs/impl_1/FetchUnit_bus_skew_routed.pb rename to lab2CA.runs/impl_1/CPU9bits_bus_skew_routed.pb diff --git a/lab2CA.runs/impl_1/FetchUnit_bus_skew_routed.rpt b/lab2CA.runs/impl_1/CPU9bits_bus_skew_routed.rpt similarity index 60% rename from lab2CA.runs/impl_1/FetchUnit_bus_skew_routed.rpt rename to lab2CA.runs/impl_1/CPU9bits_bus_skew_routed.rpt index 9fddb33..9301c13 100644 --- a/lab2CA.runs/impl_1/FetchUnit_bus_skew_routed.rpt +++ b/lab2CA.runs/impl_1/CPU9bits_bus_skew_routed.rpt @@ -1,13 +1,13 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------------------------------------------------------- +--------------------------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Wed Feb 20 11:37:30 2019 -| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) -| Command : report_bus_skew -warn_on_violation -file FetchUnit_bus_skew_routed.rpt -pb FetchUnit_bus_skew_routed.pb -rpx FetchUnit_bus_skew_routed.rpx -| Design : FetchUnit +| Date : Wed Mar 13 11:13:49 2019 +| Host : DESKTOP-CSFKQTV running 64-bit major release (build 9200) +| Command : report_bus_skew -warn_on_violation -file CPU9bits_bus_skew_routed.rpt -pb CPU9bits_bus_skew_routed.pb -rpx CPU9bits_bus_skew_routed.rpx +| Design : CPU9bits | Device : 7k160ti-fbg484 | Speed File : -2L PRODUCTION 1.12 2017-02-17 ------------------------------------------------------------------------------------------------------------------------------------------------------------- +--------------------------------------------------------------------------------------------------------------------------------------------------------- Bus Skew Report diff --git a/lab2CA.runs/impl_1/FetchUnit_clock_utilization_routed.rpt b/lab2CA.runs/impl_1/CPU9bits_clock_utilization_routed.rpt similarity index 51% rename from lab2CA.runs/impl_1/FetchUnit_clock_utilization_routed.rpt rename to lab2CA.runs/impl_1/CPU9bits_clock_utilization_routed.rpt index cde8460..426f422 100644 --- a/lab2CA.runs/impl_1/FetchUnit_clock_utilization_routed.rpt +++ b/lab2CA.runs/impl_1/CPU9bits_clock_utilization_routed.rpt @@ -1,14 +1,14 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. --------------------------------------------------------------------------------------------- +------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Wed Feb 20 11:37:30 2019 -| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) -| Command : report_clock_utilization -file FetchUnit_clock_utilization_routed.rpt -| Design : FetchUnit +| Date : Wed Mar 13 11:13:49 2019 +| Host : DESKTOP-CSFKQTV running 64-bit major release (build 9200) +| Command : report_clock_utilization -file CPU9bits_clock_utilization_routed.rpt +| Design : CPU9bits | Device : 7k160ti-fbg484 | Speed File : -2L PRODUCTION 1.12 2017-02-17 | Temperature Grade : I --------------------------------------------------------------------------------------------- +------------------------------------------------------------------------------------------- Clock Utilization Report @@ -19,8 +19,6 @@ Table of Contents 3. Global Clock Source Details 4. Clock Regions: Key Resource Utilization 5. Clock Regions : Global Clock Summary -6. Device Cell Placement Summary for Global Clock g0 -7. Clock Region Cell Placement per Global Clock: Region X0Y1 1. Clock Primitive Utilization ------------------------------ @@ -28,7 +26,7 @@ Table of Contents +----------+------+-----------+-----+--------------+--------+ | Type | Used | Available | LOC | Clock Region | Pblock | +----------+------+-----------+-----+--------------+--------+ -| BUFGCTRL | 1 | 32 | 0 | 0 | 0 | +| BUFGCTRL | 0 | 32 | 0 | 0 | 0 | | BUFH | 0 | 120 | 0 | 0 | 0 | | BUFIO | 0 | 32 | 0 | 0 | 0 | | BUFMR | 0 | 16 | 0 | 0 | 0 | @@ -41,11 +39,9 @@ Table of Contents 2. Global Clock Resources ------------------------- -+-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ -| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | -+-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ -| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y0 | n/a | 1 | 9 | 0 | | | clk_IBUF_BUFG_inst/O | clk_IBUF_BUFG | -+-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ ++-----------+-----------+-----------------+------------+------+--------------+-------------------+-------------+-----------------+--------------+-------+------------+-----+ +| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+------+--------------+-------------------+-------------+-----------------+--------------+-------+------------+-----+ * Clock Loads column represents the clock pin loads (pin count) ** Non-Clock Loads column represents the non-clock pin loads (pin count) @@ -53,11 +49,9 @@ Table of Contents 3. Global Clock Source Details ------------------------------ -+-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ -| Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | -+-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ -| src0 | g0 | IBUF/O | None | IOB_X0Y78 | X0Y1 | 1 | 0 | | | clk_IBUF_inst/O | clk_IBUF | -+-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ ++-----------+-----------+-----------------+------------+------+--------------+-------------+-----------------+---------------------+--------------+------------+-----+ +| Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+------+--------------+-------------+-----------------+---------------------+--------------+------------+-----+ * Clock Loads column represents the clock pin loads (pin count) ** Non-Clock Loads column represents the non-clock pin loads (pin count) @@ -72,7 +66,7 @@ Table of Contents +-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ | X0Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2800 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | | X1Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 | -| X0Y1 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 9 | 2800 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | +| X0Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2800 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | | X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 | | X0Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2200 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | | X1Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 | @@ -94,61 +88,12 @@ All Modules | Y4 | 0 | 0 | | Y3 | 0 | 0 | | Y2 | 0 | 0 | -| Y1 | 1 | 0 | +| Y1 | 0 | 0 | | Y0 | 0 | 0 | +----+----+----+ -6. Device Cell Placement Summary for Global Clock g0 ----------------------------------------------------- - -+-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ -| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | -+-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ -| g0 | BUFG/O | n/a | | | | 9 | 0 | 0 | 0 | clk_IBUF_BUFG | -+-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ -* Logic Loads column represents load cell count of all cell types other than IO, GT and clock resources -** IO Loads column represents load cell count of IO types -*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) -**** GT Loads column represents load cell count of GT types - - -+----+----+----+ -| | X0 | X1 | -+----+----+----+ -| Y4 | 0 | 0 | -| Y3 | 0 | 0 | -| Y2 | 0 | 0 | -| Y1 | 9 | 0 | -| Y0 | 0 | 0 | -+----+----+----+ - - -7. Clock Region Cell Placement per Global Clock: Region X0Y1 ------------------------------------------------------------- - -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ -| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ -| g0 | n/a | BUFG/O | None | 9 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_IBUF_BUFG | -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ -* Clock Loads column represents the clock pin loads (pin count) -** Non-Clock Loads column represents the non-clock pin loads (pin count) -*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts - - - -# Location of BUFG Primitives -set_property LOC BUFGCTRL_X0Y0 [get_cells clk_IBUF_BUFG_inst] # Location of IO Primitives which is load of clock spine # Location of clock ports -set_property LOC IOB_X0Y78 [get_ports clk] - -# Clock net "clk_IBUF_BUFG" driven by instance "clk_IBUF_BUFG_inst" located at site "BUFGCTRL_X0Y0" -#startgroup -create_pblock {CLKAG_clk_IBUF_BUFG} -add_cells_to_pblock [get_pblocks {CLKAG_clk_IBUF_BUFG}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clk_IBUF_BUFG"}]]] -resize_pblock [get_pblocks {CLKAG_clk_IBUF_BUFG}] -add {CLOCKREGION_X0Y1:CLOCKREGION_X0Y1} -#endgroup diff --git a/lab2CA.runs/impl_1/FetchUnit_control_sets_placed.rpt b/lab2CA.runs/impl_1/CPU9bits_control_sets_placed.rpt similarity index 71% rename from lab2CA.runs/impl_1/FetchUnit_control_sets_placed.rpt rename to lab2CA.runs/impl_1/CPU9bits_control_sets_placed.rpt index 8535563..17e12f0 100644 --- a/lab2CA.runs/impl_1/FetchUnit_control_sets_placed.rpt +++ b/lab2CA.runs/impl_1/CPU9bits_control_sets_placed.rpt @@ -1,12 +1,12 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. --------------------------------------------------------------------------------------- +------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Wed Feb 20 11:36:57 2019 -| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) -| Command : report_control_sets -verbose -file FetchUnit_control_sets_placed.rpt -| Design : FetchUnit +| Date : Wed Mar 13 11:13:14 2019 +| Host : DESKTOP-CSFKQTV running 64-bit major release (build 9200) +| Command : report_control_sets -verbose -file CPU9bits_control_sets_placed.rpt +| Design : CPU9bits | Device : xc7k160ti --------------------------------------------------------------------------------------- +------------------------------------------------------------------------------------- Control Set Information @@ -23,8 +23,8 @@ Table of Contents +----------------------------------------------------------+-------+ | Status | Count | +----------------------------------------------------------+-------+ -| Number of unique control sets | 1 | -| Unused register locations in slices containing registers | 7 | +| Number of unique control sets | 0 | +| Unused register locations in slices containing registers | 0 | +----------------------------------------------------------+-------+ @@ -34,8 +34,6 @@ Table of Contents +--------+--------------+ | Fanout | Control Sets | +--------+--------------+ -| 9 | 1 | -+--------+--------------+ 3. Flip-Flop Distribution @@ -46,7 +44,7 @@ Table of Contents +--------------+-----------------------+------------------------+-----------------+--------------+ | No | No | No | 0 | 0 | | No | No | Yes | 0 | 0 | -| No | Yes | No | 9 | 2 | +| No | Yes | No | 0 | 0 | | Yes | No | No | 0 | 0 | | Yes | No | Yes | 0 | 0 | | Yes | Yes | No | 0 | 0 | @@ -56,10 +54,8 @@ Table of Contents 4. Detailed Control Set Information ----------------------------------- -+----------------+---------------+------------------+------------------+----------------+ -| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | -+----------------+---------------+------------------+------------------+----------------+ -| clk_IBUF_BUFG | | reset_IBUF | 2 | 9 | -+----------------+---------------+------------------+------------------+----------------+ ++--------------+---------------+------------------+------------------+----------------+ +| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | ++--------------+---------------+------------------+------------------+----------------+ diff --git a/lab2CA.runs/impl_1/FetchUnit_drc_opted.pb b/lab2CA.runs/impl_1/CPU9bits_drc_opted.pb similarity index 100% rename from lab2CA.runs/impl_1/FetchUnit_drc_opted.pb rename to lab2CA.runs/impl_1/CPU9bits_drc_opted.pb diff --git a/lab2CA.runs/impl_1/FetchUnit_drc_routed.rpt b/lab2CA.runs/impl_1/CPU9bits_drc_opted.rpt similarity index 51% rename from lab2CA.runs/impl_1/FetchUnit_drc_routed.rpt rename to lab2CA.runs/impl_1/CPU9bits_drc_opted.rpt index b28dcf3..3d7d32e 100644 --- a/lab2CA.runs/impl_1/FetchUnit_drc_routed.rpt +++ b/lab2CA.runs/impl_1/CPU9bits_drc_opted.rpt @@ -1,14 +1,14 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------------- +--------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Wed Feb 20 11:37:29 2019 -| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) -| Command : report_drc -file FetchUnit_drc_routed.rpt -pb FetchUnit_drc_routed.pb -rpx FetchUnit_drc_routed.rpx -| Design : FetchUnit +| Date : Wed Mar 13 11:13:13 2019 +| Host : DESKTOP-CSFKQTV running 64-bit major release (build 9200) +| Command : report_drc -file CPU9bits_drc_opted.rpt -pb CPU9bits_drc_opted.pb -rpx CPU9bits_drc_opted.rpx +| Design : CPU9bits | Device : xc7k160tifbg484-2L | Speed File : -2L -| Design State : Fully Routed ---------------------------------------------------------------------------------------------------------------------- +| Design State : Synthesized +--------------------------------------------------------------------------------------------------------------- Report DRC @@ -37,12 +37,12 @@ Table of Contents ----------------- NSTD-1#1 Critical Warning Unspecified I/O Standard -21 out of 21 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: AddrIn[8:0], AddrOut[8:0], op_idx[0], clk, reset. +1 out of 1 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: done. Related violations: UCIO-1#1 Critical Warning Unconstrained Logical Port -21 out of 21 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: AddrIn[8:0], AddrOut[8:0], op_idx[0], clk, reset. +1 out of 1 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: done. Related violations: CFGBVS-1#1 Warning diff --git a/lab2CA.runs/impl_1/FetchUnit_drc_routed.pb b/lab2CA.runs/impl_1/CPU9bits_drc_routed.pb similarity index 100% rename from lab2CA.runs/impl_1/FetchUnit_drc_routed.pb rename to lab2CA.runs/impl_1/CPU9bits_drc_routed.pb diff --git a/lab2CA.runs/impl_1/FetchUnit_drc_opted.rpt b/lab2CA.runs/impl_1/CPU9bits_drc_routed.rpt similarity index 54% rename from lab2CA.runs/impl_1/FetchUnit_drc_opted.rpt rename to lab2CA.runs/impl_1/CPU9bits_drc_routed.rpt index 978e418..6f14996 100644 --- a/lab2CA.runs/impl_1/FetchUnit_drc_opted.rpt +++ b/lab2CA.runs/impl_1/CPU9bits_drc_routed.rpt @@ -1,13 +1,13 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------------ | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Wed Feb 20 11:36:55 2019 -| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) -| Command : report_drc -file FetchUnit_drc_opted.rpt -pb FetchUnit_drc_opted.pb -rpx FetchUnit_drc_opted.rpx -| Design : FetchUnit +| Date : Wed Mar 13 11:13:47 2019 +| Host : DESKTOP-CSFKQTV running 64-bit major release (build 9200) +| Command : report_drc -file CPU9bits_drc_routed.rpt -pb CPU9bits_drc_routed.pb -rpx CPU9bits_drc_routed.rpx +| Design : CPU9bits | Device : xc7k160tifbg484-2L | Speed File : -2L -| Design State : Synthesized +| Design State : Fully Routed ------------------------------------------------------------------------------------------------------------------ Report DRC @@ -37,12 +37,12 @@ Table of Contents ----------------- NSTD-1#1 Critical Warning Unspecified I/O Standard -21 out of 21 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: AddrIn[8:0], AddrOut[8:0], op_idx[0], clk, reset. +1 out of 1 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: done. Related violations: UCIO-1#1 Critical Warning Unconstrained Logical Port -21 out of 21 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: AddrIn[8:0], AddrOut[8:0], op_idx[0], clk, reset. +1 out of 1 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: done. Related violations: CFGBVS-1#1 Warning diff --git a/lab2CA.runs/impl_1/FetchUnit_io_placed.rpt b/lab2CA.runs/impl_1/CPU9bits_io_placed.rpt similarity index 96% rename from lab2CA.runs/impl_1/FetchUnit_io_placed.rpt rename to lab2CA.runs/impl_1/CPU9bits_io_placed.rpt index 6ee7975..ec0db53 100644 --- a/lab2CA.runs/impl_1/FetchUnit_io_placed.rpt +++ b/lab2CA.runs/impl_1/CPU9bits_io_placed.rpt @@ -1,10 +1,10 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Wed Feb 20 11:36:57 2019 -| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) -| Command : report_io -file FetchUnit_io_placed.rpt -| Design : FetchUnit +| Date : Wed Mar 13 11:13:14 2019 +| Host : DESKTOP-CSFKQTV running 64-bit major release (build 9200) +| Command : report_io -file CPU9bits_io_placed.rpt +| Design : CPU9bits | Device : xc7k160ti | Speed File : -2L | Package : fbg484 @@ -25,7 +25,7 @@ Table of Contents +---------------+ | Total User IO | +---------------+ -| 22 | +| 12 | +---------------+ @@ -70,9 +70,9 @@ Table of Contents | AA11 | | High Performance | IO_L20P_T3_33 | User IO | | 33 | | | | | | | | | | | | | | | AA12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | | AA13 | | High Performance | IO_L21N_T3_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | -| AA14 | AddrOut[3] | High Range | IO_L18P_T2_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| AA15 | AddrOut[2] | High Range | IO_L18N_T2_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| AA16 | AddrOut[5] | High Range | IO_L17P_T2_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | +| AA14 | | High Range | IO_L18P_T2_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA15 | | High Range | IO_L18N_T2_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA16 | | High Range | IO_L17P_T2_13 | User IO | | 13 | | | | | | | | | | | | | | | AA17 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 1.80 | | | | | | | | | | AA18 | | High Range | IO_L15P_T2_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | | AA19 | | High Range | IO_L10P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | @@ -93,10 +93,10 @@ Table of Contents | AB12 | | High Performance | IO_L22N_T3_33 | User IO | | 33 | | | | | | | | | | | | | | | AB13 | | High Performance | IO_L22P_T3_33 | User IO | | 33 | | | | | | | | | | | | | | | AB14 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 1.80 | | | | | | | | | -| AB15 | AddrOut[7] | High Range | IO_L16P_T2_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| AB16 | AddrOut[6] | High Range | IO_L16N_T2_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| AB17 | AddrOut[4] | High Range | IO_L17N_T2_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| AB18 | AddrOut[8] | High Range | IO_L15N_T2_DQS_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | +| AB15 | | High Range | IO_L16P_T2_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB16 | | High Range | IO_L16N_T2_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB17 | | High Range | IO_L17N_T2_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB18 | | High Range | IO_L15N_T2_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | | AB19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | | AB20 | | High Range | IO_L10N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | | AB21 | | High Range | IO_L8N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | @@ -402,7 +402,7 @@ Table of Contents | R13 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | | R14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | | R15 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| R16 | reset | High Range | IO_L20P_T3_13 | INPUT | LVCMOS18* | 13 | | | | NONE | | UNFIXED | | | | NONE | | | | +| R16 | | High Range | IO_L20P_T3_13 | User IO | | 13 | | | | | | | | | | | | | | | R17 | | High Range | IO_L21P_T3_DQS_14 | User IO | | 14 | | | | | | | | | | | | | | | R18 | | High Range | IO_L19P_T3_A10_D26_14 | User IO | | 14 | | | | | | | | | | | | | | | R19 | | High Range | IO_L19N_T3_A09_D25_VREF_14 | User IO | | 14 | | | | | | | | | | | | | | @@ -423,8 +423,8 @@ Table of Contents | T12 | | High Performance | VCCO_33 | VCCO | | 33 | | | | | 0.00-1.80 | | | | | | | | | | T13 | | High Performance | IO_L24P_T3_33 | User IO | | 33 | | | | | | | | | | | | | | | T14 | | High Performance | IO_25_VRP_33 | User IO | | 33 | | | | | | | | | | | | | | -| T15 | AddrIn[2] | High Range | IO_L24P_T3_13 | INPUT | LVCMOS18* | 13 | | | | NONE | | UNFIXED | | | | NONE | | | | -| T16 | op_idx[0] | High Range | IO_L20N_T3_13 | INPUT | LVCMOS18* | 13 | | | | NONE | | UNFIXED | | | | NONE | | | | +| T15 | | High Range | IO_L24P_T3_13 | User IO | | 13 | | | | | | | | | | | | | | +| T16 | | High Range | IO_L20N_T3_13 | User IO | | 13 | | | | | | | | | | | | | | | T17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | | T18 | | High Range | IO_L3P_T0_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | | T19 | | High Range | IO_0_13 | User IO | | 13 | | | | | | | | | | | | | | @@ -445,8 +445,8 @@ Table of Contents | U12 | | High Performance | IO_L17P_T2_33 | User IO | | 33 | | | | | | | | | | | | | | | U13 | | High Performance | IO_L24N_T3_33 | User IO | | 33 | | | | | | | | | | | | | | | U14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| U15 | AddrIn[1] | High Range | IO_L24N_T3_13 | INPUT | LVCMOS18* | 13 | | | | NONE | | UNFIXED | | | | NONE | | | | -| U16 | AddrOut[1] | High Range | IO_L19P_T3_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | +| U15 | | High Range | IO_L24N_T3_13 | User IO | | 13 | | | | | | | | | | | | | | +| U16 | | High Range | IO_L19P_T3_13 | User IO | | 13 | | | | | | | | | | | | | | | U17 | | High Range | IO_L5P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | | U18 | | High Range | IO_L3N_T0_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | | U19 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 1.80 | | | | | | | | | @@ -466,13 +466,13 @@ Table of Contents | V11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | | V12 | | High Performance | IO_L23N_T3_33 | User IO | | 33 | | | | | | | | | | | | | | | V13 | | High Performance | IO_L23P_T3_33 | User IO | | 33 | | | | | | | | | | | | | | -| V14 | AddrIn[0] | High Range | IO_25_13 | INPUT | LVCMOS18* | 13 | | | | NONE | | UNFIXED | | | | NONE | | | | -| V15 | AddrIn[4] | High Range | IO_L23P_T3_13 | INPUT | LVCMOS18* | 13 | | | | NONE | | UNFIXED | | | | NONE | | | | +| V14 | done | High Range | IO_25_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | +| V15 | | High Range | IO_L23P_T3_13 | User IO | | 13 | | | | | | | | | | | | | | | V16 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 1.80 | | | | | | | | | -| V17 | AddrOut[0] | High Range | IO_L19N_T3_VREF_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | +| V17 | | High Range | IO_L19N_T3_VREF_13 | User IO | | 13 | | | | | | | | | | | | | | | V18 | | High Range | IO_L5N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | | V19 | | High Range | IO_L12P_T1_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | -| V20 | clk | High Range | IO_L11P_T1_SRCC_13 | INPUT | LVCMOS18* | 13 | | | | NONE | | UNFIXED | | | | NONE | | | | +| V20 | | High Range | IO_L11P_T1_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | | V21 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | | V22 | | High Range | IO_L2N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | | W1 | | High Performance | IO_L20P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | @@ -488,9 +488,9 @@ Table of Contents | W11 | | High Performance | IO_L6P_T0_33 | User IO | | 33 | | | | | | | | | | | | | | | W12 | | High Performance | IO_L19P_T3_33 | User IO | | 33 | | | | | | | | | | | | | | | W13 | | High Performance | VCCO_33 | VCCO | | 33 | | | | | 0.00-1.80 | | | | | | | | | -| W14 | AddrIn[6] | High Range | IO_L22P_T3_13 | INPUT | LVCMOS18* | 13 | | | | NONE | | UNFIXED | | | | NONE | | | | -| W15 | AddrIn[3] | High Range | IO_L23N_T3_13 | INPUT | LVCMOS18* | 13 | | | | NONE | | UNFIXED | | | | NONE | | | | -| W16 | AddrIn[8] | High Range | IO_L21P_T3_DQS_13 | INPUT | LVCMOS18* | 13 | | | | NONE | | UNFIXED | | | | NONE | | | | +| W14 | | High Range | IO_L22P_T3_13 | User IO | | 13 | | | | | | | | | | | | | | +| W15 | | High Range | IO_L23N_T3_13 | User IO | | 13 | | | | | | | | | | | | | | +| W16 | | High Range | IO_L21P_T3_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | | W17 | | High Range | IO_L14P_T2_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | | W18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | | W19 | | High Range | IO_L12N_T1_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | @@ -510,9 +510,9 @@ Table of Contents | Y11 | | High Performance | IO_L6N_T0_VREF_33 | User IO | | 33 | | | | | | | | | | | | | | | Y12 | | High Performance | IO_L19N_T3_VREF_33 | User IO | | 33 | | | | | | | | | | | | | | | Y13 | | High Performance | IO_L21P_T3_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | -| Y14 | AddrIn[5] | High Range | IO_L22N_T3_13 | INPUT | LVCMOS18* | 13 | | | | NONE | | UNFIXED | | | | NONE | | | | +| Y14 | | High Range | IO_L22N_T3_13 | User IO | | 13 | | | | | | | | | | | | | | | Y15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| Y16 | AddrIn[7] | High Range | IO_L21N_T3_DQS_13 | INPUT | LVCMOS18* | 13 | | | | NONE | | UNFIXED | | | | NONE | | | | +| Y16 | | High Range | IO_L21N_T3_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | | Y17 | | High Range | IO_L14N_T2_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | | Y18 | | High Range | IO_L13P_T2_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | | Y19 | | High Range | IO_L13N_T2_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | diff --git a/lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.pb b/lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..210b56b23e16be77884838fd52d0284a8cacbb41 GIT binary patch literal 52 zcmd;LGcqtV(=(jJEajV8l98X1pOc?nso + Max violations: + Violations found: 0 ++------+----------+-------------+------------+ +| Rule | Severity | Description | Violations | ++------+----------+-------------+------------+ ++------+----------+-------------+------------+ + +2. REPORT DETAILS +----------------- + diff --git a/lab2CA.runs/impl_1/CPU9bits_opt.dcp b/lab2CA.runs/impl_1/CPU9bits_opt.dcp new file mode 100644 index 0000000000000000000000000000000000000000..b7f1bdb4dddf430730d734b00e7efec4785961ab GIT binary patch literal 5942 zcmZ{o1yEeewuT1@0YV525ZocS1P|_RgS$Hn3@{LUaQ6^`Lm&z6L4v!(;1Gh71ef3h zdy{+byXPEUz24Q;UAy*I-FtPfUcG*GB@|SA004jv_@EC~Z7-~#cSZsLTu=aj$B4I9 zmJoI?uv6R{Q){NCKn!p1dwUmPS*=Ou%rYjPeD>QbfzQ!Or2(E4IS?wIp1&&!q^ z_khj%R(lsOK{`l}w}jmSp%n-i9%2ArT-z5dptG*JIkQ6gMEB9#G%-|Szt|SFK4iAm z%t4Q0qzmQXNi=gE)c83GA&g95?6*+QQZHs~G9B&_(K!B%F$TH|(n20jvr2g>)U4@9 zBFSpsfcwG-KTY&dFWHg^Bw*rKjR6POwNdYd$#rM_TD1T6br>9#%!7y(KE=|Z>-!6qaB}K0 ze($a1s@9I3A`N~ewJ94USAFf0g#iV$BkJlTOS6fItHZi%aWjsTf_ikI>CfZQ%gj!` zPf+9l*kXJ!>lnbPwMr7CK9+~U-ZZ% zut)2MbksVSXa?IF8eSm(cuPx@lQHi~*FNNfaot#X;pg?ISu6bxX*>Qxata4)QaGMQ z>PL^PHLGq)`x@18NOHY`I#ZHDkHhaNB!T=~K>phc!Z7)0+0J(~oixWJSaWe;E)E^E zN_U&Z2%hKCPG+f-cGPyN^v3U1FE_sLSebKK3U5q3op(y_XLN>0rfX*q3# zwyTEB4mrW>_xVZoEvcPOg?i&m-`aKUmvwnceYYrPpm>!xb9OG>CeS%ztPm6aop_f@ zp%B68V@3RZNq-zm*!VU65~?qzoHKUrNOAmHl#cw^=H$`lROdvppz1=419=fm$|pW~ zFgpC~F{T^h9Da%X!0Fwr%)>yPinJ0qGdSb;8|-{YOXkMHUdEu#kbb+g7$F6D{Dk-1 z#$!CE%Fm2v6mHPPI#*7e;HVNyAq@d7bB8mfB#NmgJxy0o0r^;Cwh7~$9Ek+5zY`?t zMZiJV!RYrphxh60=z%%R>^7_@KKtU+U0)<8z3FM@(|<>ML|I%b?@8)5W%Z=ahvZCR zbI&SaaSk{;+_Qg+*s6dq5|H~!(${mWzr#KiBY`Vf6>AyunKFjt&^ zCM9a+sGyz&x{;A7p5Z{Tu6%W(w?>_Aqi`fO5}8k#sUG5};572qx~cDy2PaZKVRIgRB-A3YuFx>KrFnh!3$mCtuJA$$rA^Fit0w6;OMy0RMDIVnO!G-@};mU5nl1Rck z-u>Le)o!8d$JY^RGp)bgoE;4v)VVHw61^8Sx$*nXQa65g^sG;INl>6j z$xEwURFuRNX3=udkxi^Jb?{Ma|Bm(6F;-D>_H%7RikW|2DEn~d{>4h0aTAKDJdB7W zoD9@a_3hmbqmgegSGNK(RjMoY5hX--PiJifC&I}GHHF`T&`;D7I z&MPF^W=JtWCA*G%jR@z}#`j3CSg)d#*}-_ncFGX041gV@_YlD+PY0g&@}7gAi^(N1 zSO%vx6&aX$cdrdanlY_e3w4rTzUPYjxK2x?mtLs6%Dw$UFqAp{V=)tERC17JDRY{h zj#gJzAiYs_`FK6q9B7yq#0D>#oc>|fg-PE8PLlJTckL=KYA)zz4*p(GgUpLcVwsDM z?O4R3axw9qN;R*-${rM!Fs@Ow$7BKL=qA_0F?<0cIG}4)l;9#e$WL?cSt^1XXywKC zDqMk44sb>CN{+q}Trw8huJ&v7%RdWEh}n1OCu|N_Nz&nMBzy-1S5Bpa(vG6+3&*EJ zIy|3VT1~oQ(q?5rL-Um{Qw+MxxAMQ8?hou_9@+DJQm;@U7NymZByx_hO!6-=AqG5*?|)2-j|I%;drEPbXWCdx~xkuV`^wU#WWmEXVaUwO<`>lx#u$v zdSQ`H!cfYRxts*-5g!iuS%zffdJuuEbDl+6M@S?F<$3u@MSkfKjz@~avd2W4_T^2AJ>5vR-lvz(4<;)x zP19^iUI^28mXUrl9zIzNP`j&MrTA!t45GXaL7pyJ*EG z{;E>rJf&>5DpDIOy-UX$OOX;2c0r!4=6I#WV12MSyE>djJT^usz$^jth}Vb=>$PAx z^4^QdbYvsN54GNnv0pj2-kCi+@q{rpz3tXRBUCeLJ~RYTw8iWDtU|r8g68{vs?tuc z4WX)^T{GDw|0HFar#c$N|BOy14=G_n@pD9G>@dfa4lHHDL8|zeNAP^jbwq)4e64An zsUBMn=;S=m(T^t{)Seyu;)@vd5=3Mfy9mY@|@iJ5UjrF4hxKAZjq-H1()#a#A$@SBVw=L);?GEN;mJtl*=J+ zG#q@VjuaqS@y!!n-of@lH`?<(fr(y7E|<}9LqX%_L@e&8^!{w55EK&7$0>F?=6&~w zL(`Ht@7ZTvQ`oL&)CbL@2C??5n|A&yksJR$%JqA*8?Jl$4QyEAefTetyT^SncG&&U zrD5!S(#&VFMZYuhwd_aZjXG01tOQ&DVkWy7ncvD*$L)#4N>;sA;swfXN6Vxx!#u}F zL#6@uT`)fPqlHZTCRF&%&PQ7&mhwKuqg~TQAXlliTZh-D)X^-f@2v(m_nB|koYEPz zqoh@B=Jb_(>857nlS1ZJ-iFzpI7FupD&*?E8LbT!l}3-BT|0y+PQp8ai_rD-Wy;yc zdq&hJAm)cVYmH-EyD1&b{DTaSU0N=_!QNUYt}LYj4ViU9(_6aNZ@UXD+tf>UWza7Y z`X}NXEpv<+9fUO*qaRmKtj9tXZk^xQ##wBe-6xBP3MAHJML(gzvAuuv&nR_p|E>#+ zh)y^UZxFAoY+BVMFuOUinh$vu$d!6l?8&!2hGC!IMN_<9kR|4mO|=d+@fM!*kNFMQ zl7DL%|HP9-@HwSZmU_}c5Oqsl%{E`6XlVt!&gT*M~#@44bUq?Hj~xsqFSIG1mVbx`eg_`W@0Q#ewB71J^NDH z`^OK+rqb3(*?i({v3c&4+@V`uK+>@UC51w`T`sE7Q3HXHB@9iZs>V|308>c8d!#rl z2?||ubs|0&sGnX-BC+#!@SVPqOP9nsP(D@&T5M<2!^f`#vQ`pp4qrQIwDA9Ei_T?x zTH`t0Mmf*T=bwJyL{oTK&#j2pb3jd56mDT`sht5qBW`!IIIw`H^{W=&ij+S-I_6+vl&ZR?u}5!H$zg(DI^L}HJ6L_R zrGpTgZ&Iy%{)MV}r?)f3YFem`50%fC6JvUrxKOkpdQ<<{Qd}61Z)0AV2;;cTp4pJD z>`__`;hqQlcEekiBw!r=nn6>iZH+Gg9T=zbXemgeKw@T=hF*4XEI3Q*xlNgsYh5M0 zhU-?h>(*nfz7R^nK<*v>+4d(`4Ul`^(c$V)di6_XVt6kv0Y&G6-}tQGyj6gVO3qR& zS7G2@faFus_6oE4-Go*8(!p}9dpQk5TW=y-Mjxb(w&gl1(**J&|4vp5%Q$P(`0(Ux z@hi^eYKK|2Z}#m{`_aB_y=P+}ZynDw7s}H~Vx7*tfjf6&T}GNKcI5TWchz6ANH;~E z5MX?IPQtht*)RNg!P)juiX$#6AMk}X^GPwq=NMdWT7AH8wQ4Nc{q^&1;A@jHEZLT-EMD$<3gkP18I3FtrQkQ8 zume8AfWN)UzIXF2EaptcoMIuDp`=vK*q(&_$XYMD?3Ym^4fd|(w{MjQeU6$|W@%$B z2I0~@$sRjim?H|1@UVR1F&y6I9%O_`JNx?C?p9yE3XW*yCRhGFn7FJfgGOZ6W+)TTWxl_02LNZ1qwFgH%bMekjPHjPFMyuubY#^!H+p8a8h; z66BO;;(6_aTsqDaQS&mK$Bk4bR8Id5>4#IvvIV$TY@b&h7+^FE-1uybQ`e4W2*iI4twi9ZyjPLC;2PFNgLlIt|9&r^uVL%)qE#zfWFWvH8X47m~;V|Fm{$~ zIdLc|m(RXDu!y?RsPj%77tPmp0q=Y*SSoVfsWhwF!zy%~6eLM=&t{Vg33(R1*M$oV zpP%Gq2XoG<_I!xzxLjOUCV9=R+q-{!cJ5zo`}Xm9ezml6u0zypw&MKiwyQqXkF${V zQtxbkwR&HdnicD=8uSpGw^!B@%OJ2dhzk6_CbF|~0>`U3hKS>Y zA6~QkoELwqrzn4lUxqIsUuD0oVziV&JzTLD55Bp`)*B!c+23nX@Sg z`s{^0CzFK!9*a6I(RoD|_~lV6VBSFP2q27<_v<^=PeS%bl=@BIQes)(*NKI*j+mz^ zw^%-H+PF`arMz2em}SJ?6Mq*P^3`fCXXetd*(Tuw2}u^RS_ta3M}Z06s}vMh!3@Qn zrdL{%6km)DO0~hsEZ@m={g-q^VtS2s#-Vf5VBD3=gFeVcFvY-AW?T&qJJp4#OGI2m zLd3xTU*kj=4}dTtpg!nN?>~y?L+GIj_vh1pLvIkx-!-|1;8AT|H@b&vJY<#f7pNj>JUN*`7evOM?54S z2KT>4HAf`M|0TVgAA%44{jVV-5PSQ7!T)&wL-?U5`~{cb{T~?N7wSrn5ZOBbfPr}a z+>X`}TZ0`S!)@!xA?a#ir6+IiVyOe+P?g|x)AdnPvjbVVOPkws8t5u|DM~{nIFzj{ GMg9lzLWpPp literal 0 HcmV?d00001 diff --git a/lab2CA.runs/impl_1/CPU9bits_placed.dcp b/lab2CA.runs/impl_1/CPU9bits_placed.dcp new file mode 100644 index 0000000000000000000000000000000000000000..fc3bad7c326ad67e608c9d39f8b0386d43688048 GIT binary patch literal 116633 zcmaHR1yo$kvNZ&E0>RzgJ-EATa0u@1?(XjH?gWPbK?Zl%;O_np-?Mw)dh4InUAwAI zcTL+lJ!khQNP~fY0|5bn1}XhvE%$1?*5C{Z0#XA80s;l}8vV4RceA#NPL#F{5cn3n zc&ENrXMv$A;HB>+f>}ih4Jo*em6R_hGSn+@)KiPTQj&n#a*%bgmY$iuP0Ak{x6Mh! zifu2HctE~8q`C^AJ+Q~9eg}T1z~1Qj;T(^@$H^Na?}%O zt4n!@t{LUeel6~%9a9R{1(Zrx2T+J#1e~B*0bsMm@1~kfPD1Wlw76;<^cti!e%YF^ zMgINcHs^3V;32A2r0Saf()O3xS-F{pGaS*LcTH>-7Vkxu*DbJu;=}C{Hl(^Im(zx| z8${vXW~Ks(`9TUeD}1mZAn?GM5mr#;GBkH`q&GG)X;#y+U19v@b5YAVI*`Frg}h?j zltEr?-eN2bYF|#Ssduc!{_(2g+iIlAFLWIU7cl6CZ^tciK| zu`jz=+6G@Pv9U`rN&%5WIBn;5&6R)a@6yydgLXSK5R|)Y4=6|Qyr6}85MjIXtiV%T z6>+}73Z1bqFk&(#DCpj72uti}itU6vycZ!$D$+d4kZOq7L~j?g$o(Bz+j5SHdWjnf z2qTM9#+HQulovV9!T6?WXVc0IMVWdvfoB4)F$d8Qsg$LtH4`DwkWH}G+;q7T#;E$m zd-h$yYFP_r#O*=hjm6-8Ohl=SU4#nO_9Q4dBuRb~P9UsGoinf_$_I0if*>EV_MEza ze=c@>`++cS38(ntaJkJdWN{JLlmOFW9I!xC7PtW52k+{01j!5h(h;lq!Jecv$iejD zD_q28nL8px<|2T7o|`QjL+-tlJ8ReC8PQcRVntsE{!i4v<&;LnhM# z>&)~QYp8LDTh`YHK})ZY(d;R{=C9sp2>=5=nWRg(B~E-Ca6)z&pWuWOZE|DYWuX#6 zRwv+2d8*^~;LUeNIzs-jDmseAC)Dq|SF|JEpG?d0!G1yp0PX+wQ-*(b4u!KyN={jc zA#J^?s4M4!U3qx9ydqi_PrYbWsVMb6jX`UX@5MJYvO22LVlL~4YJa4}eo>qa_`+Fh z>;7Z5$vKi7R*9A_K+@by5G^m~e5eh~sc>dlRdHl3el@VsXlPb{#TQX*jOSpT%Y$dL zdoiX{zWbq=qpc=GK*(^>TXZ6q_9`%Dr1Mr-2bNtugdR~hn@i2f4}Dp7nxr)JqCStH;aM71Dqcwtimc%(JMna3x=rz_T;O0bWE^iFO$q_at0sXx2%4J4<7sw{nsHl=yeSJ`$aq(1s2TYjIw#o)sLrcz!Jd=!rBxh@u+t0r)A+0l+c=w>>j(R$ah;Xt| zi1RbwOXQ9*#c*`0N{;AN6w*l^7RtMYn^~3&a<}l3^Zl*Cx_-)VsjRvU;qwJgCL^M8 zF(}F=YrX&q1Syt(;Z(Psitf;WtX_V!ygwM~S^0d>UQxj-%eFs4*W#=eXtA!XFDLpD z5S=zS_pwe8T11UsEx+aZFd*^=s9UcqK9F1#dwlu#Im;xLhxC910r`Lf0YUuNh2>~w zU}tQtCTm9`j+T>RbtH*xu4(&9!tkW%*ZW1Xu1U8xB`9us{0|BZfRm+A$MOlQ(=wh7 z^TNbBfz}u1$zQf#$YDHs6viR140bS>6j#E2r`JEL&8{Fqnp08B4yM-A;}+F!`TW8A zqIC1bXPq}TmF+C|Vrzi=1?nYKe!lA}@$Pc;yxD$znRlbB$&Cd5dB!+*~4bH z&Mz=N313X?7_=YtsJ9axx@#`2qj?5Ib&yy4G#xLYxR}SnFs`xm7w6GqaeV=(HWU_M z4I0N!XKe@EHk;V0`k;|0(q_E{N})DeZTX;Fv`^tO^wy}?W-@ln86akq?xScWE*7l$ z5-uZ6<#<9cG$V7$QWVr|CofvO9k9l7_DTEJx(ugQ(Ms%c)?) z6a1AcsnaynRQj|0$h7OLr&@3q701{V=_bo&=JxgbVaa}3$BTO|+4onMbrcRz2kf;F ze_;d1{+SDnXjw)h`!JnPD3@1bWUd$-JEc^1N@Y07Fo36ltVOPfc23$QCCH3>UXbSa zd%bK@Qzb8EQ1Zzd?EEWlUd81q+9PGT>DI8yumn<2Y~-26Fh+;ZX1p3(8%8RMb?t1r zV%lZ6dFj+#V6O|pqtT2#EJ;?DQ&54-W0K}T^%u93D5``LBEItx7AxxW4 z)08c~y;+{@l1+T;+Wt4Q31_AL+Fr0Q$DwS*Y0JwW+V6Gkb5;G{GiFD3lJr6#)ehXT zx+S*{zWi=tg)S{qiyci`HxL)}g%qGvUsy8qUhTy*Xrlz3s64^P)V#9elP4)3L$((e2 zK%;~S{d}edRxiyIo1BN(r3zhoS~2K;k5Mk7-k8b010(n?=gh%Q zLEhhNl*}$(9(rHRACb*wlA9qhtfG!h4;t_K+>7YG6d0CHEu!mEpRS%(?Z?|%U^3HzvV8j>O`1=9-QRP~K7Luh)D9Nh3&5VsAl!&fdN z4SB+Tgcl{;GVqsy*~qIC=1ellbLRETw$~St1W4^XIi=+p6wJCnvV@s0QjGmxld-NX z9Zo(B6Fq!22j8}&+m;B#vgJU#X~}GRw`t0xsSpeEOqHi<4M$o61n3neX#}Doxqb9w zVK3RVap7IRSARJrpGp6sEmhR$-WIjYc$lh>bmQVn`73!q0}?}CyW>Jj5x+a;hsTza z8@&J0&|f){x$RMKg}WCjvxL7mR7-@HfNxFExDs|1RA63~UnqMGU`6~YsgOZyiu2IW zx9!Iz8K<^??NGHKilbQB{OKJ=74YxL4j^ymCtBy=fFyH6xd~sQ9#Fpawq@1Y(+$B< z7E#}DCAG*w7fs#nP!{=hA?frhe(uIQV?_Sd>Cqp2X3DR$J_$F=-x%sPk7q!{m(&c# zMjg`9QE=7vRy>qxQ~!4 z-gl@WUwYq<(MPSQkNEi}+%>N|yzOj=sW!FQV_Gz35G*RQNYQ5*qwAhHENP=bBY{F0 zhszBd+cDPb%TYa_kA7cv$IF$>Z@<7NUyqATsc5Q)q%KeN)=-!$jU9U3N>Tt>MtfbF zuOFGo+g9lms^KDXCW}9$JxOQhCE^1YH3)>gIQZtji z0cFq{KSZnPrhbnrOxqb;9B;QxFrOs#c5sYPK-qRatokM!$8D~splDI61*LZm?7R&W z{_Iw$JQ0O{h#j7ew)~l+LutXIOc?=HKfM#>B>85OXc}#Jr2mn?%f}hl0v`cKjBNS= z@$b8oLNh<5DDam>32>MCw^t5VBNKp{jyxC=zOzATGoGELu7-02+n1is&$T0fyHvJz`l%;g5OGubCp;&9Mz z{Nb{?3t!_yQ?WP=;&b2&AnA~>3c%+Sly!&`=3};{KM=wLLnnsSqI&RkE@^oN1bIFG z{3*LR<-1EbgkjNYN1f6zIMw| z8ck(EEjb+|2=DY(Mr5pWf!rqONF9o>&7D|-fRu4?s}yKij3>HJ%KKiu^gIUoUi-X05q#{per&zn%KN-L_}oMFyxcs<`#y?)oK3!y z24(WUKM}m`nD%@`er%iiUPto325!Cra^I$HKQ4@kqAzIEw7udzN?6d%h-dfn|@ue*A$?-y%9=FJ-yp_#x~nTwk*!yLo8 zANK&jU-GCPu5%w2D_eYBU0ccvB-@b8b#ipC#;)aejscT9ik`5M_Y>dSj#- zJ!T0}l?%rJdHzMuoyW`5+xF4bH^YFVzr#eQ4;}U$h*#T}_eVDfXqUSNtvIeP_RTOH z+#wgb3=i=uYo{)&28}q29UA;!FCId15{!Az7CX$dT9{;i_jGbA78*)sGAdq&LwLS8 z`9wT+OHB;&Xmh?Hf3*HU`nnP7jdCc&$mWG%sUy1z_~y8_&ezke!zm7IF`tY<$7&4D zabpMN%+t}^{rUOrwGhnE64q`TLCY*X^`4t6xm^U-u#O7z2xk4*(f+Lzc(XUA+6lmd zLuoZOw)J9C9^(_lfL7qNE9H;f2Ynbxtx@@1yb$|9aPk!+BZzx`ng}`mk})q$165>ceC$ zk8XjO4{oUPY0o1+^OR$gNWVFuXyOk9<)#L+!GqnS_xn}%si^5P!;-qlE}YOO8x!Sl z9PHT+IySDlc-p;}(wp7zj}jw$KkHV-J5;UHr}v|qCwepiljaB=-YA1L3>#7#d6&8$ zp-Vg7O>H;3+&?6ZcFdwYbdhL|j`(b> znzs*^PK^C{BIKeyW9$hNdq#P!+-CRYdajN6WxV>DbwT~9y65piXlO_15=-lFZFp3) zp6%kQEN=SV8on-4Zd+&gFDEor=HNql7Y_O2j>RHB0CkH=YfKl*w}zv0XD4F=*#%~s z5&aw~-`dtV;(*g0p(~8ayWFzcI5U!I_n816>73K2u~m)Lm-G^BNVOv0?1l1qmd$55kh&XB#YEqY>d zXfiCUUf;agQ>?9~zTqu?H`yGzx_|98vl6`xv1cX?{r**|3q`gyz!koy$4fSPt>o*s z;;=-~ERZm6kq0>yCo=nusBUWvIs;)D?D^^GH%>9AqD3~qH>M|p9N`vLD62xgka>xi z;4*iVsT;;x~77i1vH%Dw|{8O~Nw+^d4UwLSF1K)+c1*g7$9I zXES(uW60lA7gxtlaJZ&)R77z1r~BfxHrG0H%L_ZydL_#uWsglyhMLo`%3zHT|{1F`QBzK^3AUOAoLzjpBk;dp0SMlB zAR~RBZ#JJoKdv4O6*)Ga`jEQEf_)CSKSpAQu5#a&ZC}r8ONM(s0?Te5a%;MEYTuQm zP%PgzFgD-bF+LXg-!?xs3A`^Nd(a4oZ{HZ+FFx4#e1V(NfgU67tXFIz)9WBc&-KaX zn`eE>_y@wo{W<~i)kBYGx8zYzVi=Y;@vZGvzc0jqFY3VN@a1N|oyBI)v!l;@ABLXS z)k)9w!}}1xwfk`gYK(wi`~7kCb=bA%aYxq$$t!g z1V+4fNII*I9fTCSkk%(AZNBWl$>A!$`w{s5iDkOl{5!0-?@MvzYc2UiaJgH1)8iZX z@#gxt`9bu-y?`(Jl>5$szTeUFJfQcK4crNH#bMf0-}m`H__5wQ_+RJuViG~uydDU= zT<@|k-WW?BZ+u6n4!0M5ZW-PO`Cl484qv7@DLE`{sR${eeBVxdyI$`mKU6F3fF%xm zb@z+dq_Xr3=L8T5Jx0`@51gKyJY79{DCEVrll*@CI=s-`xY&iixaoTJ%tgYT;Ch|f z4xCgry14Fo&3=Z8QMdNFm<8T@&$mORi+sFKPV)B3p?a>5(UZem05pcj+pSsL-BwoM z-qU92<;Bn6`Nk?1wNBdPbWRHMMk>rdg0@rhB1!3SPP%-(Qy_bNb^}>JXsu0NvL7x2 zrP&S3TI2bA7E%9`W27e6XjOh@abjulYN<7&-j?m>ro~YAe3bWDNVkiyUPpfIdfQ*5 z8Ia?vN1vs86enqo2Nanu@ShBVhh&%@Fm8_h(sd_fOS9*}srp-Q2poe`vR{8u4cSDL zXBw`{)*qEDxhS9hqWbk6K_@$;M($@>f%b0c>Tpep83w}ME?yrxSt=~;rQL_qgDO3u zhJI$A))!0&>o5A){RAM+5#I!|XG)!vZ}&k)F{u2)Bfj;Dh{Ea@5JNC3#ur?ao3FVh z1iizfKeK2YPU`3L2TuDPksY;$h1f7i`a+V3&q>e3BK<+TOSo;8j%iLUs@2hK?Pc2} ztA1i9_O$0}+Hut2zu5R`UVTs(I0hM8teP|M?7r?{?%m41+$Da_<8Ts>6RYjHw-?`? zK%T18aXR$VoXivsjmP2g4_cSG`G^Iwwj1UYbxp2WC%Ix9`LvyEK_$4JUZR? z9IyHe2s2Q(@Nyo#f9I^PTRI`fwvHIQ89rGg@uoK=r@|AA1GjGy^;c#dKcO?2KwX6;8pAwV%2R755R7k{ z@t8|+zX}4IqFSzYJbOjlI2@+7IRhCZvFQx^!Lu7!pbM|3E4=C);MMSGq$`r=W0F;e zBaT7njq<1o`LNv>FB>FRcG@crRjS_QI-;19{d_Qc?OHC*b^||5jl#6v7VbK^uYFR3L5l5c7EqR1NGYb6v=l5F zHs*CsY#KGTb-2CyTz-8HAc6ZJZLncK!G2&t_M-`}nXy7JKpHez+csO<&et~{Fa5yY z)Z}VOQPJw46ke+)J0Gg>`l;Gof4rpOT(=7h57nV7gPGiTBMR=RC!=rqQ_GLDg}nvb zuaQUqH+AwJ)L#2yqAV2vv(u)_P9C=Fv77`lkpgV_#ZX!58QcyTWr^_(=R~C$Xebta zy#rXPM!Uas)mkr^nvK5VzF(y_P=1M|#?Vk{?=2Wbt8thPr!?{xO@^Zd2VS}lBKz@g z1=wmFJ}<7RVYSr7!M6q*Em0OFa}QLn8HE0?P`v+9lD6WXZ%Ez88YmX?O9|G9P7&3L zPJz&khSk!b-N1zIM@QF4+x*hXrZxbU$7xNo9#lMLN23#>b;P_@!9P$Sb&ADITk%_$ z;!~X_KmGW$y4ir%+8OHoH5R(6p?Io+V)1lpNTJqRq@4~N?YMIw+z)+&nosSYu2lSv zq5rIY_*pl`=Ko{WFbn#%pdo4~V%gA)+tKKTL^rIKAT8m3!5`pijsw@y4;g&*#}kyJ zBPTi~_>2ZdJs@Pmb7ZPvh79!$43VFiwnX@}*TI4aCMNca%Q3KdJ1``!^-~-<&dh5o z_QvEO))YM!D4>TRiuuPDVMH2DIPxY~=oLeOyaWB{btG7It0Q$pd36w{N6fUOeY#G) z>uCnlNAp#xcS6P{Al9C(%*=i*U0OlVCqX4z0~fBXz_+x3eA#6#K0Edca*;t*9lu4|5TMeGVh;`%Ab7|95!-;9ZH6++?=+v)+b6}_&^Fp4; z@FRv}|I5WBalq!G{ug!pDpz#vhp5S(kjr<6U%+>c6hHxb>r5)33_bTy-TslwywtXC`__J^6%&}e4>LxG&|e! z+)BwIR5!eZr80NsiYXmU#5Z$?!G2bCS{X+mgobYFNALA{=<#USra@VrA}uTtRB`MF z-g$U#(HV(cZg7%Mx#`I1@~NP+z2Mh#d7pl#3NgB z=>snG*>_vvg8}#WBUt#Y2UxT00|W8yqd)&$k8|#${jBVRCH$E`5eUE-4?QR@Sc7GS z=!nj9{rNGPoO6nB2O|OBEw)FG7&3w?f-wbF(M+1*!OS`ws?iP5G^_hSRuKX~LiRtKom_>WxM5~rQ+H586Prs8S4K&Ykz zkRw&G>IQZqXjoh{*0x5|@X%>~F`I`D;&s+7aiXXAc1|0OQ1fCVrOE7qCLS@_vP{-? zceno*iLE7*$-G)jT}*2ScSg|OslUy?`5eKy3Z5ca<>aGc4Y`ld+w2+im0u8F15sL|9 znkR^Ge%CK>u?BH2csP4D`qwWU=~4y&<&ZfX1yuEIntt&xI8D1A;(_`#UH`UrOz$@B zhCEkRutJ~-k;(%g$bplk26rNgCX{7&blXTg!rmBvN)bal9V!bNw%dX{J?w^*#3{?9 z5Lxr`{QT+O!RO7zk{KuQN9MQ@bKwYhB9;u~(`?|re|nvR0MfX~4_LiNRIvE4oOW&K znrR23HnFi3yXX|SJ~mC49E+w?oO!e5pB2*$1geFVRRdUEUA}G}?y}Q=I{PismbFbh z$3(;blx-DNGM{U9iiojnh>5W*0Wk#ucUKCT%}=uvz73rLtZ8t}&*o|eM6;7K3M&^) z?NxsW2{&G-ZQKe$+oT1O9>3i`Qrt#gAMlkvb;I)8(j(}~|4N)}UeWQt|etweOnM&&fSQ5l4o9d|XDF)O1+Oml>d z{#5^5C6)NTGIjfdQW`TmFFdNvoP)e+ z3W#oqZ7VxB5qP^&GR-^|_WM@z*Oi0GjjCfE=;{+{bOc)3<<{n@N~=PY%>cMv_wFq2 z`gDd$0WxJO>_ig>xae##ND=?~&4zk)^g+cjI@k?V_!Jp%oZvDA{yyqC`8wFUSjVy+ zry*LGbU_-r7yy$6iS%-@`VSl9An1b3kaVy(mmV=&Pu3QFWQ)a;G@Re7gJOOEmFHbj zuyyHbT!Kxs&xq*|ST2)QGIcwX`DQIDz0gjLi`n<#VHg(57Nl5e7Sw>*kR>J*z6)BI z5g*(v)nA{dcM1`*FN9P-TaHm<9u@u`1X_(|tDVbYkZ0FokZV`t#4a=3zRG!JNXZaC zkHrAfEL%U%IlzAbW=KrT>%|>K)uJ4GvV)0FKmk;a|Ul8CZSq<>;HMJC=`c` zby{s7yHHA1a+eNV+G+?KtKo?s!{HH7QVR{9H$jic`mwY&E~IL_aN@dxUN2eu za7dRS>wzwOF=aKAywG@YEWS+dSmpWb<@$Y(7R}>B_4Hi&RURLJP=3?dIn-k_>#Kq< zW{@*$I^p;nb)CgWuqXG2qja^QSiKHZA5`Ch>8(d=VR?k>^E5=+FtFYFgz9;YC?F6B6pskuYn8JCcGsb0NwX*OTDHpf%zO6Ed2k z&cCr+;=t09d*l>sSwS_bgLV4n~6~9Y9dx) zsD_?@fet$M1{b>H`;zZL;IGgXD^Ru}PN;H0Vn=h!_hrDNHN>DxYoKnU+CbxCfe~KQ z%}^`# zOkTSKs(|B^G_7^0t|3u=s)ocN8G6HAJ=~KApSSz_D_M;rxj{A*!+}u0PgXV9{m0G> zF5>;ic;9<2*U|?I)Qj(5{f|l9(~orJRYURTm{+XM%q^xWR{+*R$6xpp9mkNn8m%e(Y{)OBI@ebgpgaGREuqgbhzAs*u>9rz1+3-|MUFSGrFGB;_5I)_mO zeg{D#=-oFbLKX0gt%_O)eQfzHwXz@g>)c`)tYb=^Zl^py|FFHEE@1NaC}D5qQ@Q}x zpW?pnX>|??c%$4(c<8z=POx$i$?1keBX<>88jgdGmNjl(faG)`N8^xb?euh3brV*A z`x(vm^kh$usPUsK*EM!LdZ z5%M?@?zC-<+jvI4K%*y(3U5T&vQahJwxDaYYo%_q!%JJ1%;6T?@F{Wg0GXu&m?G2d zdWnr$D5AD{cypmo>i@X&R(sM$VY3X!i1Y^%(&&VdieDOjmu1j)fMf*bhz~~nBg=r& z^l#olI@h6l$`H3U%(JB&9R>)D<8d$~q5Xu>dfNvE%KeAX&UaHF$W#pE@gSUFV8Wj~ zXu_!3YM@kY)RAg*8A#T73?%5^)ll>u4@w8jFH0j9PAh5*sh*%#ZO$@QB_-&SNd+Wt z!KeXb>Xd*Q?7{sXlkVVkpDD)!Qi`NWPAjJ?mdL zV-ujNN2+nB9iYljp}`djP7^6z*Dwiw(uiWNU1>s&i^<H<&(>hsu}0*aoAE+p+O1h@;pVA+nP292 zIv)r8l#8jO;A%Di!rGM`qiKCvhVrnJ>$|#CmVNOpmU=epZiTX`7s$O6SH`^vd)Vzn zi}_Z?F_!K6k^l}x=-+BVu+|N_0WqR2GUmQ&cB~vdL4!_frG6wakA6joGI_wV$% z9RA%c{r-F(9hJhfs>}|Zt|EPnE_QuZxxx7h@2FdrEVkawssp*uy%`4R47URpsZtUqJVV^Gw zfY@FuGjO|VK;xch_AOfDcTn%k3U3>@E&7TaV;?GH@0%*05+uj@$=l45Gsnzax;*!k z&O@7JRTA&=I&)_4{JLtTG@`dZl^`OElBgL)`!O^4V#V&yar$(SZ&FS#v_)|({PpKr z0%@TtL!=#nK8GznCS$faqAZ7C7Hqwn)mDzsvQrc*8MsRBuS`GUg;a<=gZO<`TMDb$ zBvdbB9XTAPOD{yw4Jg-0=m>-`AG~5M*|0JHIXM?MIx5GvyWM;u)9y-UPP=QH6w39;>*! zS8UgLUa?CQghV9q-2~MX?&>x}DSJ=4FG7Lu0_RO!c6B=vC1vOe+vAwYk7N`*Qgi{7 z{q@B99a{m<1~Gb$fcsm0HbjPT)IEs*X|-rep1Z?36<-NRK+gzE>B?FOwK_;4;&!N2 zr$oTL&YPJvmI#i3&*YIZAV^&U!B$o}WrmC)ncltJX+)ZU&fOAS(~S#`E_=lp_U`uu zMO~+uoW6VX_eZ~!R??-&w8~UnmtT9vrPBWkf{VK#rD@_ijUkpJXleeyh}hAEUYE5{ z?Fprrw*772-w$G!?BOo|W-NR`h?T~oIm<}-+ZncFI`RED`R4mgD_RG^+d{?(lJidSqsK&SXHstW# zGWQo2io_dyZ|N>jx5-j8X;6m@a~i~3Z+FlzsUl{`We8!sXp~-{^4r$XjCxrZA1_DDK0} zO~f_$nqvr_Z$uLYgB10Pv6UG$7vhcz9=oY53~4nu=cA;lSXGlI=g?Df?QKj`-hDON z4Zw|j4ey4GOMoFfHIe8e@#JTqIA>4Gxgeyrw$s z1=i<>g|*YX)yG|wj->pI|24!e;)4lD%&HS158ut8Ky5c24gt%xJOQ1>0H~l@eDI}tz+UoE1}?%r=byl{d0q^Duf14D7w@*L5iXK%#ZL;q;87@ zsA6E|iU!C_ZlBa`;XVVFFfI<=A{_Y6E;OY7>JS`xV6XKq1FG;ebh!< zgGtZ!rHS^%;=i)WQa|%rFdc1OT`&at-_~C1==n za{q#lq^>U<`$vWO7t8e}2v6sedYm@aP%*zP-afosjA5Z2NAo@79O&1+`ZOwj>v7}% zSLJ`=;QW8AN*Uxl>tL{!UieltlQ!#JKRU)wl5*YzNNtv`fXX+25?bZ#pFR;t9+6LC zR+#kvutJzce)HmdFJbacAXKwTfMQBkV?hwGUvV)UNF>ZLhUBR?)-Z#Yx57flW~um% zs`bf`8Gd$9-U=*d=`-wq`GoaU!q=sG6O7uDNe8M)v24g-G!j1h5%|w|wE5v_e~v&O za0J5fw0jZ%;h(oYBOuc2LjkE)G8a2wqp=YYCp)pw(IZVa;3FHZ#xsEza@;?MhsAau z24v;=*C-N5)1C7Y9Qf%8Gwn0n3vkfi`@8A&^*&?VJAcNgrtP+Q67|2;?qV#=tz~L& z9*{-k_^xdSBw5v-*w2G;hK=4}R}HRG(De4M8z6vGSIch@KRW&@_&?W$-hQ{_a_a2cQO&cyT4bs#2A%GPb5ol-7ngWuP@x^s_M?sj=QYL*8nj1d zaF9>MF?wcDcRe%snSuqXgT~=F3miqjcntkXc{z5D-|aKP%X)ASit*xcV_kK6u*WE^ z)kMEXBL4YOa1eQY+*BGmO2)QOf6fmHvu8M%chA*(XQUURg+}8>`R#4trc}0our-HQwN6tuhdeZ3&ElAqtEsJ=TrN@AGRb9G+pP*Eq zn4p}HB}y=)i+GHX&PbET>!Q!pTUqi>(nzu-{=EL?l=lUYXyBDJP77E9ty7D8wN!91 z$3K9l(S*qxeY|W+AF)KoR%^Bfq=;Ws8|o;b_o@*Q=vP{RY7Hjb*aniMRIL<>ODv`! z9Ig<7{9^YOx6?BqD-l24Qa?34H-iVB`7$fq3tbR)%tbAeG0?k@XrW()-O)i;dX%@i zsU%@EO6yh~RneA>uE{R3vqaz3C!NKXb-h~unO$GMIop4IKGSFJGZIUrI=6qa|5JJ( z{2H1O149G#Xf-R7huf-#C!@iok0(=xJLY;2iHa6P4eMLpjeHDMRn0Iz+4k(ej-}53 zhkJKFKsiz#@}HB$zFu{};xO_1Cv)plw|#s0`dn=;s+G8$HojssI%;e(6S{U+>!P*k z>e>ydht7(iox+b$B}3#pguh!+X`<~sA5~eCVLz|RqKj^eP~%IJZSbab1b?zAWvcO^ z_I*I$1RSgy6~0N1aq4?3)PTmx;cz-?OmmD+R{D3ed;Hxz+@Wd*feR)?k68OD&!E5! z63kotzPtx$$S!flL*4+vi?8q=vHDY1rx+tRoOy4Ncv){TI#%QA%+a|W{wZ*Z z%)YWOpcR|JZB-b;*0X6#EpYUEyN%DXOvSXKoQz}OO1al`QpT$^2WC*iM*0-LpX|)x zW)peSnsbff+rUatN?yUd#N())mNvwrg?>|82I#zotvwsq1W+@@eNoqUW$h${U4vdW!_hIq zd?XI=$05Sx#0&6`B*tvoPwAu-@*nOB-gs)c-%B;3bcES)#A|&(l4q#3V@fJ(a`_^9 zW~|tBoEsY>Ew2)_GCJc!SYSkDps(Y~_Ke+j*yb-C%Ub6^F_y}pe{2Yjp#5qblIRWE zv}72K-cdchqh;CjxQ#u?3@4 zo&$o(9StA$CS+j5LF!4Sm!xv{n+7A4qAd6aovU|dyifriTqD_uPU#zYXBI>Qly_D7l7ELy( z+E~;0aoqWlhJJvp${%baxJ)GJdBbGaqF*R^<+oJS3NeeOc?5`aW6*;QyC`*D-($9P z83McglfSKDuMIiq8i`f1AAkKbh*O6@1b-rsXkZ5IXgUD=14f;^3F7_BI7BE8t_?)= z%!}D;b8hCM>V!pYjNWln+uU-Ccq}|Vj8;QjU9E{jE(^UQEiGD{XikO-0G!K!CAB*U zpe?a99z1t4w$Qv#w(%kp* zM>;cEaN!~QZYil$GAk+zBCjI3Jd!4Wcb&nB}_cx?yZ2+gJsA(4_r z$e>Y{8U(Ow%V;=mq+J>Hb%U9mqgwzIJ)j&p#6g$IXjEYq_ZG(8Oql7`BsYv4Tf>%= z8Qy7lJAMh|(3eMzR>}A|VAH{)9`o(5Q)C?oW3$sZLBFc~*B|`QN6-tE_#uLnVs)@| zTyx5qNKqAjiHgYMihZMz^ulUM^-;Ed0fQJX2*YE25(Y$F@d%}z)NZp_2itIP*<6_Z zCa(G8Hz_*BmzAfZh%3Lf@HiMT`KlpUfpX;6?en>d?xT6B=kvJS!!1^t9ky7WPU$2R zBkYufOd-hb*#g3uF9V$7o9uqDyXA_^Dq@jW9Tt$vjxx}&9b&BF_=&lY$pn7_zzn%F zfgkW-0W#hHT$Tiwv;DB^!b-HeBR3zK&n(5t&8TN>A(*fcFC&z0LzHM;V!!{oH$a&9Cs! zG5S-k;DOz{fBm|D;#$Jt%}aA0at{e^G9j)=c~_Kp)i0|RS^%0(3oKk&p}x&KQwp@a z&;$hWxfA8f>q;CZVxT1r)2XlrRy z7;1s^xA{T`^Ca%~03I@JF!$p+s}L^QS&bh{->PV>U`yDUeiIjp&Hw$=@CSsF9%E&X zhj+)mF3t{@mJ}BX?q~sKxKF76e;hEwf@)uIq0)nQ2^;NjjTRzh-5MM<Y&x2ON$!Jkx`WOPeTt4qF&AyKA9)kbyl%J%f>K^75H=j+oJ{mE(MsOI68j)du zYFL^+9`OXH1iLj_R4iXBy|C9t|gp@UGl+@*mKyEXD4N9tJ8!fo1GY_4jrMAA8q;|F zeNB`qT*ZLoVsZ_xcJ-VF6(Sh5VA3T@k*9g^+P!oApd%)Fl46I0Qki=csCni%cEgd2<6}k> zw<%T1u--gLH%ahfGe_z^ZSovm4jHGHowFATpm;7ymmq48pxT2PqJa2gn;?H(d6j5Y zeysb1a4zXXpV{+14{~eI02)Tyfd0;z4fC41~H)xYXeM`oHT6W>{_pZ~&cpt6`&?5Ei15Nja za(_N7$_V7-sYQASLZvAV4xs!K&paB>EQNz#JQAZcP{_Khct7(ex^k}Xy~^FD!;j7b z5fy2Zk3|Q+9qeG3v$%eJK34dJvpKf%Iljk~YqIMW`xX~tWbzIj#aE?6j{knf6_xdR z?q+rUWIxBc+8y6xpA9ho)N%a>UioGm)-p%S|3oo2r%>!bHe6*J$hXQRjMWD9!s$`f z(dnBjs``ydgOU<_e_h)4ysqYc{eN_Q1ymf(@-G2`ySux)Y;bqC;DO-o?i$>k#Vt52 z?h@SHA-II#E_s{p-ust#-aDtNy1II%wr8cgr?=`ir2`J1UTX#}kfTSQ69w}zba*l` zLk%Iv8C)Rczb!^Xq_+UPepV|PdR8XmcGKo9jv_j^UH9wdc3x`Hvc4K#I;V`@%NsTu zT{pZXrJtvF+V5RvwB(WCuvL7V8~Ev!xtx3LeGt8f<2}s${P~2?N=)`$LL=R zK2`lwYSHRRv3OM`H~Lp8O~L<%7qZHc-S!(}dLKWn*g;}fw(!$nSI+Z}5sHLIiAseB zX@6E6q{gVj(}cv||A?;*iysx=8LGqcVo$1BPOt4o&!=sOF{H1>Lb3-)4eGR3zRACWMG3~Jf<)8$ zNGlu~MoK6HUOHsSmy2HeZgoQ%CW0nw<;Xtf=@=xd11m#XLmqi$xHE~II)1uuHSn)TgqyC$U17c zGdxPN*^u?-X}&saGq|gdoOEG~1XarZ7MZ~XZ%mFP5(G6zb!kvRDMA)VTR|3m*RW@Y zvBC@ieG_#zN+2fs8USOJEsB6sjQ`O}N>r8s_qQkyi%i(ZihR-En%BxIE$|a zA}JM}MYii?>pUaP$PM%%wqs6;nE9pj>KejOsi+Xpq`YtgA%aO*o>#NRG8?=D3~VVqsBP9Cpc{aJym)K^E#c}eY$xWM_n zm%aIeyYcZ8Ooy>fLE$_W96^ZZgOlq0?ttf^(ulCqtt_iVbT*gRsCs#EH6FW#zwA0M zW#BnKBr&~KN)m$z1)Q4Odl`Ro^cD!eb@mz}VIBB+>xJD`=CC%1D<=>b0h$*grm zaFjs7548sfq!P>nY8$yJ8k1C3^0FC$i|cEptJZXf@07H3kh|d*ULNeXqhw^WRQ8xYN zaYF?3fFkbJ?xrQZGJJ`=I2lfke{5P7JbS2CcJOUif83uhQg-=jH6J29uQpnujWWrI zn0`-yW2J19c!+EqQhGAZJsP`xFxWxUc9OAQYMMmIBU|eQ6py^!Dlk2%5w+J}lv?AK z*&4XAtX+PB4wLu6!7W0m{gUWzT3Bz2WjahZ6sHEmHm^fU2WZ(|=-^}ys0P~%AAcV%TfC`ba-lpl=z}BOY2ox= z#n{gxD3UCG8~qKS={Q@y7*+PIeY2mw&_9=6JL{0~5hS&m zwub=_?#~%kNxB@ZfL?z3Vs&(1eQTle&@S5ma3{5%+cTxkuf~3qU9e}8qx3LUC1@jz zo{P~gZZk~Gu2iq7Rr2yX-&>>?bQp?g&|k|newzt2y6XVhT<6a88sQ;#vT+!E;Qr-p zfI20TKXN_h+`s6DL(?*}xOkv%=S8lc>)Z-BSfr%VuN|n|)Lt>lcJ9>8o84<-U3MKi zJ!Mm{X%knh2qVW?!=CLA+!+ppa>YV5pIXDjWq z*SN8iSRRM2<5W-HXGI4TgDu89Pi3Lq&11fFdTx7jD-wEyhgP{x`1tH9qh(&#}=AeNVsdz3$!@ zm^}xdAj4siYQ3964TB5P$+E0mC?p71ZDIY{okL9O)T{ZW%Gwx*f9 z>sV?O$A0VN(e}xJMH!b<^p%zxZ`nUM{bujz1@lb;Q{n#PzTtYLk1|9$js(0Dy++AP z(snnJD?L_TZdZ1jP#vYk!kGS^a&cwl&M8A{ zI|c1|U9OjIu4{^~1p;|&o3BNh7JJ&h&-FN-{58i=lCQ8_#y^QMGm5k6;xJH(QO6o3 zrBtlk)K9?DuXL=QUf*SBoEf!doY5&Q@v9JY2@-9ykcuVU@nsNoS$;z^IK z6;jF(A{Nv~Q=_fnJ>r1DMf|8W_fq^Vc6?&gWe~1D>}WW!IWoQ>ggT=iZG+=g{=5Uj zpB^5#CVG0xzP!H)A{WiL<v~^Fm*B7W}$s{ z6O|2rXgNCGQIZ)-;40vpjjc_QUB;2K(;Z<$gg@&aLr!xZC`6RV^hQnF5})m$fvr^? z*q~z`u8WM6n^*+H?NCuM%-F6Z+(N_8RsH@kdh$F<0G+(3{Fj(-zPb(VBL|O?KqvFV z(Kw*R#L)~De=Du|CrNMp=H=u>QsNE~vj8>@fk2i4CjyDfiM&jX&$*wg=={eP%v(kC z;)$KS@S>a9599qTjrB@3yBfKsZiYoy&F@#=HGY2A7|^UxsRQ*Vjw1~7GZJA}(}i1m z=;*?q%3H)Jv=prGXUxW~4soT&GS(9!`Zy6v=pxEChF^2NtzFta zHjb+8#B)+3o|Es7!zI8H^KR zn4fZC`KsRRao|-9H`A1qiZf4e66Z!bnSPA`?zfn0WV4*q>qF-`UVzAR)9mgvD{i!x zAG(ha;?2D?zmOm>(xEv9`p;Jj+CO_{v|D5Eizn+HFZ0Z90HD1+J%pB=PS-qd1bbYH zGGCnD+|d|!i~+eHLi_B60m49X^_RnYeB3bcd`^^%DZWmCskFZop?EPAQte=*{N?8O z6NKidot-yh&j7HeKfj@FRE%g+C3J5RTTlaMqYj2|AC9+*iVRmIOPnRp@dOfQqa4@% z6wUr*n2xl)IQ3_Lxv%)NBc}t~9A?};6;V^PV=-*MD)<5v@yxxgy5m$uKK*bOqexN1nPhFjv4lc3bM2k3?zt9xXx8g`G z(#X33&w?@(w2OBwYeR;US-!s2csAizPHbuw^s5 zSiB)sTa6(h8!4_s5z_;HtHNXNF%RWZ4zktp6h5$>sWriFntWP}K-)XTwF(H(a z99~*1&J`A~-{$$%=;O@=l0AB>_zp}^odWlEA`cQFEfIIT<3i^*f0mE^G8MN?j5;=e z5F{rZ#y1dh=$Gj|c2ORbGPPgQ((ONBzi80@xvi~9_Rm@F*UVtwllc+~dqrqmtL&sI z%kFCWVbaPvE5kq+m}_PE$KU1|8zcVrK9INy+NwRJPc}ynN3YrCU3smS4pY#74kt8)?T==G z{hxZam;RjdDRaGBwcS&noXoF}e~Tx&HoA&ecgU1g+ooM z-5|+ZxY#`XW!lqZYGmQ+S(0AtKwaQq<7y7pYB>SbbMkW+!hkYxv22f2@Z;>;^B72! zcsgFI)<~&E+Ub_w<%A7SC$hqC0fZoW76E@je~D1mWuc&sFL=7#bS>7EtF{H#qCS>K zPzM&Gb?S!O6kfw?O7urUa;sZOJvC$mt=gq2EpL-4Trsr@^Z}{83KjnTKmM+dmRpXk znP)A#ISv*}rTh=aQna%Pym}ec?HvkBHc5Y9Y+vlDUZ|HJdB3vS_$g{{2EohsfSf>n^5g1qq z2goXh0FIK`<0nf~ngXEtP`00vyF97W2&!;Ft-yqGMI^&X0rg&$NS^2Omh1cr6O08ZV-`P+V`ep!%51bxX^2 z`G1qgcW-i`q4Muuag9!!7O_uB8jI?dz@bAcYv9u4e5n^ebC=rb`>+-PN0c=i_gsRk zhH@;|tq;_qI^|@2;^E4|rL&cWbl6gS^;*TsbG_y#Y<)rSJqt<0%xQ%c{Hpui0w~nB z#oJm}EKLtM;#gT$3d6B}T2T=c)9n3yP2z*&qKP-wuV%lEruY{V_gg$0t322%qQM(F zAnjEr&NSgwC-(HGE2jOTrwT8e>)9L-T~xQ+wt%KwjB;x5iOc<@x}Myk)zvp)=9IkV z1Nyk6sG=W=s@wrTx)Sp2Nf~E%laGrvUv#hsV{J(*e~QE}I_^01v>;@K_S4RiCm+|z zx*k&jd@*3yN1r7kVhhEkXMYwYIAC3?dd>qL)Nr;l#1bx!tNHwB zb~8jEo?~d$mF5r4u^Y36wXo7g`$G*`obA$N3jAbjWR4O$p}n0^6@A2zHx%VCjXn8k z>SUQ!QF$bCtuJirs&D!cJ+H6H{2Ij(;*%TLG&p)C0Ih)SMSeNDN#(*)44Z590U?bK zmvh;ZoFy!CL^g3}^!n7ZQ1Ti;Yj~1VwoGi*R3}R=x;0e_7XL9!c;SO@p?TL5{mNbx zKkr-GE4)F#pHCI^jS?`g3u&t|T7}5|*4A{Y2*TXlDaYY?Sp;$#gVx{Wye!wy@}?%$ z*OwLPn+!<^as|1beJx$zRKV#}uGYY*@xgSUGxT^ob@0KkR5M23wK}EVH{+*@#A=DU z*M$*xTUXWH&)y8Gw1R%>O}pJzKmD*%Z`3zw;@Gl4irdR}-;136t-U+!)rrAoO2y46 zfP1MHNJ~v`M%>f@HTFP|OY3Py$JW={RcHNP-1Gr;GQ-nyXk5JM-A9Jg@r7=MNWN@X z@g-vbblYk}>T;Yw5o2Y*R*uCeYx-SPBvA`BnQ4_QT=B&}eeVX0 zD4kD=-d6$d*;9u3V`@k%gVpnm7#jvxZW@ovF-)hY3yb7q1cedB>DljJnE?MZu!Vvf z6tNG|@qGMou2cWYbH=~Tr>yMvOz|m3pxVFZC;UsY){v)po?fH7a3ZYp^fo81KS#C+ zoBWQ?_$17oE zUG8(UlHY5!NpiOMMP|p#X|Dg72g;G2qlmEx8Iz0H4$)K#cp`S;+YbH&Jypq0{@Uq` zc?>ZNF^gV^DKSsH__3OMYFS2c>bK-G9OEXrZtFN0OU7as$+Oq7_cHP){D95hT&^GB zq^=WK7A%2cQr9`p=aP@HWqJ8Cp3fg)V#|!2XIeLtUn${eEFP|pZ?BJIoVvSho!$Lj zMJ19O&-MrpX)Kn9jUu@Cx=rmIUsq)iP|f4se~1ob`R}%#=7i~>$8jj&^znB()PDOr$MysBl@#W7Z-N~64T4kZW#`1`i2*b9r= z@GA!6a^7gj@p5JtGG|U^sTZ;H)@#rlrSf00exP9C4OfDK1V%NLYnExq7w&+P_~h4A ziru{A_j@VyQmD_5W#n_H&sP%Ne==K1>#fbExjPqAPbD>T*rd0d8t~s?SS)s&E_7U` zrD-*%X;o4G5;1qObFK?_q^`^Bom)td;Ne$4T;*RWrg!0C~n% z-N&C0xUDS9fHwykAL3666lGd)+$fj>n=&mXy#mFO5!ixUs6HA)9?47&Lr*0V#KY%M zauZ|n4G)dg*E`!*6X`JyPmR?#I{&IB@|pT@RA_+T#nQteb;%Mdo~(zDxw;hB-|UXn zd@cjND3G4C?ke5ExMt&S__TELH1Lvk-}6GPuLTV z*}+;{3iYti=oe?L^@oyk76=jP9v0^)Ch+qyYN|L~Qg|$;y@F9jY5NIi~wTuwpMqCOAXtOy+ zT!@;9zJ_b5i!s8k6W#*5Ig+l|vl$etc*A!^x#UJ^);|O#qpL+8PsDN)t3~PeS9Vh* z1=n4o<5rY-PhmkYP3SQiTo9s}BZ@QAiIiaPK${g1Sv*!4G6W*T{K1u_Rt8J_TS&jF z*Doim(s5JLQZ@q;AIY$j>y>E+g@@5VqU(Q%;}0L}UpM5aBjh*n@=$0g-~P66{4rtv zj~U$Z|DgQ@Y#E0vh;rmmLv$;ol6e^E+TgF$uj1Pf3)S$qT8Q9_$59Ib3w5x#XCi<$ zqj11ZFxuOOf+3^B^jhqF*FMJM7fDn5(jJfya{@bBd6J}THZy@XI?oPL2a#>&oMSPM zxJTi9B~$_J*FAu@KUC}otzDI(E}%gE6O;Tn)3bQ_RObZh=!Y!D36CoGQ~ z`b1Rcu&)X8|06z=L~ZNGAn72NlH~P{pfInr2PxtA)6jU?oLV$EtEC+O~CC4vs9ju~u@ zX(RV0Q=PZ%hP(BodeW%M(@lINqYRl%!K-w=@piqT6?Jd5itNBsM+_>nR(Jq)adtH^Lm8z~cYGLX-Mbn#Rv3oFf#hZns^?a4gd3rQLia2XZ!>Sm4GII*SVwhS@p~~0* zmRwu)ce6Ehtz!3v5|snVO5e5mbYJUkx~E<)iDKeQTW|9Dg}*2>aGOuU*guOS2fEZ{ z!B}MMx9YARiIX@wv1IcMqwGz^wdZD1xm>A{W#_yPNJ8qS4BC5mJSM;2&_;hlMl&Gi z>g_Q20z3PZ&I8>ZbWBiqX|Ekvt>Z5#kzZ%`q1o;U8$GDM8M)3*ykmBKtE2u!z1z*6 zzeBjg!%JbRMj2>i#or-xL6RKsXS8Oz!{K0a{`$gW_0H>I^u2wfBux8V3y*}2h|MHcQv6a*DHhsuOPuO~}O6o8;$`_ol9Z57F)@x1U=4j@F@QEM(O; zbsW9q(N7veB|pzi@p6I(?&fyn-Rm^a2}RUjQ;VU()R;7hR~^v+H}6B8dO1u%UT;ce zKoL>&-Nn0o_h{x*PIt$3c;~oYT3Rp(coN7JCrNHBerqK+D*pg8e26V}Ggkmkg6>?g zGz{ZnRnA&wo(HjWXvH!ys8ul2K7>9(-l zR&2iDT=&SgBVO^S{-oW`UJ#FRZAJ`nsvf-bk<9NJ&4@v)Z1XA%&DC+X{VODc7%qX3 zeTEfy2xZ|~;hk9P-C@}#dTuRv(mn|E#qY8$fFbQ%^fkC9kC>>A}}d%Lra zJx~;0p_ECVvmR*WLI~UI{dHl!@L|)7U?!Iqa26z@a%l9+y?^+C0l8+u>#-+gToYn} zDx{lx!TFpzHjNV1KDqh=_vMO1|^r|5} z`d1%($pm0{a9P2Qm5hEZX7OKz&XWKE7(Q4+DC+%Jkx~|?1do>iF#phT3K1lDe)xkl z@@M9WAKLoQ4Q^LBb$!qACYjHQk3L~XWVbut^v5Q4t?{P%&*M$(2IF>`*?;`nm+vlK zY6)Jc^|6-4X*Wr5+X9|?$^zMPasLbjr-h02gYKSATmf5EX(8T5hQRKE5Fb|+2jVm+ z|BuuI`(nTCjeY}nnU>{eSxt{ib(nqbwW9o3`)bmS*X3tY3$|na2cXk_cCtCR@#7EZ zZU9dg^qFCBMY{1X>f^Pom2;4chgxWNLpuWMrV`?29IC+{ya5!_<`q#ppd_;HnmX~5 zaQF62GejP?_C|^0N$gnv>=)(3kq^bh7Lwi)I#HN#*_pQhjX zs)lFdo3&>CEjobMoBL}0x%0#rYBdAk^xM4#oAg|Lch}Xwsn>@k6ec$mn2AsF6o_8_ zzJ5m~WWm~ShurwV1{K$Z_=#R^u_K)-(q9dCDLEWa$T%Dr!7M|j#vf?XGiHY+rzo7M zHm_{HaGQ+J{q|J~TphKj#&p@Wk50ZA0^=tDr=1afdph&5`M~QkCyzV zax|hq9t$qtg34e)I0XtfmQ#5_q&Tu_M}BxD8}jK^k%C?auig0;sL5x3>9?X@hjxLI z`2_ynRu22`$$u3E$dW~kj+T1p5zW|6|0=G^6G4YYRA%YdxA$2F?b4`1#J=9QC!=Mb zk{o=!&;Ejpb+}$ONvY;Yzvv=%FVP*|S{m749eIOlxudED*Om!>+>lh$^tc!r36?P4 zFAk#DMN zl|+ngs;|>YUaiu{@wn(Wnb*--t{TZA#zFm9SnfGcYfj)3sbGqlX!VPnBUVtNv_4{l z>mDt0h{U7-xhKo&mp13Q&E#d}XRaQ{EIQEu7A_Ke#AxZE{@uj-I?u06O#f9)Ts&x4 zX~)&7*5Kocf`$z~r_n7K^P=jlDCcy+?cmW}(Mwr#r%PF@0`o4I@#s^)yH+V{pZA8Xt4a>p#H6DkXYh2k-EZ6cx?E8<Pcy~~6mLA@^OPVj(_Hp(Tm98bf zKFzK$80ygaMpj{ZODw_bQJjSeB)avNi~tI>zUHzqHz-AV_;W2~AfEmG2MeL5CdO>P zzx}Ubx#Xs!tv$ryY;HB?rZaD$3(V<6qG3|@XBTWc@V7c02tDvWnDUt{XRFfc9i!aL z>7!iKl2lY8X}ceZ{kv{FN@VCg(77dONkJ9T%`+|Hpufm;yim|j~{X}1;n{_;h zMnDD-!5SqvB7yjg)+!0U8MT2{f|JNrFKTH2CfS)B%Rxrt`AlPMY`l(NXE z17f~~Jc&(n`4PlqIdc}3o=lWi_dp~y@=9tw=W)JdUJ1rsmxGU8$R;vcTF+=##2(4w`cDu#G zH~#0nfq(c7t7DVndshw4RL}3l{->7S&~SDlwhbWWh@+6q7#lHFiTatTV09(t7ou4A zw?W#vIbafpXTpey2<_pm!|tQwiQ zi^Z9X#bcj#+2<~7!6aKVx38W3&y$7X1zl855Q_z$iB$8qE0g!Ic}}VTpWS3S`!%%M zpXie{Ek+rukj*?T8%GF;+^D-;im;L%)Ifb`%RlC9>WuU*QW$<*t9>jFp^3bMWh9Qd zpguueDiGd}=r;5@O18o$U)M3XuBh93`l4HXt9CoZo=56)lwnn&xvjN>$my$BAcO*} zo!$4{g%g%xyTx#=1n0Jv8V0+u_Lcsf7Qjm~OJ*17Gw zy{-);y`|xK@v$61d(zz3RoU5e5b-BE$xrVpQTHs6a4!XTEaGV-xYL-BT@Npq*vQbGfUoCYsiapJ{2aP%ej;uw~pt@JPw| zbJbDU4{t-A|1R#TlQyiIHeI!UFVppSO+^=%-7*V_YQa99J-{ivbFeaNMR!oxznoU= zoL7DiZmG>c*y=W6@O+5^8yHLfWB9&cw{y5qs|+w3%GvqJxWNC+hHpt$ds0J4V@%q0 z?FNV(5b|!SJ?r}`q5A(y!hWH`aD2W6w7V0s2MnDeu3Nq}PJZlDETxY!VQPqtVijSZ z3l{pTRKUnIbWIA(DY~UNa?I+LJnL9MUR)znh04rk#O@XA($=-YUsC$NO4TjxRGYI{ z-H-HtvAngoL39i4)r>s53S{IsKeRFdV{mbl_YtE*z+V9MyZ+q@?=M#xU3H${4Rr)G zS($*}U3~oHd2tvshrW1}I<4ed5xF15^(Q)&zJ;seoy_uCPII9=ac(AVIJDI3jDl)! zW=`0Rn1?8s!5>4+N0U-w!XuAIlCu~He;3w`X>p;*nhom$jr`<_uF-P8(24S{h>7yI zsEKmHxCy?k;CKnos=c3&m*K+zlHVKr0J~rL%vF04j}o!N?Ohk^srBovppn!u9k!{e zdSiVZY`d#hZdTe4+QbLLJO#>Z=2BpwMb)1k<{BeFeC$JuA&_=gb|$`y{_*C-M0r9Z zNJCO3jH5~9OM2gmm%^m#geGZvzNUP8Rbz8xtfVcoi3NJK&3Cw;Q`Y8e2$WsM{S)X+ z{b~qa911#X6anAh<@I`QDgWHp@fg}MRh{X7qOSd_px5XVzu~pN1dg7ta!c?do#udi znaVS>-2Y`es;|aOM3DYFu}J!GTAP*X$;H#~ea}1yh80a>m9@MO5#uB{v?t%(FhPt( z#_dr}>^;MiQlMKj$a}S26r6M*?)H1zc%d@|pF7=5YBB(^s3>qE z57e_rSEO!94&x*~+Lv&c?wSp;BwN5ci2UQzGLv!B5HljBn+R+w_5$!>$zsrxd|uI6 zlKwo?IHOn)6Db*F5&R`7)s~8{QL!xdt2qa(PA!&xs8SqS9hk3GH6 zTj^y)8M?A0=za-W05ZxhX$vMfpA8rIX&@Y2JdkIft(!Oe+^D*IzrH(*u(#g)+Bc;P zJBOtK(V-!6`5=d`>UYYJ+Ep&~rQnow==)EF=^t*B5GTbSQ?wrUB$)>xM-!WTp^xue zz4GHBB+Udmy8{3L-rhYut5sT^7io#QL= zY?}lt%w@9qXu6Q%)Xo6_U|0D`mx{1R5%6QKrIu(;RggIpEd4GuNMGFn-%)|51J_>+ z2U$p)er)VGHm|9_eoc1IyG-XHp8K5~gj0oL^&m@iF7&Nr$|+` zmwN-v(Ltk+_me00Ax(tdr;VwfbJ-LViPpAr&A_Iz=JVGk%LzNhxNZXr7k9r~=1=$l%C&9Cs*Gj^vZZF9_IIN|Dn72{X6Jd2!*L} z3!88)TOJD=^K(n{^V`?8*|onkzqTVuAA(yl!#k6U&yI{#Y5aa&t=P_=>lu=Ef^srQ zScL0v=?Rjh-NF+*j{~|(Yj+e?8nEUQcdB?^1|Rn!_Ehu;&RNMvp&<<%-bIhDO66&> z@|?hpM>99d`TV-Rp4uns@a?fOKxnXTtRL~R-(l1K0f#$YulOpS? zahh{h)O~KMajLe_KO)lK@~{C%nMrdek@5Lz}U*Ff}NS$TO|zb~FP z%1x9|r)#&bm)4+2)s#2JH_!Jljj;P9*1DkvjnNtX$F(%`7)tHh5x(-96=~^;GFIoO zYR$-bY{;G2mVC1R7tJ^$>#_izsK}X@^A89>`XOmHGtM8Gwg0D>)zgJ9$&2(YXA0U( z9<=% zKB@j!6{rNO8BGt~a2IzX+g2WR^C2!}5tmA+x4G`HpO}}G#+&Ay?V9xUn3uu38H@j= zN}5WFa1~P(AFS;g13Xj(eZK#ubi#s}2*N61Ge+rf^{vIIP?$k$nL~xC!ERh8*tF@o zaJ%^BM*6!+>Y+DP^$7tgt2i;Atyq@&LNx+Inplp=jm~MIU{F>0kF?+$>D_I>!u%gq zu6tqKizy%aAKj46e9^E1jd1OagmS zWvT!>&B%&3wiXJmc!DcEq@2vbfHFLQ>zqdStf0I!mv&A^fC7+3RMb^RZLkb~0w_{b zw2UVzaf}rEYS_5H<5OP*cngT+u;PmfC{sXAC&%^PWO41e79IaQ@dPk^B0+ZH-z14Y zN*`!wnoro)pQ@!bH^b~HV8oLn+}4*NRLdj_77m7chog9;jNFO;UlpuQI;0WU;3!H- zg};4$EdloxPA!g1hF{IL9IQ)bfz2nasU6~CK^IgSGbY6h)PY)jjjHBbD4%!6;?zBL zFn`3X>xN;sbWk*+(;8qU*y@bbcqHwBK5E$#UDGKH(*vf=2~!fZD&rbgBdLlxPc3t# z69Zi>$EKP!_kR)UNzyFS+2~Yup6FNde7H`9pwX_7(@bQaroos4%)D?o0!m_;!cI`2 z*z2~u4!qRGbdk7v?+)>mYar*=$BE44WV9=ILHsl+2`us#L(Z498G&ug(O zvKZGNtQ_PqRC&jKq@mJC%6NvRc(NR`HuP|m#7eK;x+m6htfk~}DUy8QU+7N`$OjXr zXuaj(zbq6dqCkk?otW)2BEd-^N3oqwCr;N>;@w$G3L6@ z6khSe!lCAaF{DMDboaY-93UjEvsV`BJ&POl%aMwC-9JHurHR5$lf@M8J=_ogM=)(N zjPAtoGI2@i1MA4)t)HQ@g+#BUx+T6Diwkg}z&bOxO9?rls(j^gb%iTX;RCe6eA(X_ zay3NWmBF}whLBv#e#{XJR?Sm(#l!C}PsKEn5NB&x{5JJP1dkt~TM==FD0J$W*dTL@ z!m&sckOU!8tOz{#5JiMNeT62~HQXW!5%p}kf0MVyHaDvrIbiarixeEYFajsleGqE= z6`C^lOkz?ZB(he$k^(X=43gyWL&vv3!LPmVTy=k>*iKrpH^Y!+8k>6amS2AoIUp;kUuPs8Iu59#N;5lRqvHe zue#fgm%%`%cZEco@5X^#v7hhnJ(guL!1q6`<9AN!Hd?`gL_)uvy^8GwNj=&Wrfl*y z6~T(_)Y8PT0ZT_7xNSA3Iy1gQA8f@qv3%HL!A%CM0*KG84-!f@2%sDAdC(F zzo)evgZ+X>Wi%piFDZ~fb`aNo8wcQ*puPg15E`Tejs6PmPk*2<__e`(VlPgI25WHt z{++ymU5K_bbrHTerC0XqAr*<|fLjx&z~b`r3fKdrBm?-EMXZ?c%}57^%NH-?fQ^Hs zOR&q2lqKLx7^8F603S>i1M?2HK}o;efV?q!(MiULvM`}J>U>Xw(+%e%=a zq4V^2|DG~dcj_o1PuYmJ?r+tA4F#tleqO$i{p#&J<#c7n?6KNg?gOk0)SG&=CxOoj zUSuAE4~P`9qwZQQ8@_SKiBHf(6gR8<5{Eb5S^L>oIG;BgMZY$?Q{-80y`H=PaRp0W z;DmrZr5}p=1aNtb?@5uP<_{~1L|taK^7*|jXNUwBEJb!KJ-pj5%^Q5Nz(BgANk8~9 zyP}>38wY9(c=A4k{aP~fU^&aksb%zd)UZfLKS9bn?c}1!{W-jjJ*`i=>I0o8x;S?R znBfeb4OiuWp+_?NJbkswM`br0`-D+ZnX6yVkqM8IGO;-JX=Oy#${p2ZXKd<`hhwMy&=d9WYaLF*u!Pg;M+C+B^O0uUBuA5EZQ@B*ff^~ z!IuzhW7!pCXp|Liue3HJc^s=A04NeXuKiP3HV&BPzLP#$*No2DCk~^qoW-gb((qN$ zaypn~gBs%KE1SwkL_15v59)C8wNQ=@#?vo8;A$rGWPFEl zW>SXjGoiFHzr}QwhwFkCXBD;eL~y8rCiZvZEae0y#ar_U4^d4|m+f(Ah!U{$gP(YW z>hG@JpDA>!QTLmA3pLX%Z=8bhol3dkJ}6pR3tim&>k!N)0^ zVOf8VF`V>z8arP62kn7@HYD~?P zR(VkNFiN!O{dF^bb#k?j`WmZT>*w7drC&H4w~YVxt01|Hccm04evDkwbfoDOcaA;d zf_E!4u51j5k%U&4twnVZHbZy9JVfvYthqe`*GuK^{DXlAJc88r6ZX0ot_V68KJeZy z)9q#9Zrb5B>`F>0mnWAE67crq1+*5&O1I?W;}{xpZaxBr6J)`kg=;^vHhNJ)H2jANISt#3ZkVM=b4V0V_0yW88bUT2F^TeW zcOHL14_nak34Q9jT7{3Bc6an`4%urYCd!+9j1OOWaypvV%i}Sfmhd?JE9aSZuNiwh zIe8Ra9dljwH(Tki*HL;-F3(W5Rh5sMSY03EyseneD_Yb$d(z^4rMp1cJJ-$Ie-2YT zCZX?M`wd}HCysEPKebLBfzwhpuUsvj+K(K-sRIgSZeCt@ZmX(pWo56Ml_L+=5A6-6 zR{LlA-FvY2@*dQhrRoqz!x@o=xy)wb*Pid*CrMfHP4VydB>BxN8zrrK^;w(d91FYvmos#2PR=Py@(OfNQ@35VU>XSGkH>%Q_w$Vn;?=&?p}Vl)_r@(>3UB@jQ_G*M27+WA%UVV{-Nvwbi+Ql#wBU` zr)D};YBfG+uXejl6>qcbSY`IIx>d?WZ9N#-nR4oRDSrF+OTWTsfMCFrTl=eLwXDgF zR(RR={Q(5@MDJ#2W=KzjY7@O^mCE6S>WV3_h+Bcg6Wy6uKj%r%O%4#C1`i{{#pImWRI68B7T|r7v{2^m*ZZ{4ms2?5)lVm zBJ@@sUdRJ}23Huj0}>69Nf%+r1oXX@EYb-1Jc|)hsWQh4h00{03`{RPb3oL1-tF~~ z!h)l56ak9Dm4)iRw94cDpAgD8eVj65Fx7*DCiXy0h1;D&{L$C0ZzUv8B-zl4u9o~9 z1ciDpwT(Dex#aL!i*g$pyQ)seu#lKacJ`;rx_T&>614}Li|iSoa9U3E5tk@Dg@wpg zIk+HM^}#zyI0X$*t1WyGJmw%a2b_X+htn2MMRltgT+nLWj`*mgiw0|y_6z+~f9;~6 z`ny4xYf=^FOo zl$~nrff)F_L0#y=x?A5FbOnAH9dDSstTXBo=LO$$Gs)Q7&&k=_$V7JPF}}J!?Hp7( zZ2zGeczJym9h&US7lIsBRBq;}nj!;$bZ4*`cB=`tQ9Hu#*58`7%?vH=EUi`!Ud~{d zHHei1h>S}0IL;kLxweu{9OX+p^f-`PzP9&JtGh5NmTE$n%-qulmC?R0c~%Gk13=Yo zH1UTYdF?C_49~DaL~YgzYawynX11;JG>o5%tE(ZI;}KRUH|gx^1Le)mBoV^Jv0okm z`qM_WlY)VkE~sQ{Il_@V+VF+RnU@~7*TYZG2M5=to9PvwjJt_yFOJe^eZsJbVs-mw zEpPV8yyxk*PY!--a`XuF+@HSCu<+Yr<`S`ZnZVoUrw(9;VpNF~qqG^8daY+BoH}JD z09-TC#tmqS3&E`OZ{`G-ob>8T(k;gdREE(=3|HUTf4jx)`m`=KN99bh4(EY>t}fb4 ziqw458>e)93IO!nbQ5S_v@zXdYlcPHw~qzMC)ZO{8nZ4hT8o-htISr*#*s6&atvNI zm_sz_uFc1a1{ae2E?*QSuzLw$(Eas+_Vfw6T0aV=(R8J)e^AAG;eKEM*=vaK`j^AV zJkJTO*V?kdA|8|W!IR{=-{&a4%*2J>gSPSXv#YzaRYFDvT>{4RFU_X2YstD|#ud=k zB@#tia$E?VFw{Bhmdv4$L1l$hn1Pe(PJ*%s(^+Yg0wT})BxHUps0l*purhh$^E3wx z%1mEAZX~cVix`)ujgq0g@O%Stn)PpW$brnJ%ou*TjYB$`2ohS18#sQhsmAEDN$ve4 z{|SR1#{RoVP&R|gPALMYT1@PA$ESu7m_DB9@q@BM%;4b_;4?ZKk4qhcgj=m`G;Nf@ z9<_@7$NjyzU&}d7n~9j4)QCmfdKlRa&p2Xk;0#BPaRa;bNDvCQPTS$-TqJC9H=RX? zsQetm(@%z_LWS?=c#h1AW|dI0+5BgypqJ}~T^ zu|D=em3vhlaMnSf``re;sAW3J4lwSX^L8&L=3JwdN?OzlKImapR(u&9H z%Byl+%>(B59-)bI1T3Lg#5UJrqcyGR6rChN$`Xi#RRz}9f492b*R8ROmwKqvXCi$b zg^!D25n#9UF$|J)Rr3vO-+9%o`Zy!^5deJexwv@y3NqbiaI+k_7yUMrqcs>k8>V~n z+K(~|pht8)n_kZ3A{ZL`jLB6P9r?N0=4o8y41>`TwT(ewWF(d@UQbpv|4smVMTm;L z&M#Pu?6NiVS84qJq3s=jBzv|--?nXQ+O}<5)3$Bfp0;iGv~AnA?P*T;t^YaazWcr} zZrm5~;=PEykZV_ERmF~~+PU(Vxmp|q@8)@E1qQaI2wGxOC_PCqu6$b`4^`DUhX_!v z`1@~A;1txf^~15|*p$D7Z6xb+R>8~om5Ed#(yz&aGAC0DDq7_ae&zRpKrLw@#%fI# zDdiu7!`MU%)~qC)k)*hq`+!@PxAos*qU5Oiw2`4|CAwtH9h6Rnq)O?MH|rMvNttp?YW|Zstt9-)ngq8bO4KQR3k7#jSnWm zSQT*%1z=dDH|vQ7T{;^Gl?9(C?WL5BdD3gTuVxSPRu&yMXadjZspGaxAcR>AP zvO0k5Pa0r9=oJ7qDGU4_MR1~-X&q2`$c`svekY=C}`B~kJ8 z6M3~5$1XWB+F+33=L=7{~>709m$TxG5cr___&y}-1lFxa&E`;Ks&py$` zt*=u=Gewv^ICDbl&a3(V_(6TLdG^!B4lUv{G-)|;Oy40Rf#Zmo*nZ6P_alOuAuC^! z7dgcIldM$>mgr7?m8%vLkBkbD4#7qFvI!ms zwI<7Dj-0N-nT=EG+PckMrB9RT5)VaCqWc>Z7-a`YgiizHyfo*Z;K?u$&ewxiZV~P5S%?GM zE1|AfzHkl4w;TM}y3M9K*Z4RW+pMzo)i@u*vZ^RVSKxunE94hJ-9J8T^+lfQ_a%Kd z-Sii56C*D%JUC}Wd9flYZLMB7PzTB&j%ym6Be!qVS}*11XmR@omAt_1>4G}(k+o16V&3y^q1byg>?_zeax$HT-5}WxOM|t1f!$_)IyIieMlr zoBno4%dyVg7j=z%O%=Eq;%hX4d+2gp2XdvYn3Zw!X^b|}bgxd~m zjxTznKeXK{WjQN{Y-u_5BzU&E;Yydc2e??58ZqHGFO%G#F#(i zTUb{S`*=#nFT}@#;c)LCEOA`Tj`V%!yS)v z*v12==3;)LGFACLe!N)&N>Au8Fs!7uHoxZv{|!je+;3ICM+Hr#ie4@bUj>*1WS{efzZLN5r=HE1MXNqqEMb ze{{3NGm@%9hEV^$C4p_$Q&F?OFiRrz;d*hJ8J)~c8x^CesCbl(_OrfxEQmY0zzkkC z1JCr9(rQLoHofR-ulo$NcpyJGJ_3q?saIl%N0gW7ASA+D6c1VH?U=@~)z78(!cTX0 z{JT;q;bLp@m;|BrXVg?Btuuq<$hC`SdAhPBb`%|`9K0-V=>h}usE+d(im)lPTG#}z znmF(9Ph)cMKoZo}XbK0W^tInkOfFB9yA623w$_j!n+T*}Ep)AmN>x4{a2{SMl zoEhjbO>=1lk>uNOiy2ceBdpmcyVwUT*sYe<;o&jy{(L|dLF76oh|1WR4jBTfNhLXof*rk}pA?bt|Y8IAdT z%w-#CoM}JtV9d3Mlq$2iRl0Z14hqxkZwXf)TU+Dv-kbSEz^AR(>w|+>-|_jV&rf_4xUF6qSJO1jC$*2oi#Nq2^&? z{CZD`*bRsgLC9jMB}cC-9Uzi4|Bahx8mi znJTEeF>*L%rlRb4Ui|er_o3LR%a3BY#E{LCLQXAd1r0zFcx42f1cW2N_=1X<&S* z)Hq>pgxmZ%RCY`WJL~R~`rPOcaqk0Z+$4x)q%N}HU>gXp2*nwAG8`5~DmA%t)kQKPNIJ76l0Sp=ds$?{lwp@`TyKeYoEo)V?iq$UZyvz+L*_2VSm| zN70EpmrvdxBG+eI|ME5jzs=quM9*$t*;?G(X$O*w4XQ659FwXK%}IMn zHmm%A03Eftx0v~Q5RShoOT2+hx%-q; z@YvkMvYBl4SkwJa!;6$W#qUSYxn8yfDo(-K$mNk>_Z=)KY^bV9(2(V0-~sOMJa8&E zzj!BAl%);1Sgpu!BrVWG`AdcbSvA>FO=FX+bb1vF;h#_YfB zgu0^)C~wQq?Nm?PN{JhTSEu`tI**GUsaVf145KJCaYX;uP%4sZ*$|0>hxudgWzL_K-{ zq8K}FXcVX%lal?VgFA-zx0QkW&e!jEDz1emUo+@L7aNY+M`^VD=d05M47_j}G`#Qx z8bSnwI654pA!z8Norf?oEs7LOP(JVE`Jp+fc%U-rh@9I-+!gp|e8|g2t>E0dzX4SJ zbxVY0t9XK z|MILeu$`ZHJoOX{f}k~CocP_Nnd+A)HMj6`%BA;*`fg;=f%@z4{Zq+o&H=fl-o&<6 z4fOF_ZtJc7-Z&_-4hI3XN*bZ@z8b34B zT*PpDWG7_1bjfLpp#)pS27Hx;f9uDLtZShUmEZPv+C*;#bj#Fx>;G8J$QS=x5-?q3 zaCHWigP3chSK@1GDtuqmA52$G>A_e_!)Ed!G-r-ai>G|h<2Pl}13A|1zklveZsrDO z1X0~WJMynlO285uoc}Q$mIE6Ox#r?FO+nfKWMIu@wp2Yn-euMIxo-EdK$T{1a0pUc z_mtV2P~N60$BLA{u`b+>X+AnPIK(BU9Pg5*y20)X%sjE!b+THK+d`p|bqOmD?SPiX zI)iM6teKvOu}5jFxxwt{X8h&(=w(N|K2Y^7h#FE=JhA8q(t7w98i|qCxv3+XFOcFq zB+#@BMv`tolqIWRs4H-Jf=2vJXcq_?0Z>i(rsxl+uC6%^Le__Im#XzV|BnUiGA%(= zn#i6!fw`{6>N&-NI!WyHOLcB#?jD;5Nkt|%VCoTi!GbMTRar~CwDWW)I2h0~Aqzrv zM&B~UDgr-Jc(9W7 zWGn5`8iD6@*ZIN5lZ3@x0rF&B3Q~MuUP=g3m6qKvp}S?BPSepB)3689_>(qsINy~H z-6BUBT+3ZO(9KdLaBSI<$jKEaLMI1;M57GQJeL)$m6!NcwWx&qyRy(L2kxEL4gXJ= z?3?+0k77d()i>=92Atg(nuiIu%Gb{>GhzKBozn2+U5D5LGvp*yQp#6^LiDaFYDxo<0@R`lN+Sp<3(0%2srw*LqEDA)82y1!^5-3)?W}>;-QT?kLU0`2aR*P@`$7 zUE2#y6~`$Y5SEqf1KbpvYR$ut2i2a)ey!n=(xA09RV19OD6x^SJzHaub`s-3O#>Tw zBP0Cau{BmC>?{Zp<3&MM!|W_S@ucZE!2bdKILb8rg$1LIYxVO=)uQ}q2A;hGzjtA? z`8|pLVrsB;)RFU~)&F)KyFg$Np|ps?S>8%O?sWNpiJ%n{BIdK3lECm3Lj!ET+%qkZ+u?rq`L>PZPTQvl z&=`87bBkK){%5YZ`F>a4+HRNov4Dsk_VN`$-XPduUSmNHxrlk*-*Vtwj5tK^r4C(c z+N5n*#BH6U8jw0MK)0{^#FrMix@c6R>Y2fpK!uCjXz3fj1nJEo)8|vcz?Od!AjH{A z5ry>F^LB_v8ckl?)q>ye;zKv}-5<_B9wI+8J=svs z!M}V#v)Eb0OXIT1nhm#zd%SBAbSWbU*VednpCpygr*_?sQGTr{_zNm*qP%a2J zXKM~iNaBldi}V;AYD$0ZIrsx?%Lfi@L5C^g+IS?qp zR=^RKik+(RBT0|xup&YnGuOl!rF5m|h}aZk5~F(wtiHIVRMH_WL7+U-!*xdL(Fba| zV_dq~u9i*qsGR zQVxx&l8jcjpR$al75V+_4ffuq8R-SbR*54LTREawL~=miQE1BG<>Go{5&Z3Wbh|NI zclhwJHp8B5(@mq;!k~~X10y}D)|+n)++0qeieaiDq1Pa!e>4e?+pD+ReuQ+KD8kC% zDRFAnXBOZKxP^a5noHTwpIHnJr~2NH7Ur7L@DWJ{H<8|?9o4OVlJw${ zyNJh7Bjn56fq0yS8zE$Kk%gcAUJ3a4HD4I;fqm6x$`*w&5=QUT0jEO83bB-EB}j(} zvE*da$3~ z)2hx*JT)5B|6Cha?*ci;nrl>?`};#%_+0Q3vfJJoq)C{rD4LN~5Kn zebPmy?#li=<*!^#XNkt2)+d-8q3sz!a7(%5K2;AJaB8@GX?u!svDL|V*sX@pe(cdf zBv(7+=mrC0dwap!z4d8ho37g}dW*pBym=7dwS~%sXI;2VYI1Kery{gIP3))YacwQo zgll^pr;f-00)XyiUlt@9Gvw34@pe44Y8E}(@Xh-jHc8|6TZRb+550z91}DL&M$6!R zdIRmddvge3@7#Ea`b_$I~lI5&Raba-ml$ZwTsLk5M?hyfR!a=4E?mvd({v7a8?aos$ zW@*P4;kY;{) zL5tz@+L>EI>K@X*UHxj@vNf0LE=x3>FzGQ_-_4h?gy?y$#9&~Z5q`X#=FM8%CY${W z?|IYmnBclS;qlyM3n)f33KIkS^^3IYA&#hGQ+&lzlY z?&lG+{R!6`?)| zG(labAJ_PWjp*muMr%$LDL7r-tpJ}2I=R-cl9xevQ#r#k8&J|^f_R`-x4y6GN8P=7 zI2Ra-B0EYr%hHmRz!t|hx3Hb*@Z~u(xl-|bz%|(9&@G;8hiXT0B2&+^MiC(F7Z}Ws)f$xCScrsw!ETKgoU_B1PeOdMt5z^G#L(y zTQE6bJYfIOY`^HJG=vD8O0w@rYR3XOcs%K4ormL3DC>!pShHPbllJC@IC56EO>WbA zR>I|#TM?<~W*mA%3kxyQ^`G+BT6B#4p=IV#sJpAU9_ z=eUg*j!}-@(0P03CvD=tZc@D^$~l;3FrK=pAukt37f==6k~u`nt+uW2&K>TNzD=K4 zM#e#GgvbVRdb}&VD2RMRikmFQ1)W&jLvv^%f#Jf6dj$?z#^si%@O-^D`$QV_w)N-R z_AwekV`y{{p{yQ>PTR1Sw^B(IWTinTXwd1J!-0fyb3-%s1g?@-pMpstaB?EF6S{Qu~?M83h{DB2}qV+p{aa!GBX`7+CXQ*=hmnImQ=5Ecc)3cu2l5f z?A;5!yzY<6!rNvi%2KnHa$6OXMZnqCVu&WuZ}7%ty3C(2trbU40B@F~mW%xi_N+uH zcpKlDR_T{Y@*w6BNkTXoeyHzn!pmWc96&I!Sop@vB@$^QKbDyAR8Go|+_KG0oaMH> zbn#m$tSJZjNs%I!d1t6sBBjXhF}w)7(0gz5%>);N%qR+! z9?_KRrPO1=v$0R0=%-Iibz7gXQRbyY%aO^SqsvL;6xAvh3%t`J3HG}3v5652WdGaX zzV&7CxOw}h$-Jw%O2ZrmU1ugp42M^kW|lj!jdVR7e>0r`uwQSWfX!aKwNE`z8F7X= zRts~CHufKF?9v*z@ESOGZS0#?=8za2NMqH$s8-k;!?0sAKqUk>Yo4*k&yJG{YP2IN z&>z(l{nvhmG2w@`1Gw?wgB}0qq5^clMRlR6B(b!HTUMLeazj~aF;zPA-?1}6 zv0TIGq(ux0MD1)|8^^>R+!O2;PS3T2BS1L3(?X|?qV3`Iwx!aeb$P6lj(yvf*{iXt zh5MtPjQ%jSFIGE-ptZwl>wt7yH_dzvV6xN9@}plC;10=)S~U6Wx{Z3(#{a6bylBU4 z*f)6fF8}V@e^C$DyfS&ry+Mke=C~e?%U|PMAh?2xisiq~ zkI!!lYqA!wSleQtch=;iPsq10TAy$60h(`l0tgYvd`mjW{FHBv_Q(BVOt`(7OT^Vs zm)#1?d%&M=3B{}0dA$#)D7lq(n{~N;UxaWwhd>dIwmw|7&e{ENJinNZ);?Bc72fPz zRo-lWfEcLq>aOfv?{ekJuX=8}$AvdeMcLoQR~!GMubls-wW92o?W=roKZ!SU5AIpd zM?DYc2j8#z5A2UG`kwaZ4!XOOf<|Y1x&?Y?${z8kn!On5@Er;+F5&u7Z7~^KQ(q65X#uHtM^{=#>G(AckcY?GWO%l>76uf| z{xf&1`UGvuq5RxyW_`Hbg0=V!@fj@9%Z5E^F^JS5lzAFZO8hNIg~ukMbrVxrLCa1L zvYW2w)|JyGl1mUCrzwZ_4#a5y)t|g)kg-^uJ#VY}1Ql`Uw zWYNP*)OhTKH|^yx4Yx~HEQRN*opgqqj2l(!;Q$VN{nWC~iO@_Is8d_N_W(OuxW^1X zT8M(YIoDUC>3xz_#Pv%sE$zDh*|_O;XI^mXk*{%kPO$op&zIi42rdCHY2Vb@iUBnK zGN%YGP>pi)FG$tg9}sc%0vl$X_}_+2xmW{XMc0Np*VSVVHf6v@G3fM$+i;0~#qj#Q z&Yg_hr2x{(DBx0i8yQ`-!nM~w20XT+48}nZnN0 zV*%4H6K+c;i!1kxOx0Ez2{R?MkPYyy>~a7AS0!lx;0oO6*N4$Rz!la;2wtJBFv2`L zakxd+D~OAArg8X=6(ranj)9y6hgs4sUFa9X0sJfn`+tZlQ&`yc zv)08o*YQ8cZ?v&+=jz#hzWv(b@yUS9Z@U`;G zhY{X9@L@geFPuq=2=lr5>*X73rF^FOyImJA#UH+x7YX1hpAZ_o&?~)@_NC9bcJ7kt z`5{@;npTJDY71y9T}HOnY(SmHP#MnUQp`V^4{wHI3+e(9hR91ii1UzJE`F!*-Nf?Dip$NxJiQRS8jMfk)6q$uO z%}y{uEYglRK`?X~JI5tO4P7``;p#)n8J4WpU{VIu5tkSoxm5@m8$?oRefEe!Vd2jO zBSu4zZMgIRDO--XPx9uHc`phCPrLK+dL+VeC<6X7%r?n^=iWtYB@MqKz zu*&2Nco}_1@n9{8eD?nyn)=*_wh~iNJ{1 zdNQ#dynrhd83WfXAla$B|9j`8*}IM7-N^4z&|FYrn08x7*re#n``6LO@?Nk}mX5$8 zwPF|)Fm%Bx`B{o_%{sY65)Bx-I4sltH}VS3^WWr^`F|y^v}7^C=`Zqo7x^pto}{$3 z!Ljs}cpEtHZBiLVn3a8(KEu2$`xHF*r zB^dm(>-6>L1y-i-IzUe*@8A9GJb!Cw_Rp6CAS`wTghGzk2aG9Y6D_Z+$w%wD9{YpD zLH7Ca{X~k?JqRr>9U;no7}1M_OViBO9Ow#yH0TNuK(c?!RG50hR5)t+-@?6UD$Je4 zZ0hS0JtKP1JeH4AHy^p$RqNBf{2v0#Wn$>R5m+n8|1SwF?}mR9SWF!MjliNKvPdig z2a|hfa>$d)#kgS^cfMm+jEZB+Wx;)9kU^$kNyKU6b$@f>>+$3BHWm|;F+OIVa--Gq zR+fRHO%bd~wpfym6c1d@4`G3*2~{MzQ%yDjsrRI=rI6bHx%fNdAMy&cc=i~0;q(FM z+}U%ZSm!vBtU3S}r4$?rZkZVy%xIVVms_dqnzu$|%T&8;Xt&)@Ao*$u3)^;B`pITB z5|0`b4|7WilF>dnbV>&maF{LCz!o*hh?ex@%8uqseR_$V{P-&v*X_s4D>4ZIy<|pa zohChBK7YdzN;8em&9_Y$?eU*_4K7PQKJ7Xc_#8`y0r=90b>50K4jSpXFK{}2dfnHp zpy=w+`W<^E!~OEod%ncE5@fE{Y~*(Z4pgKr_+w3s-#-8)D8YKP)DsZ!faVWc1A8>j zie+>4H}74itDEV8N7R&NtVYYp4cZv2&h?|Qy8nJTuk|(HSNwxxHT~8XT(?#HgUR;b z!|kom*m}Ns>~gX#is1YIKx4@Yy`uc@Xe=&k0HyT*9gRhJjn?yDaIElW6epFTw@~~- zS7nT|mApFV_%7Q9Cr!}UGZLfJYppNlxsD+in>2!a^(08_cI0D68Y-Bk+%k~OaKL=D zf+7?cL0ZE0)BNbu|Gz+%vG@N1vTWRsOf%*Zizh=cH~=)3EP%!W*8tF1+a0nN$ZMv; zljHO%ohOu2OLfAHKP~oi%mKt_bKfO3L}i34z9G{Duq$Xx(@%T@BN)oS`+V2aklL&uQf-DxKcBjWGzjOexgEl4Ifq&_0+<$TLRd)?iWM;ZAuB=LZ{D zIWNHSMFbl)z_Dt)=LV?Q#zhcZFA}g?O?N>*>E*Z-h!3;)MjN~R88?C}%=pBU7uIlD z-W`jGdSmy($if9D@!vOTCVjxvAwPm|mmR}(%DCzhQNxm(EzXS;0-#x$bP&Hd&a86O zr)Cz=pwhy*t)7#`YiMfbvpO(6z35f+BzUmr%5~T380M;i%VAcgyv$WrQMt3S$=uj+ zyDC$U@b|$68ep$Q&)~)dty^iJy63|hP%kl^pqyd3;)If`wD~k8*}ThFrf1}<)WFnhe&V#&YWF*of$k4P6--b$Cz?Y+r0V#>#n1f?FV4jX z_epzS|IKEFZxZ{(&9D2#xj8#XIV;YkaGEw5AM3<9tFAo?51?B*--WYO($W@pmYBjI zfSzX}^N)1-TY#dnfChA~gn&@vF7|#G%)#|=_HZs>oHhpT;dmqI7|$YW=3c9iC zVi}9z$#-vGr9I!1a?d@=tS>9dq;HAzCd0pU zBz=*NgEo=6NkK)rf(9FYBSdruyW!96>&t3u0Hl#0G=#~Bdjd4MP_YVH!xRpPU{WE9 z<3vb2GW{`PqOt}<1Lwye5&5Q@(?CN#GV5UHh=j_So)A3b`+;so2_T!@s-0k}@lDLHgS&9UA3jEWL(FJmaKklUSYMvuc;r~Wu zMQI#Z0-l1xHy7)ZmSu>327O~A<3x>}dh7)P4Td%LgHh?nF#A30Y9%sdG%{t%FS(2Y zV1)R53Jm|bRcy&VC{j~V6mT^1(an26)+w&Wco!J$J-{+oBfkrnimw z&kc~z0WxJHGUcd~X?M}v3&7yTDJS-*QeVTvQcU7_e9&8z!TF&TdBi8o4Gpkh&|bI;)o|t5a#)aFr&H= zaB=s>$uHyX#nyC)?lMl?33a%LdPW+yQ0-Wbzf0QvfOeSV)H$RHOx7YU>u&Asx7gz2 zgY~kCOp_ks`I$-6*A=&z^ui|lJn2#}m90WIsl{WO0b^`T#~nSrJ)G$hy~?D$uCb&& z?c-K(7Na_T&t7bpnwn;jCb|~jYIa&u%f&&YhCvEMFa0@-BO#-m_mS(8JpV6i8 zb|$Vn9Y^e!MZIWu6~4s6`2-)N?q}?VB^~KcXOykrQBm3ru5<<~*8b*qoz?oBeaaeM z5Z#juG+i>GRJ||vz1X|-A}nq;I`!kN&g1|-tCz*bY^0jEoSZ8GJCn)U$gGCuUci4k zZ8iS#xJ2`fE9_{YC-k5!?p{TrVNp_W;V#;DQYg-mC45bZ;3%M3U~?85!z!}@=keCQ z7sL7enmf;b`ji;7W>F$XRpbFjA;Kr#D|RWdYUojIcZYIt>SeU1?WP)4m+@Sn86E;+a}gwJwNTq>vo-KYSLo3T+mE&pO@% z@L8H606xo}@4xX`?UytSRA(f&%i$FJB~YAl=)!0SPHZ9pQNqV-^q|x*EQ7!s0j}%N z)KMVA3e!<4*2Tp{ye0=W_f2#VsIcmGd~pQFxJkzKwr7F{o9-cfElf~>w$2zJSw><8 z2ViLNR9?yWrC72!?+Wnd*n!aB?1d*}*GG{Ga1ZQjreEvid0sczfDG8Z4|m^^Fc>@$ z%OfQzVW7%1Z~6mb%=mija3w<%w52LmuS!Nj%Igc*GnlBUuzDdgam}B5NqS_~j~@{D z3+;U%6wZOaQeT)^=ZmxYxsuxZ(jn$Qc|g6%57}+6?-K6s>%#xa&V@{VVpEHt(m$3} zz{$1oIspBZBt74}O&E+ELa+(>;gXlx>}$5dS!xDHJ`yQ%I$~gy{bj_u7y)ZM5z2Hp zknU*sW5sd+{2e7Z02=d4IeAG`SsHE`Om2kKKYw!0MD{`B8xGL8)f-dXcYW-NOZ~Ho z9WKDFOoRj!W;_$~6{zCd*Hbk2hTl?+n%2xQJ2mW61XTe}k`*o-fzcF>#8#rr7NorD zsUf!g29KJ!k^^f6oaDEk-ts6898v{h0&y|L(GhcYy!kQu{CRzScslX-`EUrA&h`#M zLvr_Wt)`4%4#6z`43vK4m!arJ%9V4`-EW$8T6kA=Rrc~PhHz60%un#Jp$h{QDyXe2 zbc%*r6O*W44|Be7+rx9jVWn7B8z{?utE;|4VDGNFdT>mRC>lm)+XghzuhMv?mbHOX zP9}ePDzJQjrt@qs5DU*6<_M;2s@@BOteITo3-IX6?(8eA>6=P5W^Nl^N#mn7K%1!i zBG`+7=gl05He%34```QnH`aig+jY@URiDTN={Q(BI9iJ9t_BL`L2oWAkC88>2bcgGnv451&DhPDMJJ{l3x}ds(5YwRSll4!4U(J+p5X= zaU}v_)bv}8CBf$B`Fwc_L9EvKJSCP42)MCSz5y-tQ|)8JW&8$saSsCSYg6DY$DJc6 zA^ROW)8H$_)`=|^26M!oFM&)9w^UeCW%MAOv1uP_Zmc#CDjNHV!a&yPrrr`1tc zR%-(+fLW>wdDqW%@CsV!5)d`f zBO-0Q)rm3YE&>f>z6;afr-C(ysT8d^SS>x26|zJ0T=#j#Vq5dngLJVQY@-hB7{8dT z5Zn27YbM#Oz;-e^b9r>3B@yu6B!ykezG1)77j0(D{qms*ESIN8txTE>xj16pRxRWG zQpM%wFcDTF(jiAv7%+v2TOS4f#6a2IM_+iyIpSA5*s`>^S2PFc=CcA^mua+(tj`@iJfHI? z1=#V%4KS@ci9wWDC%O2&ICy3)#{y@gD+SGu_EcWqfB}j!&7O1e!7Ny`pAS%fz>vRL zLEZHvD+<4J3=&hR8e_fB&0U_Z1|A;q>Y&j%bx^4pvtPd2`2Ca5FL0E{9~PGqyvXbf zab~$Lm?$2w{ma03NHy))`vj5C`0!x98|wG-<>-hKm-(ErgNC_*>R~DpNFR@^xcg*8 zj&+#`Snqj4Jtk6hyj@CP*F8M*K+6h(O2+Gbd8#5*@`D#V?_$grgyBiLPm*TvG6Cx1eg2+sv zRU?2;?ndN_xc>(AioQP}ZJu#2ht(#}z&I$7X_t}MVY>{qbXxlLVGo`I%Y(!5ZPsf3 zc8y5%I#oGG^Yl3un2FOgU-w93PZvVVP|cuTbbd%09uNFaYy(oWv`mruzU5M-R;(6V z&3v$<*@Yhbg-R>#;q~bA)1m8j0YiW+&6ThT)GsE{y_-5U91v03J5AzGM;vf#U}{ZR z#eS`TlUwvg*np@=cERB-(0pMyz79+yC`Av4>O#B6=&}0;;XJQ73yMxE^XR>68I2q( zV7W8WcfT*e$0g?WeBlC+-)U7Y#SvobOuzDtBtg(77V=QUY*T$q@gm$&H#2y`Xed=&~f9Us?Wh%$YFI1w(JVlUU`;wyQ>OD}m~p zeFqb&g{2_glpgh6^Mv^yXHjJ0)^!{>cG#j;+t8=;kmeuOH3_( zUD{w|Ma8GxDHL5hM==5xL8@oKnN2919k18G34tF9J>t zbe`2QHF^g+sx%V6c`^@u+HS|yVrLAH}P5dBc;VEy8|XBsYJ~)t=Jj0~e+Y4n8!_SA=lBtEJ#R z>>K1CAj_exsJBlAp;0&jgy}c=gh8z*+-=Oor~E0*`ECjWA2id)xvJ51VPY(vCH7?r z6)qQh=BZK20Ma{A8Z0La=(WHGP>0X&ojLNT(mhMi{Pw+QDG>7s z0B-*s_%Rr2rj{PGTqY95Ha)-#b%z3UKyxrlS5Wg5cngI&e^QEarL1C)(E$rn!KIm? zEgpZm7kg~#Tj|b1+u8*eD8bi-V@7ZucPgQi&7~7}UMbs6#wmN#`SrOFGLl^--vk{z zf{LA*O(LEHZFPVT@~l;QSgk;mxOE*5kphX=52XJv*jq8%Wxku58gEY8C8Z#tI}XgL zoqo^JSW5nRz*;Sv(>X+1!Ff5qoQ8b!hd^Z`-vTb}_%jBa`n478p+;xwpuuMTd##?* zo{Nmr^FjG{>e0n;D}!dD3QsX@w`36KN53hxo=ae%7-sdB4;_kPzN!Gjftc0QA{xQ+ zq9Xq;x5HoPE(_=_C?$FhX7nyltGtMwFtoy%MP7T#lk752z2IoGpv!C@aK!QzypUCX zxdL~-=~Wzk;p3rfd+0_|4*orS!&(A7*0H$>SEsm>HV}IiQ1?`aF~+>vXNayF1YJgP zp+)$^iW&Kbb9&rWF;N#ef?yJS!k{V#9qk|*54>Kx?jXpWO$fXzJ|4P`&A_@Q$gdfK zSFtmvF8`%thQ1kQ+NOn0c!LXeT33XCLqi-?THtniWFgjb+cuu+*KXzBQxp>jI>Lv(Irf8Siu}SWE(N z3{qu&NlF%toJbVQX=IP90f8QUQxo^{xTe{e8GyVX@c4nzjfs=cTStsrIzyusZq zRbuY!X$Poocoe-t=W~>559+{Tmjw__J*2#BJK{1g?1>m&@4})^PO!|TPfkCX!d z-1GTkyE$Yo95$6Nkh0cII@_Y7D+Q{`nNUh3*|eg#M1xpkHSR?48~MxM=`CziM6eDx ziuHwO?xOhJQeD^y;Q$0TN$zgniXey062LTEF~WSy9O8eGKd$hFwsZvf?o)Aac03G3 z+4sT5UKD4#4+kPUa{kxUiPc&hI;tpYnZi&MQ>2`RCuWkz#d`kkb4T@3JaIAOX& z=U7wk-%O@t6-~0dU+&^+7&ytGsXJUz3%_NRGSjKNCGN=(<9-LPTE`@C3s$=EQmf%s zNq_p^P$Q6Je!YL(&~9|#)8VRp_^77LH=qO2-gM^jo3=*w?RlauH zT7@hN#MQLQl~ORYDri?8P~hJ6^ltiIpWkN&FbipYh$sH=i@Ljff%{bMLOwC&BLe%r zY!XNxjhwDJzWLgi<4U*e;8SjulM?4z$f<1*~(i25aeFhmuW3`56AiPh;o!boS?%S*hJv>qda(!QW(rGS}A#<*PO9 z1#!LK7q)3f;GqLYaO*GR?ft|ly6H6$^=q&5qz1F}0!^QXQ|%xAR9FcAb895M3(H(< zu0?V6<9`uWOKN8qp+OKD49U1eQ~x5YaM>!zR$k|3`buXuFmQ=J( z*oT*ablI+qdTd_A8$Ndr4Zd(yV`TGIV@&aFwY(d*;iL7{KX~(PAy64`swJ`L<2bF& zFx#51+XM3MnuoN5ZmAgU)MEqD;v7()4wLDGcG!=DbjE&drc;QmF>m{+OafcXC*j&n zR}Rss_zQX!83g|(#oXA05>Ic!cNQBDGVw&aO|{RYj*dk&D=k#dgk!ppf^6=PuowQ;us>OtvQ$LTrP0Tv>ii z3C>8RARAm%$wlHY(t1LpUZ`r)3!SE8*pOX#Nv`e!lxb-b;hi%>@j&~zso(n&e{t~G-ZTyJ4}UBq21!e4%^g})io5_Oav{@6O%hgLN=GPBllpj>|hev;% z=puMr>)vzr{`iYpWPLb(Fmdym8%XPRC6cJsQGh4bCVgUKkP?WpJit|KLM|{seP)R( z6BW~i05K;&a1_O2u%T@G+wJxG>FdwsajT)fzeJWH=W?(6!k5&J&EeUnEBb;bDnfod za1O^l5Vs!#KJKu~rye(V$oXrxOEuKIr#=Z_=eY+xQOVKcMI}60fbPcv=tp*FKtxd$ z=pR294dBncVTzv8ttQ01^=(!YKnPwVe_may*6+A2%bRgX9@M{(~Gb9WHBPw2~2@JtbblklW@$81M+&%Y?XH z8SP~zU(1`mr$e88g)i#2{G=Cd&$1-QoNO1Tx}+lcOsgwZr@3Yvml_D&Dxp=Y|F|^2 z^O-BG#ZtTP5|ZMnpYxSps9npHAyYC{t?HDEq~WPUk4X|24J1=-w-Vxx$*>Yd7y+BP z^O6h_kB6TiV z9C$7w5-~GvR{SanK+n$na7scqQ%KU5x2zu5JQqx{`aNDj-kNR2JY7M4n{8zrsG>y< zL5CWg8p#hNqdX}}*hN-hT8Q|WxRkhYEhTlK<*Kck*rC21mr`7-)*47wVZD59{!e&Q zJ2AeThsz9+L_}%74I%;#omN8p&V(O6Zmd3GjN{k;ekq+k0qpmvU;ETUm`M;?$7aQfr-xV#y`vP8^2WZ|_~8N6sr zJuK&?IqtnZy}1|YcWo6H?;c(&`D%hbcRhK{U~nTda@p@mP+>W zyID;J;AM#9d>KzW#o*R5p|V~t+UE(Oa?x^E-?ytw^`voxgr}F6i|3z?xmjv9Pbt-F z44JxX_6seeV$EE1nZxHR9G#iHj(%jUGj$;=`zeAQN~i?1`#UI^G1Xw&hzr}9b0#Ka zYnh3*b70zw{VT~f@#JfnZkBq`Ev34d8bLMZM8)k$A*_&GLlKPjKcS6OGcs$zHZhz= zSo_)Ivbp5yV}F<{qS|mIuv9>|;ErK0gKfeeO<4uiP;m`r8dyuuJW*dPhuVYM{Hp!> zCi;s0B`sW)iz#BBLtoxBM|r6#QW7ezRYqm)pJEv@R~*V1vB4YheIrH8A4)V-h7|IO z7KD^1jC7y^)$-N3OR$2o_1ydN_g3WlW6hceN{&*y32o;)x(8cy7p~AAVxB{0``y1k zL#WFg?G~SmV%S@%qMRMZb;9zOJHt*R=50oCDL@T1CRyx~Zmf=OTB(VyVhH2n&=kPO zrWjn?u9ndBZ*11eXaqI4>Za9#nV;Cob<*oX3$r5py2R;0ha9rQd}N z8|h^LZL-_UU-Es>EeCPd>tUaS{m!t{iFu1Z?45<4|E+8`e*@IP2P3#huCx6kA5?(XjHQrw}q7AY>pT`p3jK%ux(thoEd zrMPP;ZpGc(4gJ0Lf6u$SXV2MllJCqsnaoUblgZ5IP9_ETKscPDBh)&WM-s;_c1aNf zmmBy}VANpcn+1%F7-K|7YmM3QPmAvLNxW;%!5L10QY!r71;v@D-wyYBt8uvwi(A?b z_*v%_R8l=YzbE+M-~4Snqo{K8o6*)yQLeIqcJ6F}PZr+zeuEi$3G9;q>(Zz#C0>zO zZ#D}Ib9Wf786#}C8LXb7CZ!%^%8VYu+u+^fP(-)i8VCz#se537%HA=&(15RMQ*T4F zncUU9X_v~R?uvCoU6^9!z*r6{?YdonK8=|HSNrYqfMN~r33u`rmvz)Sy}I`+$|~7M z%I%4(%I!F<%(99Pj4+()X%svVCE-zS22mV<^T7ZmWCkG#PdaMWBI*lLdV^J`dnZ!Q zZHoK)Uxh?|^;7>&FPFFa;iUR#GLQ@^`})!d=q|5?wbZ(FPXle+6ppM84h@Mpj> z_;lm=5AYj=eEm~UIq46bZe~V_0EZX)kJH-_$aOTqEX&MvG}ceKlKvy7wIlrwFVx$a zGU^|vMGF1MD|nz>R2-FQUXUx-#<(?G6-|iIc$b?P$Ib}Th%UfL7yZ-clGD}6{a$io zle13`&nnMBM}JIz&+j3Xh>42c5cZc%GOGqOx&Hc)pp~1R^;mH`SHe~zRxXMdf>m$O z2GPu$#OyTdD6-g)=Hb?M7?y#@jGXm%<^G^r&bva(x*(-r?AaMseMUY6o{=w0OQJH))$NKza(E@hC-X5Q3FjbL`1@hl3^D&yC(>XWLYWpdP$x0WnI9UZPIZ6L<- zGPp+*vYqnF_McMd3vAUMx1DY3X>jClq_&YQQZXFX(Xj19u0vyT{3X{US)}qZ%vIV@ zMt-RHh3|&CJa48rkOy1cR;%kbV)hS)1#Yh826cto^jVFutWUk?Bm-lI4A!c0oF9au z|FK!@xjRIFe#hKJ>py9Xt;Aa_1me`+7N#|l4^+G?p-{d6w@1I5qY1 zdogR(^M~b3-pXe`MpWL)J@`qf3_Ak>-5&wHty>Lcrm1@wd^bmh`Nb+PkMF+$g%KmN zUy)xeRBg&P`K*GOY^jA!yPPbqpenTN*WAu=-KC`LW$xJB_PL?wnsff?X^`Laxbw0{m(}7+it*j6@t**m zZ+HS#zFZr$>YpM@&wx2nm&f&+MV7an*0sNQV|1$ZD}2W-=I!yyP3rY-GQv-D{@XGT zl{O%sK7f!hFq|X_yC-@N+wSU+-mbvGK$aRpfYVO5b$LuUUy2*+L$KAsP3VPN!! zRV3QP-i!I%tDE=r)o_eU`$W>IMEP>YOk-?!*p*g2wQWH*IX?B>nn|gyvyH}ZKv8on zNxM*SrbBluiGlIizbYav(#2p*T7Y$rG7IktLp8<+p=3Y=G;2ZDdnooj_i0NKyE-3v zO`>2a$0{A)W3ypE)>we`SFf!GzG{phX30QS>8IKz1B)T6!iL&a21kE>MN=4`Uye?8 z?+!*R516bEqHPX-y+2U2JNRaQfaFNQyJ%Izp5|UD|EGv0hL-%owow@6+H~)*Zk-hU zaosA{J@C)EE_{0&0q7(TcyMfC2R2Jyn|3rWvjC44C1$LnR6ARk^0JD4Cnn;20&O*i zVqL6EQu1%4_>6HMZ_-XFcrH#~R<$ptaIdH4Z>PRINHBpLb+6e41z);0@{djPo7=Eu z|G=VW;sOt`v;Gk$ub;q^t6FdQM3n-#HE|7t+PD>fx^rMK^E4UlxMBg*zy_z6&&6N~ zO%-{y*Vf!mfYkxJB>ZHnp~f-mEji^*fob+k+T#swPYf*Q~Ze=chC%}Vo zm+GBDtL&g6U*I<*%N=b)OBTl3;bE9fj&|8MbOA@6Nlc8fEVSb&ngs6^k*-t}`qrH) z?N-jcIG(?NVM|bhiky3G`%Dj4T~@BUjO6kgjBGX=%5sfL{_6f;r3WgATqB#;Y9jL( z)dKU=@4MPX{F8&A4^`2!IASQY;plz0n)Ko5g?JW80+aQ%5?af>RTYS8J z6i@D;x~(x;?#W-p!L$`qFPLc)5zBd1As_15A6~E7{X9B!)2&i8H0pHbxnUoQ1k$ki zWvY5#+Q2qBmm9x#1h^=?VxjD_)}G^|lM^-B+jc)r5ibt1Y>daoGJN+#|g`zt6HJbUGR==Uipc z7OrOsr!eW-vaa~UUzwtX^XSw6yqZr(fsoI7(wHx>O@EL$j+1bE3`v(x$GpPHN^^dc z2>D&s-Jrl(r7Pcvy>s&7PloDF4HLh@4u*zwpeSQ$E18^){O_U*3ep?Mpa;Fx2Jck{ z?=|Mck`{IexB35LN&lS|dy)BnU6$^@eC$izW-g>Es+BCth??!lDQ8Vm=~t@z=&jK7 zIVTY8ssLDclxp%1|aI6(=B_tetlUEn$IcZm?H9OY&cjbz0+#__GAL0y46D~OMK$AaUc@GII?gQ=3) zg07XMLlLZ0;x3wDx1p^Cbj3>zRKIJ2gm#lzWEp8oMS29ul^D(zi$Z{ z>ZdRK#G$RK+>HV;-d}R7TNiHM!JWLIB3qvp^wY?3%ehYM?rz6GE=NbzpK>(cKJupBW=W@H$C?eDT7Er%Gm#s*u{cTxS zmG^0+%-VGHNC#$V^(Qslq2XzmuY+QVclRT8=C(ilhB`Ru)rS z)9_{rcIgLU%`}b5*CD2#4K&+D85NAnonjO<7r(96ex7Um#aBb)Q7Hy(jVeu6l0fguxoAz7qj^Z59PQd8Yk75;oDFGo#JBVo~H0DPN1)S6k_)_)X@mtvmVQV z|2kNZxyQ55CU49mqwZEW{SAVO0YbpyK41V6`Z5(Us91A*%dNf#6zg$)^5Qo;VzN)= zA4c`P`5{OgN1T%C*IyoZh{_HJ13RQl867LW=Z?v6^6V(i%&s`xgP(K1!nSLBz~o(X zP*>r{53Ed3;2)Tm?XoRXwQH+LlIcs^ZU^>^1Nvnn2Cx0H50O$eykD;3rD_8I0J%#4 zMAs+o`9^ML2#%@ay;>u;cKP=5=g2Xqq_ zi(3CS#7kcr;u*lBzB+y|gKy@xG|90v)lv(Fc6m-lfsa=6bCT-qPvDY&AY@4T9SAq# z@aOfsnCM3W&P-5`a;^3gaHDh-{#k2HNcEE=B(-wE(U<@ZFA0w?a^~Nfb+#b2G$j;Yu^A@7s$jyfH|I#9u0m2u=ZQ65y z?ijEa?XIwu{LNGfDO~muCHebzz5>O%xaQB=I=~sT9RZ|l^sfNr`0HDqY3l&WPFofY zL@ztK0jhvnpl&E;JA3_kRHy*M2NfWH?IM4;kz>YjeEkvNY{B&^xOcxGnk6a&2EZx_Doih`~p?tzfm)gOg@}hJjr*f?%4LcZma4vEDXBJBnz-%p12L`Z?@cPe%JBmB4(dHDl=-9DQ&x*R8JLX;>8Bd-M2 ztqacr#K)x%SGIUG{&ni_=?3T9% z@i9Y4R)uuCSQQaS7b%@1T-l7BMGERR`F#~67KancQ&s6HEh3m$ zYBHJQP4zYm#PCG0#_=;oS2QIWGVod&BvvD%b_ngDOR$Uz(!BLYe-a9fvv9i)DD!&+ z-^LT?=`6h}h?KHgfJ}+X1wVBXQ%Ks6Rc2|v_i4q4Fb= zhk1?x8PZEAmE*$WhXNzA*>X>S;N8nj{p$C@goDaCuRwr?R2#`c5bf2XdbJ|{wYtAr zy}3XT3m*v(qPHmHLxMYxh0G|-oNMb3Fu@xT(2#x)-(XN+j;+Wsfv8K;{Sw{Sw@{oI z=U?xG4=|f#2oQcfVa%tZ;=qMI*7-=?ur45q_6{OKOOn^w_DW1eI#_aGoP$(QfHKsC z^hk6kEp?n{z`%v3o=3y@D(ELj#Gm`Zz(EaO+5J_6zp4mm&N&h+pvgCg*9eoj7~MyB zz#(BhMQ_4{tjL#K#uGiHJxSJ`vD|pOicFY7HD1Vwl&2lMtL3C~i4678C?LB2Ut+ zEJ@_hJo^SiwW5!y~8~iMPFyDo+M8_3a=)q zEypGgGKnYOpWVHAUiCg-dU$!(kT~DCTb#XmB4)ULq=(!;;_ZuoA;tzqENO+3VjZJh zoZMYqxPyPY`um8{#F(JrCs&RA`@3o~1AF1Y zgoLHH8&5;wQeokf2p)_?Ue5w#AR_K4^#C}`Uo#X^4@5K-Jv6|C*C0)vDD_=9Or`}2 zDOO^dogSP^GbA65aFH}AvSVqoWAcvojhM)9O!~Tq?Fk5cP@$=-&CParfq_-0or+AA zsjUZDd^J_MN*qzDMgTW`s0U+?<%>8fBp#`oge(aBx7w5chw z136vG3XJ(rgXs$FH`hx~Ll=*(50`B~pLHa9K7cT+(8a?@xvXq%bZEDkp%`a$qXY7;Cm0nG5D4DM_M?Q^{B;j*nNl;q+ z4D2w@{fU2c&~6zL*h#8(klx=`dFuv&dtrpvDeO&pd9m;RaBD>K+bfF&kfvu zfS6{7yV)fZ)R?8L#K0nYu92%@7jDH(Of+m$2UT|g%ZssgOu+I`uIc2^(X18W>d>rO zHG7q!Db2w|^X18E?IHu5r1V~MYHI4}GrOs&RMkE^vY!dt8M%IK@ChkQTXmR(*m~d} z{ftAOn(NJ*mw0Skq#u|es*Ff11ri~=xC{q`Aq6?M2k+pZ)E*x9FV7yIf3t5~@S%8* zphA;*_IZN4bRBAy)<4}5-><<1E_MH%)FYnwz9vK(Tl!B*j{;fv;t*_Lpv}F1bWTuP zN6r#|qg!Wpi9Mn1@9!nKQtI8J!uanyYM8RO8n-i2&1ec?424Ci6TQ+ z6!L_kY#)On4ILsA_>%C1Bg)h)uuR#I=kVQ5?&163!+yFlmWoFzV&7-z+CPfct1C}4 zab|G(5F3;@5M!Fi9B;ZYAcIL`ntUD>{aYuh`)z_vz!!5b&zr`fT-)S*jlrBou|z#k zC|yUZoPO8|l!3oq?kD1Ve*F>neUycZR1CQ@?eAlUrgBbU_CeAU6M|$0Dfnl#^GAq{ zg84eBDs)%1a2+&eIG9!lGsdqFW|~^TE|7NKv1Ew4^${-Pb_k~R@wt#mG0~Q)*eR!> zA-e++uj_yZ3AH78vRoBBA<;7qBT4MB^!F8b);)gMEDIJFCBm2^OlnT{-rDBU`xdlr zNDLzGolSy~eFDWz1mF8G%mzj9=1QmIQuf38=8dUCq_H?Qgm5k#WUpwx|-8qtm-l>KFcYs=&r4z5WVB(`j}?m$KPoBB$~tI|wt61~4A zf0a_1|49()MbI3>MLdeg3JJ}N7rsePC_JcFlij-O_dvD}29GS*^oq%@+aGb8J14DT zQ<(pW-SnIC+KdLBNv?-8V>RIf;8)2niC-Hpm6r!~iHeJouTNJ09Dmdk_g|V%gl);$ zKU=&Ty?MAncP4{Hi!G73V-zZ8Nc)C>L*Ooz`%^H`Ar?}u$Xs~rW62#mF!}19vz#Ye z>&ED{WX*^ww`BxloWJZeSKaYJ=>lkaz({|KYMlr76}wd zEP+XLO=D`-cF!pzH#rVDNa#o-`o8wLq`7-Xt~@_KJ{3rdW8j>+8st+(Y$P1}Rd(F7 zgvc!NMOYf-6p}PoC4QWg3~o6c|bo^?eSAu#=9-D z;FKevBuDd#9>K0warJr~FySLD`Rau8lPTa`t7qvDb_{iY5871dD>~pUJM|_~7`wKx zqf=46p%RvM{`qOckrV$~B7V$~6`Mo)16 z_D9VEC?s!aB(1dSuA%*=vBcecmJQ^ITQJ_$8m6u_Z7JE>k8eLxo=RtGAu zcup`%c>LA8VLfh8kl=5~W`!zKwJC?w$Nj@=<=~)W_rS;BHz!Y{!YtRC+^_ERn_6vB#-5%Yu5 zkme2q7=sajv$P{zY_TIN5`OSSM68-H-Lc;g;^pC-=Qzj3Ml9furr;^8xtKBj`FaTZ zfWRqggaxEQcTK9Ug9hfg1{teltyS__2ft4n8+iO99U~;vLMszjID+2zw_yS*=_m+c zJx{Y9@L^_sG;`XPgd?N>e!y&zCUQLH!_aaNamh?VSeFx9;i(iag@cBp%k-xIW7NWr z)MrZxh(F9+k4!VEmp12XiHDassc;bp1k`5akXq_xX2j#%_f-Z+LNMq*V6Pd1wDSWb z;#-7Zu1UXsuvrZh{=x~d@P-*G?c$4eKcc%jqy#)J1mF}SK&Cfx%<0PpbCn^eOW;C=6;nw}>+EdE?T03d{t7e;dhs@;%jm*Cff8W~hsMvneSlFy z^zaVz)P*^>o2KS=ZvTMoCB;^4n$s27MJArZZiZ>``?7J)(wTSl^7!fMXfgG}vuH^UAqDk3uBw;qM4f7wO?FjgC?h7G7VNz3FB26&^AXab{Jak?usI|Q_FHIbA1ozYKiJ%i zZjFN@$!RIs%&YU(q|8Cpw9OFa>mh^k>xdwXb3o0hW4scX# zM|>d&649BEUt0+O&GCjYq8m^gg;tXv@vben*Xfqk5Fmktg6Do0e#Y-25DkMSm)O0@ zfF+Pz*QB>i9`N{E_Bd9_b(kn5{=H3~5xjS6st?35SCB=|QF7-FmVPMg`r&5Gwi)Lv1z!hNG$+N}%fC%S~iXUQLk0|WU>n7mmBicv# zvFiJj~iXp`>sRU!`m+q=gK9eNb&<1{x-)*vl8$TXAH}qN zT$`x(J!X%`ht;R%wCCCqqMLb^BF!0hRm#6Sn4k&c+G#+vBdk$J0*s330``?iEOR}nM* zAUtA@R;kJP())w}gY=`ZeCa4r1Tp=CPyCRXXr>O?_y!~|mRnJjb9b!^HHl7rtiYeS z-AnBZ6Q@-@jy7o!l@(EMC+!dY-pyun)?9>LH=S%NE`0{4m6~WqEdivm2^mZ!Rx5WL zE%Rt$dlPUoND`k*gGO2_DyZHTJG{10A8wWo&8VFFf|W7C;#>Wl^S+<1GTD=FbiX}g zlg<5Gyk(?lb9;oQ^7~V~?18Rf-AR5so0dM#w;puSLw1bC+1Ro*JU6uiF6A_^?x0i! zJRIrT6N}|Ub{okB7%kE0BO8Qb?E6#3??i1m3v*syIZ9G2^td(8wUsyncs1qUDsg5p zG)oglZ_2bvE~+b|&sMfH5#p>YXgU-znpG7oDPGZPMOZM8MmB0y_4KC>(zT8oKlyN|>vv}~ zrEAAsq%@s&d2v>en%-obys68&OkaCiS7Mt z-rr749cSk=rZN&o?v1N(T1(H zDZ(w{RrO{#~-IWWTX{%Rk3ad=P% zE6w5YPBstGI{B()rqdB&qSk6i85=F45qx*YBKydwTV;zT8`B)Vl(_jk=;qj(uXidu ztIfs^jOmwtV_>4t;i>^W%bHCRCb~oNiElpo@VnG%ql|JvofK* z9PmuBFx}IpGHthEEcS!jU!FN49k>j0{yLqWML$aUi*?}b@WWS|?-T1A5T_Zv z(ktrzWQOE;+|rzvqxdog(N^hN-n%J^*&nO2YH$o?`5kx51%UmgD^aI_#12C}$NMp8D8fme)dr=}-=^~tB=C>nDzPEmq z8gF!rv6u1YIfPFF^B)Q)iKSgz&iEyfsTkZxUOTS6O@L2PJVN5q(l*dd&4{5lO7Nxzo}9h^FS$p&q@_%Ur^x+K7VPM~5L)H{5KHDjr#d!vY&qb@+Ae>;ExNIp4D{V&bs3gI<%p2edc) zB(W2M1lb=rg!awc*J0l$EGr5FzKNcLtgysvXjxYxQ~O#eP9js=S}Bfy(_GXJIs)u& zI+F+aK)WGbTZ8DE#2@?+;E7qy3P_I+g5Zcb%?bsN53M}`&(y!_{i`AHAbDj3zZaZHF*L zbiDG84r(WrpXl5wFJ~QCm=ZMdhpY$g*OZT#%On_=o@f1uL_SK`lWbHnS(u!_jM`fe z*^>SF!eLRta&RB*UzYB4mTr$jBk$X0AwH&c$IFJo0{VzB6|0w}UX~IBr41B)&gg2a2KY^hXxG7M_q&a(9X6 zjeNII4uu6x$JOWY01(V&pO_M;pBI5ZP9$mx+azVA{@vm>D_q;s;rkp#6I@qb?U3Ur zGf;IsNo0e6G)~L|C>sVqn!Ml=G)AKqFT2dUJwERGns|JbNmvaGfT_y3Bj%+ zl~DlB6cG$LrW_@zi7XMt#F9r>E1{w>gJMUNmG6fEX9)v+%Fw&>Yc46OluOjLgrW=F zHGgt$xPIRfxPDhE)MIM1Xb9IVdFU;H#J`59Z5bj295l7y4eADMEC@)o_pP)L02dtl z3oT7RA;I(_%)J0o47F?Buu-sKXYQ=5o-Hp;oZT~d*R?1XGFH1^}{#z>Q3>BWTJ5K z;gQqRy7KZ4%n4@_WLq|%Hk`P^dt9kFLYY#rFa!@99w@|KXVbgR)8gN+Zfrx3ORz(fZcA_MJ8p&`z#G#i|{4pb_ zT*PA?O77jds9vGHMQ#a;wX0>Zv}(vNXzt-+TuQ8T&AwG z3xl*vS(7C;)aq1UP~Q9fyv>LZHNyg{}&1w8-}{(yx~QBZw{Tycm4t==CsiVho*w^ zVhCsR5#H*?H6(XkiGYqEA?EjmBiT--K`VG>4bJN81AT5_U{(@8Hp@>3TJ?j7>7m-9 z_s9RA8KkD=z0&IXjz;U#ceH%o5$_WQ@#RvDdE0O8$^5q9fU`#-Qpu2t_ayfH{&z;f`e zD)<|lXcj?g}cFtQ8%i1qY-oz)1uF6p5(BtZGEbe5ABU;%ae1b>BQ}WP{ExWbSqhnglYN|OQAC2P zf-xDbmExB!ozGdCI$pW><3V`zHQmfL-4&jzKY#wb$x|cL>jnJEfuNQHsR@OnZfTB-@B`9tnwG?178KVWP6EGt z$2$7`XML1QINAi@(*QB}Is1!?%}?#{c-TDBGKtnvac{^1`FaW8Q5*GJ2iIaw5F|Cl zVm6!gL=jh;Ekeg;;o`H1SuQZ-a}L8b2RpbR4}Yx;R$f7pZ%HzC$I=z*AC||Bjn(dX z>wIE!{BR$33Mb^>7CgxbRtZN(T(sA%kL?m)f5}^k{t_ z2ns9-CnJmZQbTVWe!^qU(6yc%M-95?L$r>>(<6C7HY0(S-)MHWJuIvN$J<~0+0At5L~=Rz8dy@#Mu_^-An$rCuGbIE zSdz{W>$yAp+GXK|jTF!@Bn4kJ9WInofAnig3=)2@N5QubG0U_oRE^x; zq`qtwf8XNO`v)`z{^+I|NVWedui4r4rW*(H0}Kmh4O|>j)pXWy>o>dxbS@)+JqFC> z(dNk+WkWrFn&DjX{DCF}ALYzL2_htd%0WqhvJA$dfd1M|1i7PZ1nEXsC9!05=^G5y zZ=NM2Kc_Dh#if9tA%RGlL`NwzO>22(vmbFl>LFg6EA|+#jqYl(H7c6h{UxE8|IkYc zp_)(hGT7eBX`5~MXmtDV@af@n`&uyz13g}C<@11D6YJU2;qKu>sgNCY?r=s&(c^E= zmM4m;Z1+tBH0b6TdJZ!eDe;Mt5_(QX$4WJFwTBP$d`Gi#f5ML`RfmfGX;+){^NngJ z^?t=tSiD1Qs5LVzD6o}w9~*jtj}=s;Z$ok~Se2k}!*DMUmZWdPb1%r0q`!leq>q6* zu!NlrOvF`&ETF)pK+^ml9iXDd^qykKj=v_ZN0r#8exWN5&8B^{nC^>FEWtM%C}b$T zDAVp4p4vE}2E!|V3PMZR)D2#^`0a1;c<>{88%4c(56cSDd|k|QY-x0W@ztClwJlmnN0Qtl!D@Yyy(^%tK(#bDQ-oWH<6|AJB_ z(T+YEg{6Gc4>q%;H|D5IVp|gGj*2NW>xa~>u@_JFwo;pN~2o``}zcqMPe{RDak5?dD7FD&VLcrdC;pr@Xe7uEHReyGayM6gQfzBHVQCyY7Ska|6 zdRH^_Ml2Fq?*=UUkeanle-GE} zCU|;{n6nNB{<1j{6ry>9EABEdeCnY2a~QrO#54vyZSN)H(!c}CrT>%1*ryvT;k%6W zs@dS6KieE^0pxeKN;Qt&(18#ndoLGwyDIF-BZik1<|k!5=@kJ`RQs*ltsWrGFk%FW zLSh7n`k?sGR!w%;L$pvEc<(Qlf{VL^k>@fAS3P33Z#pp~VyHbobtGp$jeS$}LKmT5 zRYLw+71;LK;7S(h?$b?rKqf^O^S~J<4+H1o#JF+1r0Pi4;+;2Iu~$9M5kih0J)^F+ zKlGez<_~)kx22tfP;b2*OCF*Fax9h(gE2o z1{g8lG84tj=C`(tSlRFvwnEK(b#@BOd|@}YEPQWvEfiVVzGlL)9owXm67fSC!*tKX zQW#Orqt~`dasg1w_aOli`85611c6Qs%)vw8?Xpxo2G`05L9}w)ZCeMfO7#Z()HT$>m zE|rzdS8}O3S5ABbaH2=V@-KX?>SiODY1}uuLx|NsK!TqvXeZ!tjhA;C9Ni^lb@8>` zJtSrE`4{n;r&yfw^?yj!3+TV9@4K;36+Bgm;$)d~d?%;9jL+bN}_Lx4epNuT*sfOjr1YbJj1k z_7EexnLe#a67w2{dz7>KaheatW~rCs2+^<4K>QK;Yw6_f2=AKfv?IO^KihyAf-Ebn9`5`{x|rVk%Zu zkCn^7G}Yr6rfYpf07#;>H=WJ0;>{n&C|#CdG^<%V<{bEW?)oD*RnSN^W46>61asCV-1!XUmzp8CuG) zHsY+3*#uL&0VwfSzy`vi;TC8zz-(RUM}L9E-yyt!iZPiwIEy=dD^+-$yiv!~W{4PP zoq}!G&?K-3a70&u5~_%?-#zUt0{hqP!HCbF_NMm#$-75kWJ(^{7XRCPnsW7bY} z5l@Wfe4iq&!-{bV6|HTE$0lC*h40pnkKqwj&lui3*uNhUOROiy*qGIfb&_roSE^kL zPPb)fBA!4?q!WrhiD*{F5T4O=;LoxOy$4WY`=$eK{}jL$QEfWMCVbN|V@lO__;l)5 zwZ|t4(z0JT+C749kA5FFG1RXPj!p2TWjk^RubO!_^`NZg*(a>;jyru2XkR-zjtyHT zgs^@T@USGj{N-Ar0%_bqH!5dkia> z7F?hBynvR^!#c1ce~JRJDZ)CymgmGC$?-MCB2~LNHS-5y@Sw^GwgQf@Qf-`P6(Ni- z@bkXk&ycLq|7+mxH#uX7igaKU8*jw4I(wJB8O6I?ktTGauh>H;0V-ReA0vwTuY8=@e&eo)j zTmGttI;_7ETzSXj5acOI2NDKGIwB%?69J?U<1a^~IIsEDV%j=Ll<)`mD2V8!+khFC84DLXwtnuHcdgieFuU$?&&3ff!E`vGqM5)RCTU8=#Nx!oY-7~g##oCQ8Vea*UxxnM zxO^jVC8UAQQ;mJ|pPoFo8ps0uew|l**uVCwHQZYO96XK@z&M9#r$U1fnHI$y4Q0iI zhsrUN?gy~m5}GT;1|pv{O7PnqVCY{385+!S_(eA`GO{hBj%Dj7T5xdZ77se0HCTc` zB79W9uH9%mK&p*U`gj$xBnT_a6BQ5$D>1my(2{;00T%i-BCZq!(k!YZ2!j|gD6d(4 z+yvsYaF76F8rj*;6Wcx z<^`Jt*;5@QcpccFW?|3yqhOfwoNX8;hFXG(8I2DhhH+u@m=uYkcq^n!D?qNw4#xr* zD0{&+n}Gx+CnO7O$HjRZjmDiJ6R3jz#uP3LYmmv_h+ZqM4YY9$=+1UxY+UGpX3a#x#K?%~Fcb%Z9S z>vC{iA$jt4S9L1R{45gu-ab)aP7C0|n6@to2@fpMdQwu5mXe`ZSV(ywsJg|&OS#8F zv+KhbpKwR(klBnW7U!b(2cUE-X_tgWIS~Bjat3b|{J*b6g@y1$+(qz2eZ}xj0XFBd zDOmHX1*nn5l#0vRQ&v$`zx$#>NBC(+4vZ8zjg>h~m1~WZYke`9%?a0 zc9$Rv7+dTt@(JGfslO#>_V-1sr3{!j zu8`RJ52@h;(Gzp67`lfj-GWV+s$@DpJ}V5W|5K@i>=g+jUbv?g3Py*H}sAs7DHF<6f${%#--kqe8D zS?U=F2_uXL((+o16;ndFLHq5n2i}U{JQkmz0|BNbY!ovvT)s?$!d>F~;t!vcsmy_1 zMS7Zwh;V#0pW!_!O6tOoO3fDioq8NwCMk}2_{bpCikYtx;#GfF|tyAZMfEVnP~!H zsM3I3*aP;3S>hfFu(49#3_44H8gh0vebGa>boF0KajbsfuL#^1g8K-oA!*^KozUzK z?el(V&%Ti+6NQWY{8R2E4e$i0OUnja5=Bq3Bm>f>IMxe9$`}-A!v;uBCZa`Ldq^}s*Yg0C6hkU&{WYo87@J2GG$(Z z-i=JyYvs5!3>*Vc$$zhg%#$rE;or36*hm0KWw^CQS2rj~crEwz+{e@2I$$RZ{fKlq z_)0^%AlD@Dez&&g`_^*aCs6MlVBOH3o^3cHufu!#;PB@R;| z1;!vgtpe!q>~3mRgnWN7wWPW4$a!apJJM&J)BMTP%U8p^ov81LQ@KPT{E(-NBbyGl zc=l_r@WTG==%PwwAO}Ooc5*&r#6fa}7cel_Qhn;ub!O9GPM zCHNchj8X`bY6q;_5vcyaxo%h%e74k@TImKDRM5cE44l?^HnRqSh?ZS9e1_WEl_gRq z>54j$m;vZ(nm{@ds72BT6n+f3n@CKQ%(NYVunz?l@zEj$(i*KOQm-{8dh ztlU(CBgd_+o9OFwJ;zf}F@-^pvF{)dpKn=N18`Dm-W#-3w*DgGBgF;fxtsPdv)H5b08v9MbKTd;N>pHnq{7+Nbr{CIigmf(R}ig^cKkCKR*j$h0ThG%hLcjzMGFC%sbk9wzipPR7E zuc11kw(|q?`j)kdERD+SQ!p*mLY_tsZw9uY?tJL2WJGx|Sy4Pu1I%?)xt7Gbh7jE9 zr&Y;)h>JKn5QnsMcM_y#@IL@hk2*N(BeTRrKQ2P1k_ozcut2O9{6`@r1PwYwN+bqK z%NZIFLmTM$<@g6iXW=RREx_awe^II``?v06w_wd&)4ix7Y|a=s$TIney|sCvU|6R&-n1Ja-YFtVt|RBTgRP&`ti8UD=0)D$n8ZfXW4`hB5e5!L z^!QUS26tz0pFsw9AKab6-QC?~a0Yi7+}+*X-K}x=+x%zmbK>0CPxs+QROVNi zomEXV5#3d*)|bg*6&n5I`r*qgb}X#wC*z2BB#h-R<4AcVya){6kM=rWU7@%9}`o zjf30f)%V~#d^HLB?Gn{@CuQiLVS52qTs)X9RBg zL@rcOZE<%=0)|P{r2EHPbu$ioAGHOpr!hMo;S7W<$@U;@p%g5GO0||`m5yXpXTsKr zg1^N;QM#U@W5Oh&%+uPI5(HlQWp)#1NW~0&ckV_b)koaT3&iG@3d3LqZ}-h=I~dP1hIS-Zs9C+_vK&1vjZo)-s+%iuih2{ylCN?#5^)V_R@gQ%7)6z55G!Ce@Py z;&p*b07#_*?_mq~E^;P}J?|3bcrg~3sa@YhY;ui5mb&usx!<9ohne5pysWB%MThwI zMm2A(4ceYd!6T196#2nQbMqvkAgPeY4NG%Ut~s>2y;-|lL@l~_6gex|PJXcmTU z9t|MZjJ&|W4FqYN5|ae|eNY;npPSF~8_fjyYHGp!Fmur5fM}*n zIUw0kmt_j@H8r8PFeZ94bDqvJ#`@1eBK3&MIMC#CkYBUOe;Sl85Umf{E0U_ImEQ~* zd*Gg-FB$^V7~xabq$U*+F<94>A{`NNTi0YN7ZHJ7-&CvsuP^WPeFnNrLo2w1;eRjOFAZcZp;Dp+ooPRxBOSVEuS>(6$nUFrrb zwJ7%nykYwh1E*;=Rcs=H-nO8cmfz{65wGV-vW-w&to1F*1IOj_>Z~%c?Jx3=$wiDBs2Ib58p0aak)6yHF7>bWh{KG4NVdr3F2Q#&wo+U!l6qAw`SgY7X zHZvy@-$(CIG|bmCplWlD1CjWPwtoS$^F!3fZPuvq~)-~k7>5m#C|omQ;VIyoTc>)a))0nJW;UG zDP?Uz;Ax5ByB-iPH~6i-I$sw+7~nMlmoNgFgtrf?P*fZ`j4rG87aGKymbHH*wed}x zLKK&yRVB?_3n0E@wJse?GC5SQzR~1lL}=J-{`Q_q0GfmV%YfzP597o4w2U|6?qh;} zDj!6dWlH;da6wOv@M)`1S99tHJf`o6{m#A1BtXtyy?&Vln=7UPq=2j~s&*L7 zfG4J^lisE7aOR3lcMIB@Ptw;sLSP8gYe>-StuYqG1Q$LS`Tr09K#u-_f5!iUe*ym& z{0sSS_?H8Oe=N`FA)oM%3;~#z94SP+1roL=DFizE6Xxk3>bDAX2rEci7=@~ca$#Nt zfkX{7$A=n?<(_dA;)VH>#r=vzzGmQmdUWYhq8mU#Wx}8k4TLC5>orYrz}8c4LT08y zND6Pa4HU-c_lN7H63h)3gbd{|4iqMU`xp1q{(t9wKx=S~PewOE4oraIll$ot^f*9^ z_bEXfA^i?5u?w~CGdSSiy#V+fIOP(mk?KYHe(sp+P@eqL^Un_dz8<)6ZD{FNpfVWR z`Y8_Tnf!zIus-pgGmz4`=6aAOL27<7!0zBsAWo|y_(X%2!Pp?>Lw?y*YCQNbOA&Vo zz#M2NRwMPkb^;JkkA5>kVEv2S9(WQ&m=<2wts(1OBQkE8g0B{U+vgeISW|ad>F^qIu#;r$&gP+toxb>G7 zarp0{V8o}ea!lwR{O*)rUxxhj;tYz0{q$z~WNK|kdYA|N^hWe&$ABM3C_J=(H2+s1 znS=-H2C=l^s6x=$@({6Q?<6V}h`Q+(4H%|%;fAvgo0Wm%`C#a}@+AB<3z7!C^sQ?F zRFo|bvQ^)6mNBFVruEl?#r=w@8)&i^>_SYZl(2<@^&5>_LR1GPp*I1KP*h(M7z(&s z_zlZR&2hSCXRf>fl$lbN0IrkSZ&6@!vn6Q6ZR~GRYTSJJec%ga0=V^-zkfs1^~ebd z5>~+o!NR(Aks^@q4MeE?ss7*CPt;hay8>#dUR7eHm4(u6QJtkMFmTL7z7ZAmTb2ll zlsJ_yojC9`pb2vP_ng}A;y&n+yzP9IRpWHF8fdBe<>-hROtqFUNY~Gym&DwfwF7tj z|1JiOxBLc$z_N7PRepicF{F^|u35J|#Uf0d2G@cxJ@11pFZWa^jFTZnT^K=q5J8<3 zL9N25PfiD}yEXBcjhp+pM8a{%FU~uu=QMg+@B-2e{W2o>y(NB;CEP~iA*~xq&H#1tG%rky-yEym@BeFds;Cr4~MhhY3z*N z-LWL_P`okKT$Thj?4pbPL%VO_(lWw)0f+kJ`SL9GPL;ba07pO)gzAtl zut*}Q(g#IeY8DU|YbM9`4YHlUuTLR~aYc-Xj}E&!0bZ02;D7e&YJxz7*V6HMGxC6S zc?Z^^)pZE}=m=UQ75TbCQRMw)IolJH8wlVzQT$-qZ;U);~kft)XcB5A!x!4czX^kRXlJ;8bX(VR3oDUzrUh^6FI=tPOxTj zsMkz9X*NLLXLzk&P3AD_A!W#ax9iGg=wpI&K*15LcxZJo%o|UxJc))QUj?Z)CA^3b zKr{SmsS@}|jZO?@1~zn5q(SPNVp>x6`;@NE;V-$R{Sp)D5FD~*UoApEOpigE}Pw{=v>Od+h9y41?G{n68t zNBQ-L*&OZ%Bps8KU;kNXYzo%)TKwJ6TLma5G9H@f6<80qPSt)1;ikltR zZsl9SlBA2nZ0kHvxTxXYh){lIh>F|{#*f+D=yc{u~B=Qa6&+Z&-L%S zFDAA*hPs}r8ecS*V8b!g*&^V}k`Pa)G-T!i#vcg)Pl|d@OFYdTG(pU?z(9Y7Lw-=y z!?06WDTCSXiJ13dN?N{wyS;Prf<0F#PJJkaDQKt-Q8?h?RlOMrlzUWM_Y&e-vTF@h zkN_pNeb~W-jKxCkZPSQlG~(^Yv0pr&u~ga$@GP+ozbY%<-<@0d*0*l}3uDhi z&_oMdHK2!i!NPp)ua~0+0rCB{0>c|+0*54$4K#?7n2rJtW9i6b!IIt1>1YoZF=^zs zeao@yQr3?RUZ!eBjW|~RP6&(!DS%%ZuDHGFy4xX@d_At*{`thb-mWW0eBcQHVYb`G z*-l%HX9c?jc&XXm5j1BA&Z+s)h0+c9R@x3XXm%MJ*$ynhU{&MjSq6R|$fq~xqX$y& z0otWI5Hgc~$cQh0SS3vnYP#u(@z%h;nb8u*H-Mp@8)9YjB_c6@Q-CBRQ?8oVe8KVH zea~+7L&{>?bje)DqJa^-FAHp^*sNA zd!BL0M^bB(o1Tz0)J%4Crm4llB+`WsDg{v{kmql~LTO*|7xF9sK^2rol8Dt5_6S9_ z$hRZU5ut&gF<=682TvO2S8%W|C3rpv4l(2q2z8Yx2nU+#C;UTZOKu~~2C>5~LPB*) zLeBk(Du^y8IFt{x{~TeLUzVFm+{M;q0Yx$IWb;DlDUbG(l(yvg$I{Ok$UUXfG2B}# z8LLh3*U#6k?$6#GFF)E*Df@bN;~NaCQ>{i{yxra0dH+VQ3{lol*pzg15}fmfT2N~L z@bB#^zlBA>PM?aoIX;&7DXDonJE-`sRX_fGJMBZ_5jtBekFg2VD!l~X}r)vnQ z=t~8B1mv&uAH!CXJp>_(B+&O8umqoa67L-Tt7j6{p>}(jJ9FHxazzDa?n$G}^o_;! zCG-L`em4u;@DU^1sf{lyg_qOi@CSH8aijwecg=}1vG+~_%FIyR=q`w}D}t}+7Ra{T z@{*nJ&)+o#wLCb0L$>8*?08-0Dsu0xPHByDkm}>st{q`ZE>9oO&K6%Z=)|38dx21t zYDVm3iGSc<0}|7YJ`J{A7Y1PE3%Snn>~~n&Vc(65jewu_s)-0+qc4|XPTzuIi0w;2 zVn-dNiNXHM_X8rll7md7z`Htu}bOu?QQw4XrFuS~x=L9abQ&xg8uz5rq|QkJ8; z@Q5l4Fa5f?y99>q$F_i-6Owj;roTwLjr=?L;R9?(cez$HmzV!xqs?TDk4H38n0)^i zE#?}ov;_8RP@ApA9q>Z8&cZg83=sTk1A7@CDaN+!kscG}06nS-wMV=dRWSzs9zpz^0C%z`6jNd3qIdQUWajTg#w2 z1)dX5ZUZFTD0`k&vZ?Zn5K}v$8Dxw`hrG3aXV$?dhwo>=N=maT@)tZ~d)f`Wj5jHU zE+nr!AP^Kz;w4SvUeJG|q`3^-n=8|U<=T#y*Sz}WOP*cSO=Rygq^>-6qUr*#7| zS3oZ#Q?F;;k@+D(&p(_lG<=?t8ATW~FnUBEF8oV+eN&fu1iW#5lWBRV&YY^X|5+20 z4lP*6ZO~(?be7iI*JHoq_%B^RfEI~Lv?%9!#xSzGQ|cm+iiwqPW;b1gqV|_^DaW3q zOxyKuf4@^)CRcbEV%?*->_41MT(%TGVN}hWQwFMMG#?(cu?g9B=AgqK#$J|bT&S%! zQx6JsdO~AijQ4pKkjppD@Sj6G%e4F$%;e`FFo((iG)N)%-KpItddi#>|d64HN4a_y=cWVlkWB$roVu<(?Sle^IM%`k@*T5metqoT7u# zOqHS|(A1QojVm;8Y+?o`)wI*Y%Ij^0YWnd?_ypg z#sRd3`3C4O0_G7v+20*d`1^d6`r=-^gJYnHZb&$*Z+(90p&j#6`%rGwt?+nKqCMk=$8g2 z5o>?#=`W$Np()$&7OuLnrVAC>+sUe|DsvYx!@|Wv_OkPn@9(L1B4IMutvQ1n8)FND z&uD46{978q@i)Gqa@f>p>^4)+l2iEb47Sip4uYq9%zmuYyAH7%r-5nAy=S9pA?6*7 z`oy8xUfM;=arJ+wA5i{VoB2xbjLt47s$q29)T|!}D$q~YuLR`cN&5N9dD%dcB#OR0 zPuCB{?3AV)7h^;le3UimLMzm+6 z?wGToZZI^l*#bZ3>F>7??GllyKJ!b#36Ir<2(3dO{Z@3zB59O0B;qDI^i!%@+OgFx z@C@j%2_MR*tYPBFO93sxL7c77bRYoZnZWVIF6Rko1N95_Owu>%hIA8c>&nGqdG^R_ zO`M|QB5$Jm$N&4YABbB{Kfy|}mU@VH<7t&yId-$bU3uWfJXz~z4G`kcY((tqJIQ>3 z@~2*R*yOLu|1fA$ z-uQI3Cj~peX3M1=2)xZcx@bg{vv1QmZ`j|c=kHiH{+`(KW6JhRQ4K+5!px;4tTBUg z^=zWvfqvtQvLF{${YD4LTQ+rnaoi_}bNCqlH2+=%{Aans<==7BfgBV7E!#c>am3{=!!2Cbd4=DfjvK`^>xwzG?;!jvVaQ@%a4=DegYw=V3 zv8wxT6Yv*4aEjL}4|<@mc*R9u2=VW_y6XX=7D6xV!t!fpy%3eOR5e>17dZb9S*dFH z;?+?SABKujBE{@fgmsA6rp;N3vP!* zGhEieN_hE2&E$u^G#hQbw6`_&c9(wz$OUDLZ7H>oT>|rA%N9JavF#MSY)oQktR*?_Y0-nAq zqBI+)c#C?=waY2AKYAn5 zJvu=`BlJE2n8>Kk?!eDJpb=HHAuF48F<3-QbZ&T8J9jY3V+w79%vbkI_X`W))GyeJ z2U#3xj!;Yx@mnY#QScQ!SsjwUJ`~5!(#;ZP!l@c33-k-g5e@XSn+qxNxM~P&YA2C5 zk8ox1k#}f?kRwj+8O3T|Jes!K16o#N;VoV!#v!nHBK7EZlg3syk)6!)<*97}%hF?1wA$0x&*Abw7shjJzTcG!QB z_av`>MJgE6qEeQ&kP){-B{2<=Bmx`(-ddNcdTB+AzYHwp|G=aJ)?C=7M3T! z?3nd0jLc?#75s7Uy2HnMj4}Tes?$sG@BRhQ1IS|i8<3kB9cDfxoK>LVWa8}iii|Pz z#nNxbc*r6JCGZR?WaU8%!4X5~%;OL$yqRPdRe+h@P>HmdSwmyUvnyXj80TpI1O=#d zblSqKc{NwWuzC>jSSa|V5Xw!CdqiJb3z6^dK1p5l13VHKgtR? z`fmRG+GRt5y2XwLdzlsn;p&wE()mZ+S9Re*HaB69v`v)}rlm41pAz2Np zl`C}Sg)w;N^xDjlV*_zg>#kvZY~N-T5QAhZ^NXs1!Fl7?sr?~EZL6}d43akE(I|Wa z{vy2BZjW5qYm?4YBbTMNHPZX+2B+NYV44)TA1J8T@{J0j+Gb_4gbXs$5>pI&ml$QG0Qh(N)ZVL>=*$R(l8>4Lg z#ERqF41Rz&nG)FPlhrRj&kEGCNt+fpmZAOCB|I+XAEpJHxNIbl<3`w74d~xjTUj04 z$?k4$uSt9cZ+6!MJSkB+qNA4{lZ_mB`HM(e@N#OTh_cy*ZZ%SXQ|~9i^i>IOaf2nJ zz^F$9C9^hMzh3W+$S!7=L9*}o%FJJ(sa|hMI1^Z}3J~O6+sGW#p!ZkZyl~~c;|x#d z3(#9nzVB08Gm?FWv3*=tid*h6 zW8o8QNv!grernclTy;=jGt7I~+>?1+*7Qd;0t2wQOsz5ke3x#M>J(rTmN(+g8Tjg@ zI^A%DS(Qul%-FazjlaGNR_+o`FqLy6%HNkaWP%3u`xo%KW_XdQg9RLcv@}8pf@?{a z9um&IL;&mPzG(;>N*s5nl@CPEFJwPkR$=0Fbd;s06Kc{nIQ}|t zM=BK5-_E{BKwbd57KcDTcL0ZyO;@8UP_x)81_FgHM~b{7HS0^-XX>_90Ef zibpdq=0i!4M3zR%ZAp+@gl*24+&&;UzKF3=w`1gL{O##{6>CkLE+)%n(|fCPZCKmD zG$|MMt3^3w=jek|zX^VT+9nzDsRx9Nt~I)cYsW_o?Uzm7j-O}@gL{XQ(gJXeyp2zi z!}W>;IBR!?2tj0<3MYsKf=BomU?;^*D7(dS578}hCqGNj3eNYO)y3ZK&$iR}smFAE zyBBhocH8?PTTZ$g4-d&E%rIEh;YUWSx@ZWjurgy@VzM?jJtv?7y~eu1OKL%X;oTxW z16O1ATrFG{dfpNY?Ky#wSED0=vnUc`Z@;9jhTT7DpIpt|ZR=2`Z;4-Zh4fmb%6h2W zbok){^%DgsZ_a==A511c@QQ&4ay*aVWn%$=nQEJC3*PM>d^FI42!HDa*{o<7Q<%O< zv>)rCH0H~$&V3m~Ox35NvWzQr2x_B8#v_dZPL5fS1^$dWWoHyKWk;DX#d?kko}0Au zjWmZ09X&@E+OaJ~>-Y;L4wjJm-dP44V-K&}%1=|YoU^^4Xep95vD4q>ZtX`b{7WQj zVJ0Bw-@a!juR;MQA%o?zMX zsOA1^B~1bUG5$$^Wq|wDX?6#rnls^y=DRb;^OCEcUms?5VTlqPvYPa2OkPI`vfd~N zULp=#zAhGCb|dITbQXpUUgd}_l=$WiNXys@kXx7pp-+zWBC(DSdj_erG| zVg}|JB_AyYD5*?trtwJS>XFAn4FSu(hmj-)R-M&O^qr;5cmW;NkodS$Ozl4q_vIgAXO~&bK_2~i@h^vT8`)i%lo?iM2=h5n+*PhZ zq3HKdog<=!z~=yj>J72vYj_IMozLBtzFm!1n|s7pm>>Pxp!M0{c(50sio&}32XZ#W zj#FJNg{ST{yBz$|fjN)_3n(kSz_7Ab&H6g(f8bd7=Uu*(t>*U!21O&$har^%F_Ue_ zTOc{UKH2H>|9(uiPKrW`W++0ujl{`FqF`+4pqdUetg+b>Fx1|EI?<`EZ*jBC{&MD#= zdbfnetT?|=dBe8=O_~n%y<&Yart7a4xESB+ z&{ZBN=tKX8Q8nW-5HzQ95HxEb{Q*$VCk-XE5MYiRE7z(ktdn4&4j)Mk!mB31a*5^x z{*Fc=FW1b>o_?E~&9k?SZM_F&AKZhnUsde@W=Zqu^O$?6D_Z^r@XYFj`l`N-S;{@p zsLNeEG-}wy?_BzJ_MVPb$NxMIP+FLb{&{AiP}5K>?|M7B*pyu*j+3T^GcpjVyNQpt zBQqu|WHx3UCS4Tx+wI{+WpHy99kYgfy=W5Xa<|7W%6rQGYR;GTR7BJmYJ8YfO)qPS zNv#+#dQZ^JLnm`DiECInBT(@TWM<%xtlbN;euf!htZ}7e4r}(=K zx~LYXf;}AtI1Z}(%3BuNY$yh3S0vUS995(2wrtM}M+11ou;o!W0f`wM zE2~0MJj;(`k#DELOzc(7%oB(%)_Wgj;Az;|Nx}*!1`C=aI^`y0X|e4ML^zjoAm>r# z!uj{#Srbdn&aAu|i7q*9giTtsPInx6pWEk^p;*3!f9wyquHu^2r_Kpj;G$(LwfU?Y zQdm7X1ami@KgU`~3(H)nZZBvMq&k4xn!+GSi`$TVH~{R@(48Bl|K8V2!*Q)p%(n{> z2m4H%KtR8T9Ta3`USm%$vm}eLjqhJ&nbe?gCE+&CArwO0bWR{30lrHjl{I(WmojMn z{tyu*Bnt2eaZ5KIy1{b0q9FIL4{VS)O$8?gSK7eNUNG?;0Rx{SBLkK^sHLW{Q)J%- z5|FbHkUAo;DHYhN%0_Os%#nvmzN|`^r~*w`E0Q`3!BvzMVN(YwG_OYx@UCgKmWnpv zQnXGqLP3Cr+TP5pwZ_WA!ED5_U9OXsbX|05*n%DFbPFM+#^SE%C@_^k~H!ZBe-aTs?v z;hZHOI;sl=ZCEiaZMV1P8n^pOv%r5lu*_aNwddWMhu@y%+mf^Ip@C^&O;$J)_v&;eRafB^wouPF-EvQ!nxTCiKW|}@{QA{?<$-=W6mah) z#gZHBkx7C3N9+xoTw{NJx!9NnsXE2h{i}7Xs{-?2oki-qs<0uk{ZE!ZFyG}(NC!cLUJ{qk=Z8~~q{_~`FGwRChmE!)!F~@86Q5tY)<-prlbd|O;i8nd$ z=nmSc^a1%EUgRBm@p{@y&+D!D;`UnDpp}N#y~|$TpG44oimfwn$sJt8j6lBGyQ3Ui zX(-2;&{-7WsK}YXkt6hk#)%0p_+{n0*qNWS*pV$LGN%?uU^M#FlT;Fo7w-QMim z?9S_bM9DADrEGLL&~J&1;d1U%x=-$~1)q%qIZn?>o^}i|Pn2B+I8Q&@6tMUEU!OY( z`aM`MA=HgU;Fl&W1ySc83?!J^P0}WOUlyd{l0{FWs)(LS=*;*#(WdppUAQ zzdbV36e>i9`yx!eA!~;mHEobkig-dD$;e2O-8w@6?^jMyTv@^FYRSPCQJNyV+#qDg zm^85ckKabMRG%3gc%}p1k;d+(3ttuwPX}aTb+an*x(tejJ}wVWA1z^w4}DsmtXfxm z=B;7SMDKLx43y(?PCI7~TWGYt;v;|CPX6ulXFVJG=L->Y>%6(!dyUpbr=>#m^wvBB zpUuhS>GRFscgvdcyF&$^iMt|CccwSs4Y>@T&1hxX^=Zp%3r*+!{-TCA{r;ksxAcB; zTTipZ{o(peNFC2xLPJs3%>Aw6N~X8u>a47p$1}B+EMLLeT--=}{k35^w>qut!oPPd znxzQ*3ZlCdEHurnq{^*I>gnqn3KkmXR+2PUwJg#stQ^X%D(c7U8=4o`7FQ1b=`3iF zUN^cg$ciI=ORzjHLQnFR#5gWeO81s@n30W5NZy@)yOJ2t_6egqVvLP>*iH9-yI)Q9 zCJ;cwnbVC^^^wqdQ@(+d_L1OxBX`ryEV`AFN^*RbnmMjaMXF2fojPD_JYGDEm@9J& z{M(6o*Em!9@Q$_esA>J@UC_dBL6?71>f;jL?MiCajJi>GW3u>(!0i&x4cG3Io3gebC1< zd^!EAJ9YWAZgF!1RHss=1KusxUR|BCkFwj`{UPSN%E+wlQ0z&&bY}v4s@O~NsmHrr z4C}6>4=n6MEPH*&$8{a`)@vteD}8l3)gsYs^4;i-s$GoDN8s}-xYRNsUm$U+r>59U zjMuweqL0=gk^MdlU%td6^YAgtMlQ{=@=!uE`g@l`)_|Rm zNI37IAKM`aG~PqmJD(vzK%~?B=>TQDEau4AOIYlL3^@Cc(XqQbhv&O9CsAV-A0grG zVLesm?kEX$-kGX`M%cZMDP#7$t^|%#XEtdpnRF%t^wfjGH*e)sn)!~6eC*ju1A#l$ zf)doZ6*f={XWef`^pI!;d0Xz{QqA=c$vXq66jCYl+bgIQbiZt1OUYr_eP~}7btPc^ zzZ9C(?hYcH7kXmepsVf}2WXB&g2fqT}> zp@!p4(xoY(!l_Y{A2Jy(?IdAm7C@|K2%Mv$)SsKwkMSXazZcJ@q9XJWlsH zXP>&V0#({aK!c_dPL_R_w(+9iBd8%EZd1zbS;}O45vZarR@7l&12iO~VK!;BLmy2p2n3*lJX+{`jyp zkYF;;50i4jW<?%KWHxK)Spl}Jkw)zr0&Ds2 z!KXI_)Aa=;ae_BCF`Q;~+WxuyS&T6CJOw#P!8w&)n)Mv1pxQ3dSzZ*spOw`3oNBx} zCQH;)>Ex{LUH$0YtscBrLJ)fL$#~Z-_`nHC-b;Hdx&26g zkD#Xc=%)kSa7BWX2B5qmdqD8@5q5v67Q5V~_-K;mTS)i9kb>sxn*sJYUXmrZ9mFka zeOp5;>*8^+cVbhWUPV4U5#Ydyrrl#7C z^nPBF)IRAX zLlWMQq2PRDJerW>WTN>It87wWw6tI@PRh!75iv`Mi_~WYT%nz9p8eMw#zO7%qvv0` zMDAr>!#45Z#sr@dme+Kj0(XKkN)Jw1_ zU+c%wOV>2VnZ#RK$I&OIXMDX=f1?t50ChELB6(fQ3~XKP^rHPzOma)gbOCZhhfE~2 z(R!e3w(>RM3Br3IA{&i;aljKg$|v`k8R2hyM}pQ$vP%Kp%}Mk;xBvDX%1r^Ue#e{` zjG0#;_QGfA%bpiYNxZvK5iK`^3aoVtMrWHuZai2%=wzN;e7i}<#IP-tg@v^OTI&c; z=91r@`aPz3R+C0}i?84k+FS3w%;{q9*0@w|1f0MZXZoM;6t}*eP#3?vpAc2?f`6J+ zzjioFML<@9brZ9N-SfdR#QDBwh| zq?8wWacRB?mE=ns*fQ2{FL1X#-&eUT-*d-Nw#^@)j+*#x261o%fjfhsoLIQhZM?M-t(CJe;PBv9IKP)7Ik#?p@bda zcNV1rJ9S9$dv;&!ff4<<@OJBdwo-FAjPUlM^HJqGNOjt~UD#CN)jh0A;_{SSP?GW? zgy=oMnt9dVr(O8y$dC8kDeY-Bt8;ve?)9ef0S58;l&1&b-94tN0Q&oDa)0KLfX_z+ z{rz;u%c4i1LFW)~4D{s^<27)YPbQ>w>*sjEFSjR*qc5vjjct`3+*vvojpX*zv_q@C zhmDKLvxBEkH~sP(eXNXujjg@3q|kLSToQ~iA+x)?r7+P&ThZBayszu>W*es{bm1qE{bn!#Q}jVH2amrA1~**d*|eVCZ;!1DYy zjp8i$+vNBOb{P>={hI(ApEevx@#|NA??3XIa0c;He84-E&C!s+>EBTfn&A(vFNX%! ztw|a_htVCtr4oMfq-hu3h`z2~Jvt}+p8XRPiBKX}^6UvrvXcmVW75nyg5DTW;#)}? zKLbhJCKDPp)MpYL$soys#iM=@WIHRMEwVaU*D_<2&3Y{MK5=lDjQ^R{aeS=iHCJ!! zQ6{zRC{)rImncVRs%h5u3S4=-?q<}i4_C(oLx#2^XA{3P80JIoxKvAhp$aV5PJ2(7Jp(_s!QU43x1`} z(dR~4N6{yW?4J$?smoFOt5#R77DyvmU%;Ei%*TzRD3E5dpd58RNN<46FjAtE5t5S#a7Fu>S811WSJoOMEgBD=Gzc znCmyfclY}2?00@}*rlickdeWsq>PS78#F^3T-#Ee1@+48!<{?iX7IU)XW^bUIjZ6v z-EF5fPJ{MgA7+dD`kf`q=~|s~+}!+kaJc-65;16>`^*z?Q4s3*jY%G(_@Hm5K?+di zb1K0ta&Ry31qkvvW$N%);X{|l{S7xlsT*Gk>lD$9;Eu;Y34NngSGAT{9u0JR^T*_a zGy)Bz!bz%yr;q5*E(b?Nz4&;OVK+cEow}YEFagqCeZdG6Ul~n#mf0lI{$T!@ZHPr> zwq8#CeqR!|*j<3C{;k&v$|Y~iOWtXFG|GPu7q^ck&A2(g_l8KpU6w`NiBxSpsA?^( zOl>{!*sFw#y1vvinTB1tVAv3Q_lI1aQVu%3GL?uF23*CjzuXiZ^)ZSMRJ}{QmB27iU!T(W+tD+H}R2~hUIVs7h=<>2>8h`@)@q0;ZTi? zqR-UID!rkRCNx5xvrTknY((ovG(f_&6UAl_p|BD z{+cC;*Cxpt(qkDdRz&GbHPy?9F`Rbj(z}eCo5j0#+pz^ImvGUE1nZ}?mX4jNhyAMh zdN&PRtx~yqQOr^>jAj&V1f8zzczOQsXfRa!e4}oOO*?EX#~^K;tKy5|;xf{u6Z7ZIv=+f=IUWpNDBkdY%jridKq=SmU{mF5CA%-V7ThW3 zW_cc(%o?9bTMr3CR{xey^msWVD5z>LYG`taRtHI$rvnyHeA+w&q*9A8g*ZgmwpJ}O zH4q3J8^Q_c#=BAMvoO6F^B}6+Qf;%g5+j#yUk=M1$#E68&@pi4QB3szOhqHoE2bU? z6k%HQp~xO5>?b(VuU%N>J4>(lR^sOKL}|-*t~@+zWjuxOi4$18-p!;3PrI7qSsyHi zUs+}{udF-72!*#C60*A+v4XXyK2@ha)uui*er~XJsZXt`I5ny7423)q^8vQE&XZp5 z#ennXL1xI$LteEJe6V^q`!8MC-ZBvRjAuJJ#vRtTj)o0y<_=k%CJU`<&0Z{BziV!& zZ=_YLk1a761F*>O;0=q+xs(=p&sPRTv5pNwa%s;DLU0QZ2^Ylj9LodqcG{@t^LEp` zGX2b`WtaD1Lvrq$NH{qRl)UW+Cfgrju?&~wk2zq<>3;AP3?|>+#uo}}(#H}$PSp~y zY-YIDLEE8mD82mYt$iG#TV&^ju=vup#sSM?-2IHoH4zV)R({&K8JY1!!o~@KO+Xll zMDV(dz_W|feBHljXumZRUns7eBm^3-P$|F~NlS^swlABB!#w$D1l{72REpK+^fU^q zbpGRRbJFQjA=sO2-k|%Qo4TR`WY74;sG<5Gn(HvMbqj>fJH(iFR9k<|ZGgvW`(90b z_PhtN|6qv=7=!@ffkU@XcqWz)J_3l{%(HXoJ+_) zBF8!nd-1A{bBs0kGEZuoY2ylV#K`{3)Ap!+`--;yjgq6l^7n?A_|1>(#>^kFQMj8O z(;lfGC|KISD}j72k==}^SDR*(QI{8=w$!xOShfYE0(6IL}QyO zwrIlgbN~2#*$pECH#&-d(dZs6Ucf`rokHHa1E#wL!J-FtN7&`VuzVzCsLt{2BJbP$R81ly`UT<(Q749# zMkq0rRqA36V~vC7aeIr5j>ea@{nfln;MHAao<;Te94#-)j?0UQ+tuw1eLMS-o9PUs zkdTmDb)LxcwPNF!c*#j=cku_^7RPsg17}4Jo1}T^{tKgY{?`pH^FUV*$2Zf|AZeA; zZE-BW=NGBesUc|!O)*tR$T_*SH-c05S*f_cC!;AwG8(y~?iib`tTNQM$xV|hVB2lq zm6cqq3!CC*q<<;KwF>QzCb4+MPYr(1*_0K$=AYJ?{JtG!s1|ASz}(Vt*)jo?mW1F3 z?WEF5sb9b_vMY0}bIj$XRZWjCM?|~zrXCP_nB5u{QS5_Q%WH(E*)G(NGTc0Uhlj}} zv70=e#H?Bd|GEy0xf%kR@8kX7)bmSMc2qchnCtN~-YrdC2db?z<~ zH*g|jO|bBSUMYl0;2g=OU3p!X<$@48@ryP0u3Xi&j=E+`&vR{@#Yhph;B<7 zMtl~A;J4ZxS)KhemEP(0l`I~mIj|L(WO75tDh^(>WAY`L#A98@)izZ&BYxJ4&fT4p zJqZzz6(JO{Yb%;YMel=q^BX0KEQhrSp)grB zX{MBo&wEF)!}UkAMf}~d?3#wsqSqPr)_wJbDtNL-@tHvhHPNfm6xKW(VKeS3cYV){ zl7CSJBw%f~QakueD$VpHs||lO!EjO+pl#woXuvR1{o+3ESYu7_H#X z-pTS@ltsUnvdf(<;che;=z6p+5rPL`9m8|BG@^dFPu_2#>oH@s$||HQzrH=CnnIS4 z{BGcr@yx1}biY`#$#*kXnRz*nR&qH-<5I)3eO*WDb^tMsc6g~Z5s&9rC*~a;-aLwg zN)R=M`rORm9xNftb=+)vGoAH8aOi2gikq3}wA2aUt~4`nI7q?F;BNNLxZ!z)&YYZf z7;HFgQnilL;trh);B&?mLpmFZ#pZ&t3^8{!A3|EW<(|j{M9I9T4y(x^`Rpv&Sa?!C zoVo4u9g4?fV!n%I+}!!=Wdt1WNh}6#_?gGRCp>T3$34Wf`mAy;ZEx(?#_B@*M(@b1 zd*6dhIo>f6-Y(D2j^)P95KO&oa)MZX!THt?Yx_VWp`vvDe<*tkxHy_+Z5&zL7I#=Q z5Zr=maCdk2-~C-}Bvb|ML4)*Yi|WPxs8uZtqOZ zOb=cm_Xgp%q@vd3hKcW=Yb8w=hlg+bl(qcLc*M2QQ={Z%V?JyRjoR+N=h)N@#!hIy zTtuyVLg=D48X}FU%`RPX?~{8o5l_h^s1W?iJ<=n-h+pich4x3t>^*sO+J^hcxlT zAD7%(G09Y#1_p5sc^mj;3Yq~HF0oBwU5$0ElD z!3%h`3U|6kc(C*feq`1&p{IB5|6YN@-1-?Bxn>7qeSCMcb{#!v6CreWYP4)fbL09Y zZ|_-n_#rUns@R=qUHt|fC#B8ENaq9nWuT-<)S!18K@xY_C;eRk*}zvhif;PAZl?a` zpqG9!cfS*DVq>FpLY!upcU|Zo(0;KFd-tY0`Psc7-Aop;N_gY{a3+ZEFZ)8_f&W03 z5GPW^j)^{6QmzGQ6LtUvJ6>PU!TZ@LNY7-Xhy-L5U_F)JA8GO0S`?dA? z^?4nzO_{H-%l_k537a>^?7+0Ooam9tQqk%8GmpUQ&S_T9CC$(Y2p03he%S zMogVPy!F9JT9d#Rw52d zCff-Jwc;LK+|?&2vq>PM)873tTf;j`E4k}sXuXYE$7Rab}jOYS#(nc#U+!FNq> z^N$~C5{iFCf1IUkU);J&uZ$31pVBWol5sMIB^gOqLMp5L%2 zblh@LZ*YuMJe9N82kvNo3`AeIY|j#9e4X$HKT5BHU1N75c7RH z==SXa-g4#kb+24mmVNxiu=JX|iTYEQnG*PG_l~EeN3V5kh^XYg7bf3kxm;!LA>Vy9 zM}DpzDc5<4%hNd%I!QrOl+AoXnJC}x$(n#Yqzo_|bC!uNa|GWlB_dx!Icb^jqc<&` ztSf%AZ`(`4T4aOu90QhWt39Y2)gVphh6Rp(l$LjbO~&a-oe+lr-QG&lMfukH;Lr2= z&LuSGMSBqMSZ27L(+4N!K<+?_M31mG7j9}Jf)KI7+$=47tg5~kC&cE;XN|>{bp`MfuBS3gxr+N6a~g+AU)qNu_l^ z11fl>zV5H5pop-=Gx9o&5zGZWYTXUE5j$o&~S|hy5IJfj3&Dn9{+Oeuq zldpH|@RNu3{#`_E?@#$twbSg{sxf54%`rOD@t~*3X>+dMD^Vq@Qy}~7l-xonXXw=7 zU~<>*zR^BiEK*ON{|_hk;ON!-BhI)~Nhw&5rV@+FJ9}s-57RSRC^&mqaF5gy9Zz5? zdjC;T#QEs_k}GE9nei#~SYRBJ2%S5l(u54tj11Gd_ty30;UNE82sAx*;bTfIcTW*A zt|igraxbMqOfui(VqL;xL%m0eK%2c~w@;d2%;Bl#zTfb??(^+jLgAz5Y8iUCLD&FO z+~noPdTjt61Dl&Lf+8SKowbWfjVkW4%1NynhMkSC0 zWVY-C){43E+~rF`d6Pd8dom+**1qIp8nfd2&mdZAxMR!Y2>I_LU`hV3kU#F91cCI=1|e}I5o(2`{a}=#=;JU9-$KRv zL^7k?2??2>6=4uz)$$Dg1re~=n#^TA0m3ji$nR?n75!lX5$W+%4SVyTkp2pBUgsfV zp;}vJz+=h!_y?3TDbi5oTao`m1CNF*eIPi+HED#}-6lv9PemM~HLwl+EsgGwenJu+ zAcY-Aj)Edq~ApI9mQRcAs1V};Cr~L!sMmTc76_v>q zK~(<)N7~VQSHShqG%J!u`w%s+ppd=DK96XPh$$(#<9Z5k=L2*rkUWb&u-agYMI4x$ z?D2&_*xU9Ue(~Sdq>M;4PJ~_+bsG8rxUSbD@;422P>YHM$IM7QFM!1;rE;DSIm|zym@-MyUxXH6(%6MNDdF#X34;Smggyy_LnaIcKqK0UhaB{7 zTo-ViKZ+p_N-Njh|CtpIV$|>m5eKFk2?d&;L*a;rG=etba3~2XM&fXS2?q@7^FOGJnI`yOCiL_!mUtj~1JcV^?eWiP($T;N->WytgI8agq_^ z9T2fU5k+LFiN@MnlVs^OryW|RA8cLg9dR@(4kY;K-3^U6%&Xl{e;EVPH`J#k591X0 zDx<2-vJOS5%$v3hiBV2$83(cR>@NK4RS!57+=(9rnp*0-d(*w*8dS8vo)fmUbI9Ff z$Xz+W0Df}w31nZW22h|g0Dy^&66<`Oj~f(=Jw_AC_a3L>jBSC#sDwVvIZTw=f;}7-b?tmP8pH@% zjU*EG=4+Vd2_ToldjDCd(4WL5itdX)`2~>ni*SJl30RY({Kd=R4oE?|+JNw)1MZX* zNENkBF-1y-o%3A#cN2aeSrcT@BW?!k1WW(@`of}72omu#y<(4vKNJZP)(_1*zhNjCJ{+0_ z@mX^$#j z7jiKm_NkSFbYH;m0aa5ZC>Dk@Wg zhuHba2%k$4@WX=&J~uL8pdNqn(K0h$9tj#eyT%RHO{zOhwll0`Rwx@IaX&14YDaJQ zCjpumkO14yI(DmI^v>A zsUJ)Ta&1o>Bn}>H57?z?I0Au$jBn^q22KAKjLH$D=t2yOr3En}__h9q*j0uLJA)AF zeNXYz0U;i-w_J@#go`h6q*l0$DC{7ruiVRc8pvb%i^`GF_iFvIjU=|i9>O-wz zqd!a`3O$~kVZQullH;HwMQQNpj8X032Oa@9IibMZ-X4Hh3>X5Otq{@8JdzJpLJ#0W zg|PvE7VscO$~9C*%82Nnj`^xlNDaoqM}R%n1RZ=~Xz`z`(e1ymUB52*+N?0SROvDT`1qPaq@Q8FH<|f{S!wojfususd1m90k~ICOrYx zSCUm=%_|#)5{GWk#-kclsWjNCYYNRA(7JDa!}O;2m;zuK_7gHKoB)oalQ(HU1i1B} zf@HLu+5qsW_4^J8Kt{6w2U^S^nRJlu7+|Lzng0L-GE4>lVnp|A6@}RSH={x?wE=x7 za$?vWec*tJ6h|C*r6rI79#q7HvL5_?g+cD}cuv9nAsf0&G}aZQ9eRL@xK7q(=}w@- zL8fJ{ffVI-K!IpNC)b6B@8j?T{ZBA+mmc%4MOAEUw73Hr>)K-N85iQ=5)QVm&}c$olR=LAqby|0j5}=9PN0LhL3&0&|i5ewjiH zyn_Y_;6q%GLeLQ0J2#d<_rxyf(1>X2xSrawU#X4>b8C#dl&F| z&%)8^x*g&g2Ws~PYM?2gIqn8`6;_UDf7e=$j?hS#&(AR*YCsGf1s@B~K@(I%G-tsM z6|~I$%UI%dMgnkse=r>(3QYC;jh+a`6pV0ekg5}UfIt#eXBO~>bj%b=;%zaVTc(5#(qUEBj`}eN*s+!c+V8<*JcP1A z8no|KK@Yq`1_@05XoD5_1bOX&WT^=H1e+(k=b`8K>+=ZS&jbB9P4Zv$8@HRy+JIRH9%2u5h6Fk5QB`1uh|PC+OWoLPbiySsk|kQ7F8& z6u?e}IwQu7KW-2jA0uffJn66Arh9Kffq-AfhaC^-0}`BH!dTu6G!bzish*Ix3(W3B z&u{_PIu$1AXW?HxNPTenz#1yRjIF7%f|d|87S-xd)Pul9yTo`3Hnj#nK6r#ZvP#zhSKm<+)L$#?w?A8Oq z*!1YR1Qcs8%20yt#h!%?H{9m*ewNAF$I=d6a1BCXVrkZD0C3K+{b9Vs3=0Jus34-~ zAcefYL%_&FvIUL-_L2{-j3t1$9lxi(@kNPUYDAl+*C ze*!Ln@GlVxNg9j%O<0|rSUz(e3vTKCHdP1OAgIS5P-`+-@}?j zD7Z7BNrX4elg}-sqoXGeW8jMG;?I8YFv#5Cu(RXE2Q_Ef7@1A~;$X>9x&YBGqLwLJ zNN3Y5-n}#BnA54TCX2F!gApD70%Z6jyur51K%vh9p0{(W*1s9s-*OxzTbiQ)s{D}*5K)Lw57B+m-W;OrY+w&1T^LqG`9!^UaFa#64EllxI=V$c|cDlczxI~{{+&ZdEf^nq=O>ZQe)@>4OEk*`7; zy-_njW5^o8OQy9x$VR5M4glb|A~5&*4a%sJZ|^^=`NDp@A;|c$w*Atv=hAiLAwsj;0M7eciMK|@L5DKK zcCz(jjq132v37T$^&@J*0dH8!6$ort{>xb7E~&N<(hD}xL+4%6 z=+&%@sWquqDZgIa$}JuB@93uXM!UVfwCu_39B&#R6(2BjUlJHt8v^RULr}hg^_VlKU0G+Subpv zf+E6Z+jDNtwp9zs-p@Fr=~1FTBl|4G_5v|^i`yuVR|HxeNl z?|BeYo~!q$vYaKoo`!yb>m7AQHf5Vdj^&Z&p}K~tab=wJ2Nk4Qq~NNrV0n<|Om<_~!zA9|==F%*kIk_z$NE zCr`nDmnHiHpeWr?8kFqvwC!DnR;>9_Vfvk=IsY4_ixp}7$-vHw7G!=DIdk5LL2%Yg z>_o+?K1YW8aT-&rWzhRpbZ9J`#mBgxt&U`}O2YXfJ|hS7CtCqWQRxh2E>t4lyq#3X z4CU7_j!}1isqS$;Dpi{Vy2Xrr>1slWcrU`#25l>5hLp*-=>xR88o_UU+E9B*^kZvjje^D#))|6mo zW8R0ksR}n1A{G#<0WZn|EG4i-c`MdD#TyHGLJN7|3NubpI2D1-WS}+bKucb@0yF5a z6eE!KQh+5K(ZwQGoSwXaeIzkNo)UI{IqeMJ~cWq#i?u{O2a zxCpfyk|ed8j2yLFq%zpn0NZ+C+n9Q8Xnivi=*3HksTJ$_FTJY2V1F89&iO^eB)Tz^ zjH*7SgZO<|RC?E9TzD1ln~oCui%a}N8&`N3^W$M@35+koRkVO7(5igu+~g$~)~L9M zaIJg@F(WroyFwWs`17r+VZF|b`)B(_9e)nLi9#km0G{j)8q`=}?W124d%6|b&@Eqm zy5(iiWXKgjfgfm25BM51z`)4|*U|~In-VcHF&6bj)cg(~{El|-x+dN)@s;n{Sbx&7 zR*WfsyA6S{q!se)hiXiE-(>`;&5^Lhj8^I5U@Us|#b9GG7sY zn;C2kuG8HLQB5HAN8e99x@elY=iqbaqI>FP98qkW3(N_@97@qqoW2mjRZGAv!5m?F zNzD5>MS;^Ca+HI@I>n-VZ}y4^_N3S$i};YAvyx2USO%Ln8tI(E^ZGDsFHtX4FGlZs zn0M}mml96xXZGtpeD`dDYOs|kOL+8C@8bvgZA=}f30AH73eBn~OzRUywW$fyv&Q#D zs8d-H9`wd38>vu)$WiPRK5Au@T+0{bUs&y9S=GuB1d0*ZCW<@1b=wJ=Q|!i0`UG>J zBmAiTAE?Q;|MUEqY|+kZ;}E7*t6(*b7{R52bEIUTucbJ;6%FYm#oP9*etg>=i!k;z zilkU-gtw*_z)cHe07X3?SAH3p{<-zGG@#8oI(TK5#Vl_{|Ng z4fE#L3NY2;OV{n@-K~gL`@P%6)82uH=K6xK07jcVX-n#>=Ttb;^7Dab7s~DsjzMeB z?gxP}NmIU0g6-Xa)?fmfAz?U@8z?d zzUS&?$ha;kq0A|v#zy}qNU`pVaf_{frFHm^KYxO!M6}m?cKO}OtM_0K4Y|ZRUtWro zW@kW(wk*6!b^Yo#w&fdlH|*@?b7Ig^ddQ!C+E{=Ufx|9x@GU-?8dqw+(E1 z5~i-k1xk{=nsX~XyXm@^ZQX=;PKc^5h~;s_yI(U!M)i;7XTV_M)E|E)Ihq|# zC+AV9mMk36jSnW55gVv?)f}GVQ4BzYWX!eVpn20`e`z(EUV>inl$W7rN|2_yat+|U z#7hoKnWsVt{=^s$c%Hl#i3d;KiRR%K=9=!thpp(XVDAg(<*h4w2!){nb^~|scDLN- zKq~#YI?FHoxuH9k0xL8KyWg*1j+We?U9x`G?=40q=)lgdY{$5#Qr(N?nmsrPe$w0A zxHE~-bLZo0>6X1236)>f`|5H~WK+y$$Xa6Y(~0kqX zzI35>p?G1NIFf%zyOUwt@_KFbR_+`@ZphuZg*(nssXS*B|CiT61F!ron}rOwR@E{uVR;lbh3PUx9U0K}wF*6PVPk!!EiP_<#9 z$mWQ>btzaFe4(9SG1%wEFgk5))RD69TIW!8Fv@?hhf`li2{r75L}Wwjun^wU;6lG; zht}_w^c-wZ$Sc_uR^IX(SDSDnRLx@~Wr>{ehl+N3;|D8~xi%?WYP}2>v$-ZIyTC&3 zwZ7(FwZCMR#XopZJ6oOt3mEARWqd6!M8Ab#3!ykj2}E*+;()4~1c93I0kuW!yHb8s z#Y*x}^t92o7=}_-?1>OE(iCX`O=W^6%CMpS9)*u=Cyct|qu*<5$WShaIa*1Vzx(P2 zdbyxn&T_O$EPp526ngxi*o~6(3Ho6D-|0#Qo9!fi^C7a1b4WFJgT{wZZ_3)PdZ|MS z|BGZfR?=dw^jJXif4O~S-rU;MH}O4g42h4Dh8-y`)P~^C$wlNZt^b$y{7a`8y6kYV z6|+yticnBTv#Ch$O(e~M9SbD7=Ren?6DDM#)}xhI-{c=Wf!+qDnJ^gE8@wlEQ<|Xu zrKw>aZW)^@0gn6Epcpxlf!Xmuu5Og?-GZ(LWWSE!W8ekIKa2ke$`b=Vu#lXip95`& z?R-C@`j$(&xB@Wri2ZGM_YQXup3}t_O^9dfOxzjxFaKZE(C>K{3LM!>pABZZZP12ux@?xeihs+T=(jJg zofcSZ)Y#tE79xEV#u7~yZxW9dcaFv(VBpZUYFx6!ZO zX4UleTDuV4>e{;(^^y_j&crrV6UNzQb>+=&PHna^SikJ%&;AO>^D;KJE9$!!$={Od z`K>GZ(oy2mdJUcN4y{m-4$(YvQ-y>DX_%8pm@Lt>)Rd*tNB zj_C1oD<4|sFZOU_Od{amTU3r!Nx#0#po^3(0R`d#QM^Vc& z&FXLx=BHy0ml89W`hVwYDJ*6I`VbQxxi!8|4NAEZa5#UOw7(uaI+Rz{eLtx z%2_KPO(kk{L8q7dM6d;y@|WgsvnFz3Jbg^>7uWxvBTas%;8 zItmkL=@N17eTmZT-bB7r+wN@7((Be zy4`KMKf8FPLzn33EH*h-*$iuq6IHhAy%*4pg4qJUxbmAjk@YdIo`;tAm~B$Fjyn-M zqX=erluzED{%drYdNayv5B;Qxq3G^;C+6kU$jDrDIbhI3^S9g@{^cDPKGMBr<-?Bb zP^eM{3RAl;n`3w~Sf%;yBF$X}%?8Cr?BR{k!i?!UEdrKQDt9S`iX{@6{VpjlE-9O> zcSZDpQa5M2z(Kq7uw8R^QAZiRf>uWor49YqvN_8X@#g`|F_~v?;``A4577_AlO0Zr zS7T~jbj$R1_i2%@ryUoyUR`{plO)bWAq=P8q>ZtV74n^5@*yf;eQnkQBa9Zc%<(kN z>Z4}syP-eoRu__2eK1W*{@Jgw?35i=#LqQZw{7Mt^Cbq-qP|LCcvwLdgArzUYn10% z_TtFs7ay|E>$q8N8|GlG9utux{gADNpA(V0?3BdR`vdR&w5(X7#G7~%Cjlq0D`xa@ zgoT@woBD`iILdRT8;E~_jLmi$&*eb=ri1>{X@BV|^30RhZ?iN@ zN=t3rOUk6SlZmec<~wY2<(Cys(CiBzQVL!Io)h~>A6hVBcqmvSlJTBL66Dd-uh)wb z#mw2|=By~#GN|zZG zJ@5Q+?)dYW@8Ws>;=qM81yAYI=c&&_le z<$Fkdyh#W-{AXYxiT&@=NUP_j(tcj@c{O2|lWOpjbi{TN);C&OUp?jD?M1&_r9Pe| zge*~^RLc-(r?NLPT3PDN&9oQgt4V#VObAg22c!wKli3^JTUn~j%~bp|kV1vR0A3=6 z9Xzun5-_3~Vq`!a!n6-vwEpFVmE;}jvBNjY?V#&CaYeE;NRUPt;JRud@U!}=sP%fm?OBY3000^WlEC{my6$)c3P zwOeUNjBkrl1{KP!wXBG6>`CGfIMGHjZjsMU)-Kj>i4Nb#bZBK|_3Gy43|%C3{FHHr z3`X&yUpu!qz10ZU0@hYO5;2V{a~xCDURHoJgHIEa*nSy_0J#`_wmh&hCVZim_eX@zDSDD6SA^ zgQpjbFfjQ1x)zS>h9cQTR?4qCM?*M9ZoZ?Y)J`vrD|XUJV;3>kPE#E*rzIs#R~F!+ zmxSXg7Fib1SVpHUj$I_CH!D&BVmOURQT8~gDy!5|(r75CF&ERDjA+lmcIBWuwN_tP z1PActtIAyhF)M*7)ap$|xP7}!GAi}jb4W&3(&{pvWb|^Q)>2GC#k5)sCn{u&Co1^= zp=hbZ<#&jyc7rmDRrWz0dRP3h$Bw5)o}C6v6ML%bb9J^yg3j~-+Hj*Dr0?Kp;mN3t zok##CZnI&0-}IV)zap;%ie7yrH&v>)wLZBp*d%E{OZIJR%>8tcvjkB<{(MdBqWT==`1B1^-`zdzlpQ zqF<8XW(yC5Z#_JKUB)D$-_qe`8xDnUEj@rf`XqkT2XxV1pNk#QzOrBLBoFa-&b5YW z5Ip>l-Xqbs+UN%dJ&WsPKR)i$b%Cq7qkX*vSK9(t>j>5G1XsHv(LdSj|NjyEHpkno z-_tc3Bj5M0(=xV}jkM2rUuB8V)?sSwHda<@%sG^uv*ct`=UK%&GDu;0 z@BFy8+6H_!y0=eBvnU&-_47^v;J{q{mry~cLcpo8S|d0xQ+-T<2=!sr2M-yhwQs|P zBvnsBf{`?M^5yX!9Ti=a;zm|0+x(;t)Y+LXMsvkd7e8y{tldG6;^|?oaK^T1n5I-) z4iA?Q@N@dl+-zG6gQv;4)Ke6fT(zw9+I`AP^-;sVy2|m&?Gh((F^#31_D_DsK`a z7?Zds2WO@p#Y#!Kj~DuJvps(ms7xEUV1ScZcZA@~)#%ry+aHS=B;DHc{Teo&zpUlZ z4609dDmnPQn@U3e5zP6f4Q(P;C|NC8JVMW}w%I%-EWGu2^WuSLbYxb?Rlm5~PG2*& z%QbV473cFwA!7g$1_SOoN5A@T`+Ig?=}Rr(V)#}1*r{;Xe>WHwv~{^~?KP6iM3vE^!E~!Bc}2JD%juwf5Yw`%0^8%49dBtTp4r&T8|i zM;mF$P*jVh_?n-NDJ>)ymeENlX~Y!N5Q*sxqJ_xlO**w_thWEeb3I@=wKhMA=nl-e z&>EGPlZ$y7{F1rpXD&~lcO7qzR%d}vYIP6vO8J+88Z2Q`Coo4uqaAq{e<(DoT@}mj zJ}Qx6=6{refmiT1c~8X^A?<*b{GH}~uaas&VOfwz$@qk`p1Hj{er(-dk2(8DZbx5 zJ5zzq?Zgi5h>;kjnqYK#6V7r`()Ut8s8al=^TS(aJhuaFrXv3Lh7UOHy?=BGUMWgJ zcT#YU{P#we<0M42FXdPKJP)}_($RP>p?_!_(>Mypx9XBa;CkE`Z*9g5fE$zE#k9mI zY|!RDo04u|M5xx{bjXtN7>{hIS%=dBTIhvvov{vZ5PZ}vWceFZR*#a^7~0`Ov<-1I zA`78TL2AQ-;Eo^|pyL9a{ZgdHdsjblbG>!)7UD<%JHdT_|Eur+S9d`#H;G7hb1HP( zMSq5u!q=~DGjvojsTSyzpTDebe%sLVU4u5Iys0W0l|HR18k07+(lmR&x(_(ZGEBy# zcMcGf2WD@AES&;)-jz88w5}7vT&At%Il`sRwXVxpZQz%H$Le!zgy^M|9?MjOD4eVw z%QJ+iE^`06Ka{oM+b0f8hWPGim^U-dz zMf|t-+EF(LMw3hT!|;oS!G@PrfLeBN%G0f@o3}0p;>NVGOI^!!t5*d5Wj%RhN8N~V zHbrDd$4FG2bs_l7B3WDpoUEDTux78|z))hjby+H{c~-q>dALjr>%T8>^J>+XHyF5) zmS51vD*9?W%!%mn`IqP?lJ%9(cHj(W>RYPQes5@~DVmOOTA9Mon_d5l=if^aM~t!K zI%zty!*r0p7d7$*o6+|i@M#(qh*g{Jz4<~R>!}j{T^#Wun=^bgT^eAhNgm4LWb{iZ zc|saJ_J60bMClEW3AxD5CkFa9C~yu*>X;wGg4-nMC-{wxF3}^eFWg=mi(}(MqcI9! zi#x!FxHY#0cS#*B{!RS+BP7cREjPm#<)tTn+a)B+^5KSVxO=k~6KH}7smUbN$r_R5 zbwpAy4Y!YyPhY-umDk3O((ld#+)_MwB0rxsW>aZLtk*NxeQg+S?b6lOKT@;$BO_w- zhmh;iTBA`scDxes*RbRGXB_zO4f)@J)4}>XBB@3-ZFJ>$(onLc^j-x=v14$iGGC#l z*%Xa>8(T!OkN6IYcI0pcOJ~!sDL3B5osWL+wq5HBS~C<2@X{+q%gEhrLrz^5rXo*W zuol}!%ADg>j{4L~hs)$+m9mj4Zy02kcc`K609S$W5Fpbq!A!5>Bn<#KcuUk%FuI&sPxAK=Az7xj4nK2s174 zjC+_%%Ho^*>AqTVLr12?NrKD3=j^y(CW^!0L$#AYztsCgElei57e;}LO0#QPBZf!? zTpKNKYW;D21@o5|3lxRY>qPOuA5SN{orqJp4&SK5>3k8USK_uwS|X)mgn?#&5Wp?SCH@NTj7of*VCR*d{cOdSmJ#+Jn9u|)gr z|67(mm$Gk_-yg)p>xRKEq{mmWQD|9+*H1;6X5)|YuqC*fQ=UyoE`b9#yC~IBVO4DC zR%W6_$>{Ow;-cF4e>1GJWN0|1;B^qM6hyaj!#V}gJ-(2y?4G_x)ea^nv-ROJZqP zG2>0Ef3jT)Gejl_m;lMjpx&ekimmLQq6TCCPP77XLpe+pTa^yjNA~4g3tEg7EINe9SZxcrm;f6#>>T zA`XE~`4r|3fs=#^$YI}eg20k@fZ)Fd4;G_wHs~gnK zMqOlGyp|4b>n~?bb;7tK%zn?fWQ_>ks2V(l)?}WpXnlroQ<&*wU_H9sK$QY^LM5=K zfZPdtmk>ToH~7~fB)JAzcb8ukcKm%Wm1wlP_8zefUt3bHuKx(suT`8ddOwzB)&v+s z%FL{ITPN}Q(SDG>v@rnK<4Sp#3-|{=KP|ydFJlMEnPmFQ^{Ke(!RJv!c-!7(LiorP|#iyaV851`P37q16K)vl_M;o%3zP0FW$GPCVI zqPv*w#L0+$;6-0O7d36bjGvVMhoLL7dLCukz$Ox1IU9p+y%Fsug+C$&Iy>_r61x5B z0263_sYfE@0OQ>E#M*})RnIKm8lZ%O6~2A~l(ut7hk-3l{=D#>VoysDtiD=yw5o8LSTCTs5@N7D0c}vQ|{WEc6%~ z;tyODwhmcREAO$&2komXyo<3bk&QMa7yLX}m43c>M+5XtN0=VMM$w zjd*=No}H;y8!*qn{NF^zJWs}aE)I5x7(0HBFvp2i*>kTZeap$5U9C7X0=SXz4~aWB zkIK7)7d}G|SfT)|kpWP~#MFqzIceAyja&j*RfrEwUGO$XCR9TmqpQ-aVHSUEd}UXz z&LhZ)vxdo{!Yfx7vcRHPL_ChFh*Fsw%MB+FAUJ{c5?7=UiTw4xe_q3MWN0v7ASh2D zNOk8fZ5&xP3_lIX#!P1Jm~vZO%nK3TAPibs>>Ww-k7t3tn|~y>42!*GPvER>1Jz5% zXgx-a3(unmn3DlGm{G+&ek%udS}TeSfhvZ4Hisq_TED(OO(S^FBcy}v2e5JA9^;t4 z5j^U$LfQ_EYH3N=_lvAShO(fdr#OOi1gV!LK6U?DX6QnrlBZ;nzI3feRkYcUTx^zv z<`wVSc6hYnJ;tTp6C%UKFMMVu6jk2qwFHie!&3)Jeh^{C6LrLHzv@WLRr90Vi+NXn zj8ZMT&-&%?996PY5!6H;P5w0I1T8FT4+s_DkFND61KpAehw(pAzxugvd1@SQEWF0 z+7vlSL3cx14n#L<;X1K*?3={D!&>;f=H#C@4m9Bas+!WC+c9| zvn2}nH=4E77R1Hbit{nZInahl6ik;yf(YF0g5f!~@L$9jpxcbtmERDd{Ix(mBuMp( z65YouN(suVl^J7$t$1|J7RUF)tNRT2Qo$?%j07=D*wD!ySA68hioFjtcrKs&pQqe8 z;(k+UK!!jJ7bOq{Ld_pk^^GbGy{N`a#`vH1?f4m|C>z#NYOt@+dX?67&4|9|DNfe5 zwoF8F8?$KRNoCg)&m(^#ONxvQW>;Q{dI@DhgDuNOj&cZ;!BrV5X&xdo*J3fVeaG(T zu5qlfGaz@6$QEv*u9d{l>hbYKeKo#;Q;;#ViTOAP^)r=mA>an2qcS!iuNoZa*J!Ga z(uW^lymXhuZF0sI;1~~VWGBM|l-oMxSpbF(#e4&4fA?q8S$G|Fjt@2hHBussctQaUNKUecF+wBm1yD`=GVshSFlPl^2=YaR2J30xt@98RYm0~kw8_g_hsRTC@$J9o<0O6 zhK$4A2t~++UaxVo>VL4 z8a+9TIQrq0QWP`~W{}2ynrZ0|x1^2AE|`R%vh7#w(v8(?neSEdJ8OMN!Oy^ft-7R1 zN6w}tW67SQdealUP@~(K)kU|-ey)Osr$BZ4qYLyzsQK+WBindBzuh-Q|5CQat5Mai zck?sAS1O4|*{e~NE((R07`{o~pA*~h7S}O+)fT@=$##2z26ERnShWi31v8s=Kr zvQPL+#7(AMN(Q}H8MYIx?s8<$9!x!Bhsv)Mz*Tz7|9~Nu)vD{QCW@?0&WY?53y=20 zU(3&|S@6tzvCG@UfW1~Y+U{@OUaJe1>=V5)Z;gh|Qh%$*58wsGCB{cjWILxP%?qlH zrHBMM>?8I<$K}Qz9^Uwvsv$vrtAFr%GPy45h49C9GAYthJogNxdHX z5!@@#YT(g z*GrepFC2$ya%N>EtP3jm1fDWHroWtYGF*yj`OVDdBJ_ihllmHLbx&;g?3jj<;doZ* zP^$eQWzCoysoC%t-m%Hzu(}H@w%wGQ-48b+znAnloRaoPPE4FTtp**a$*Z>)EPEXl z{YJdcs(p`emQYKJa749JK&hJ&H0W6)(^u~{&Y)tewkD5N)QLJF9oa1I6&{eZT*F;X zxQUE(GxOSC4=U*Q(fNkD>OL<7@FK#6YTQM3khgxM94r2s$zJLtiVdeD)7 zEvR(Si5nU%s2EwrPSWPk7*?AjmF)*cd6GY_Rxxs?ES;IAtl9US&Lku0#KsUtbBD-D z<&bibIpdZrBWb0^6-J9R+p5vS99BGni81^VRk@Ga-2F+^R)%yIX>*ivDF?_Z8Aavo z;L3@OiZpM&i~Vu@5-hQfN2ie8x*TV0oY=3rDZ6B8vBe-UQ$XSHz_ zcHt|jKDGrg)WIm86IRzSUK3WgJm2PLDo*NH%&eWS>2R_wNd`_TDC{=Q*R(vh!Fdcx zKIh{t@Xw-VziH@g)SrU}Zg44n!HJfZP8x@Ven&fajd9Ux_Y)P$Bz6-TctM|zik0MM zyMJf@%#imnOG$Oa?e;s?_HhN>(88R0e0@zBskO1X=y>PX(Ck1%Sl*`*#7l%1MS&}k zJu1tG@Ym&o&SaW*c-vRZ(G_*gOu)Aq0g7(K;zf=ID*QG^u7;GD*i^ZGOi6tKzu@kj z9S^^3LBDrkw^hMv?yC#-eDTMV00Hkj{#PAWt#7zpj`USGvAK97F`4aUTu+LKWXr+oA@DT~Z2tIrCR^isc1^R@Vsd3#t$ z@B&R#8*%?mbsZ;wT5bah>@^>BcK&>KOhTWC>A}|E70toq;1#V7ZRo6nZONS08^_+Z zQ#z7T7pYLxkFVgZa&Q!_-ff6!{Bts91$qqZEq!`$kU37#Ec~T>b=7(AY4l~*2=G)bNci+J=mp+JFhDlvIQILy@!n{nEyi6U zfx1MPUrFprMO$4#4=j6(!QLJ#h9xk8lk-pNsC=gyT}i8sd`F%4SGM^D6!m>0ExA^D ze1H0Q@M+>h9`i{zZauBI(^%%t03)`JIW|%yD-d=zy&uYc%q)b{s`dwdy^cw&Zv9j` z=aqS?8d2X8tCIM|Rkwp}rHnTLOu+}Kq3+_Af(fe_}fNa!+ z)g6ELr4@p3Vrx|JR0GJyqCg`9O*4HX18IE{*lR(Ux*tG3wEN(dL*iZP6gZNyK-gPf zIy>cC&MtL2=8@+Sep5Gc|Kc7nZFWk>B>Koi4K$K_P>KNQUA~;AI z(1IdtH^_$}m~;5VV&Z((s}xFHGF6Z&Wrp#dCelw#=;kRS9ip#F^n;NXzq74*@)!-J zOIyL{O%g75IsYoN{6b#jaWDMLiE&lsci0{Hll<&`LIVfJ=EilzQf2N;MhAf=S97qx z?(x`M`pXrfvqCEuT^=p%NGWOzlfqerzq6r|nkAK|)|3U6yZWY)W@^$r6=}2~)E!_x zn^HS88?dY&E>Z}9}}ePF-CkJQ;2_C<%i*eANfuDU z${fpvu|z9lMgS}8P)I6U4Z~aSk*6{Y?rWlr`Q)iNEohJOp<>~WbcgNLfzXzeUI^`U z7L+X*+aBv5IKd`}v=lmVp30?W^CuYO^JXDs=1qQ=(>fOCc6gCH1L`|92q+At)jm(` z(^A>+n9xt98p$dYjvA9Wre-GeeKbnOv;?LR94%KUUKN1GU+5`mMzATkBc7Vdtt5F- zcJ*23E?@WgD2CT))SI8-HF>7S&$wQ+#p??ckQ{pXnKO38E) zd6^EXS_DCEBhhvJLv|FxR@k4dFOxnBHxJ7kuicwxfhg5apB=V-xwB!kxx8`=CR=3D z30FN_&44H?eizVA9|mzXNCbV#!?XOG5dKtB+?!MR;2E?uD~ zKM=EkVUiduLYl0-zdRY*=8ZGI%pR=0cRC;1Zb>k|C|*qH*RGZZuS0Mhigwbt&~j!O?&1G1EpJBh?K#?eKMdHc4=dWCUy48k}NL2us!u;ilP} zw^zkpG;$3rE#f-=i(wsD8lW{cA0vomb^a~Yxi~b3d*cXt6f+1lmkJ~(?DTMmba48e zMvjW&UYaX%agT>il(Q|75>>b;ESQ(~>t%y)yU90aqvfa%TK%pa#LmsYp!s9_atqK& zk;%K`O|u*UDO>&J3fb7=o~>6KuXFrdp~`klUN3n4`tHEzfga}QeUYmY^3>Jq>dLsI zziQW2BHArQ99s7EWBG{~jHxPGZCF$USI9DaQT&KxZ?RbX=z~C}#d|)i#NTyY zMvtd{1>c0=2GPklv}w_CUBagCK5yL zf_XJKoeK~rh30-cbWHJ`M%WNtb zjB)zcWZewzO%UWY$6iq~+bJtSXwmI;Z}-KdI#x}IVxigtY)^4mRDCUb@k0#!gCrQf zmT$#cq?bnHun`igqrRdE>nXL^$IYmBf z<=`FNS%H|DCh+1SkK)q`mZmo*GrTXf4WBp_AL-dhEqHHoI=^PdcPPpgx%sw<6uFfT zub_6&T^7=kr^p+QqDIqAnP=o`FU!JW5Qa@eWLFR&eCC-CUY3PO0!T{ zt0s6oS`73La72xZbr?^-u+^E$o67z+Q0ul@Z5Z4xfT|V$GoZ!jIwkO8G-IpZbVmd! z$8@8?za_8fZo~TY0L9?y-at0~t8tNx;wJ~~;P))v%tB4pPfW7j^In6c_II{Rlk61k zEg;$Yo4G63H6iblm+@b)%DY658}di=kMl!Rsl3GJp(lY`aDWJ&qPAWc%I^TrCoBVw z#veYNV+bIcsq7y<5kC=jc}0^jEr9wvF!i91w;7G3+THZpsD2S^*xNDklP8TLr!j>h zr>GR#M&YL-tZqZJ5=;juP1B}#&x@y)6l()fofQV{sG(?+%#F#{>(Xxz?I*c$I4uhb zRFjav#0>aJ_ml$L5slcJ2{NS0Jse#VL9Rm>-rjm*MbA(JdA+zUKGE^>q4E?DvA6e1 zq;4fHotBjDtR22JonmfA*EDd5ocQIVTMb7rp9-V z9tox#uIOmXW)DOv$Lx(M*7q$Qe3DKXh=RT%Tak~D2$i82zjkUoq_=KzztI}h`W!)q zrbbs#IP;lQjNHt_&QS=aW@DCPhSRF;0aS~W7z$)^TqP3t@S@2*b1@`G&cfVXXwt~EYK7P^}B@I+{ zWf^Hu`pEQo{0JIC-WX*8r<5uWblxOU)$y7Yj<5_8XCk67^74CPvS}nimIx9|4$rL^ zQ3mtP6Edg97xWkA(BfqKStTFA$OBr?i#@J~@KPk!wKq*)4 zGphO8_{!^d`>xf~E;fMOJ6#`@Gjd|->3DohL0TS^?;8wS%%a5CSw5wm&}3-7e~vKRFQu!8w{3t$WAN2Po#^8=U(gfxZLKc<#Cne#9%Lj%ZOx?knS$%_pY2 zl%KYC=I8FViR9EY5@ZZyNT?pPA?aWw?`SfKU8PT)u#nQLkCvYi0>|@yl7}8WsT+B+ z0y*K5kW>dj83tYT8~8weavO@^+0XFwJIW{Mc-2g&JZ?8f&;#$)?o?u~LJ;UKFWyJ3 zM+)^oC2Xi|UE&9Ma6?!$Z}6H|BTBV?udgOai(9!EA;I4!#gS{zsR^?*ZfnXS?d|Z5L~`}tBMo( zUy?P&x4!mLPc$EjRJ8}_hUxj*vpYNPI*zr+YO;4$Mr>)uJ^KRuo^JHL&qyL4k`GuU zx;&roivC(BH+Ul}JY2}!w}EjUNBlieW^H-cT6dM%TCHHHT~f`UK9^E{6ysU7zdXjz zeZ@4^j>LX8HP!|?Sy6QsAT(aPE&Y6_2k(2(XQ-k86LUdUY0gvk#1uf-#@SImYP_x^ zJpD7mHXG?Mop1+bq#jWE6y&`G9YCM*Je zk)Fir43$}$pNUhmz5x^Ikx<+MwH<3uqVU^W(ZAeaTOc<~r!<*^dOjkRZIng9x8Q=? zX(7fR9~zZB9}&Mhb_~uhE5?a2q949zWTDuSY&#NWtX$pautRJ1G@7dNGWL5E-Ovjl z&Pezq6unuVC*ETD6VAF zbRPP`D5lj)oh&)jK+m~>Nz7_ul;_p3m~?EPQdR2U!n!IpYG7QUz_4(yW9FJQk^)!# zjd}qDE8piP%GR zNMJFF3~0|}TqYXki^Oz;cr403!}XnfE1qxF5Yx+~SWjr`b^Sqt89_V4)AQa!=rus= z`9TbwV=3l@h|QG;at{)g6Er>}4&1)K-t+7u=LvUZRSVTh*usVYl zt-TMJ*}G?|#H0iqfx<6E;2oZ8osX&mOAdsRe@st@m@ym9r)_Uh3Gy1G1Edwi5%47K!o_tvXu=*3FT}zuGP9#8Q4(wuaSWM;IlX&{*@&}x<<{zZ zRV2PPgrBPm`$J5pW$C76P42W?^_cik{`}4L*$<27(6vuAj{Iq?vw6_RHSS`w{$g_> zfgBJbr?DfsmFa?&(^=3aW4}zoT+p@kOn0C?TK+1x))zQ6_#lCj zT^>d8)dAgx{(VS^8oEu)6Puk~>f~<_2bJ`RYkY{2T!&aX@W*_q;DC^z$+vzLK(MQ^ ze0Dx2tj#1NC?cQWrlTF~Zi^iw z&D_gmTKkBva@IP&!(YB$CpzIm<%~e`s+hY>k(Zg#ht9|rYw4!wS*m83rf42$YW>Y= z+NEfg|2H#9&%lUY9TXi;ZHh8*>r~kdwH9qmQ-Q9e<@O0Xh*H}|!S}r=7g=l-c^l=Oeh3+qby-53`-99k1Xm=La!0X#eS`&* ztz2<@+A}3WGn~a~Pn#~+-$0vXNJ$Pr8hR|juzFjdABFOTO(O-~PcKUI18KHd7fSOx zf?-BNl?x`-68eO%l6J>8O>s;9OC2|JA-!}sId0zu*%ik_%gab2j?Oxl=kv0P2Ha5S zZq2C6FTBi`c*rzqtag4g3I@B<$jD~80~-uyDbhHt9Ofm#ui?J3z<7M%bwkHDeqPzw zBv5NU{nLJiieJ&GVVjZl@V?ym=8!1=Grs||;{;jotR`(b;qGisnTkL>h<5>)TQpTc z`F=;kGIhc@>DozVfl|23(F*G#JxwZXU3qSVl3R*C<-?#foNVTaN>OpPqbfLAStkFm zBfM08+*XRUVJcMF?Z`sbjf|< zmaswaUEt6ByzvFxM2?05LcK8OJZejQF?)^)e3S+$=&DJP{~UhwT7h&E%2JQ(jii1mI&OaS;Y%Lh43plJ*U0@8fqTx}GjlF0fs z71in~Qxu4@4rBP6aUQ*oGx;ZU}3|l zPblghGI*9wgrjh;rIf`7jpY>4*mAJ#_naOZ6%`XUY!$#Ja(I~H_{JBxI&G%9bW-8C zCKpX*%O1JeIdqdz)nqiB#>;7;Wn(z@$0krq>h67M!(9Dw3go9RP{AUWobVvfERe3|7){X%^4JGK5ewG<4lq4-2wW56f-B{0K{CIJUhpNxMfqYx*-Ed`+wYFhn%vY2| zegOTt?si8h=>J|Q|C(j_4MvaQs2E2H@yY{p8T+E_`UMaa7?kQKuyD zxd|q*HgLi5T9Zrmxq~8CW|?>0fI0GZ(Tnh|k!E0BuG4f=pwj2}Rqg;AwxZcT+=O$inI0o^Z^CyashyA^qf@f2SGF>y0a^O5cf^V)RVSuIbaE!G5 zWyBOwF7F^x3OX(@*=r!2E?n9=eBMfpgScOob0n9L_I7)y*U}b~l<+6Elf#%*^#ua8!>{jGJoi_7Us#THO}q40>wBCh zugbIO#T zO5DyTPcyuI>;ZqN zz8ai#@~;>sM%uc|X|o_Ex2@`*j_zl-aqfO|1#PfTHd4$fC$;Fq_a3?ryzEOImrsuZ z=_lrFBxI&2_BPub9qhZDifJf5;%l#3%26AVirk6iU2DL-ZU^f{=QmK=$;8vRvMByI z^Utrs0y{Sy0y~ziEN|x$*b|qSy45;#)gR`=S%b634RaB^zdj(R9GG+Fjmk-B`OGq` zSRCw;j#*Rv7#>nShG(`1m9%}eP7bfUHc&b6B|nElT2M#<5aynulaj8VZ|`}JFo|;u zQSxuu2PnZc&FP_tX}PJTWiL^c@|1-LHp?`mY5RwMZ_@Ek{ywMcU&3&*KJMS!MRUeK zFKGmq>$?O%!AtIM^e@cbNrE^qi$OIle^*6+-EQC1G}Z%MobJ<5zapq@@vyy7dfXvt z+_h0OI9kX~oLk5y@U}_qiVqke;LkI*jeLR;4!VEwS%>(wGCZCwaGh$z%!`B8RVoMc z;B2LM!Tg~6Yu8|R`&Qna8hrq=AAU>5BHVR-a+uP?QYb<_p>68&_Lsw0(wBi_!bF~M z=CFuCXap4$Ep9$VX|`NY6b2}6^d9`Z@sv1k==+a-lNs_#^9SCXvo{?H;cN?`Udo`u zHg=Y+WGelmP?B66rVH{dG1Ft>%(A5PGdD_XKJgzuTHUpirU_}JJRRLX>|`I{Rn6xh z=t_UMk*e^six7=hjEQ&?A=g0f&gpo2dQd>sL-!OCFieo>A2h`^-FDr)KtH*pTyHdh z{{^U>pcZaVwcJJk1%aFsyHwdL8fx>sC`)D zmc_}`WUdOMD|rq$_cqgB(HHkzLOtn+Ji2z33HgP(GKv_mN|n+O@n?rPX-jA8^1Mpo7-J0VzZZW&$j!CFQD-i;vZ z=tbzu4UOpR>^|mS#J+3n->U){6v_pY5O>>2e8n!8D;h8rBN|S?VIo$lU1L|Q<6n9< zb#u5OBI$Ocqc=}h#T#~XTn3>wRc%IE=Oi)|%~5DuoRVIy=MuUtelI#$h;cPD64e{I zP$lMRbVm(|<&*tfv5}p?R#DX4z~6|++~0XjN#%3|aGxTGwz%XF`3?4f| zy!>pMmf2BKkRJpAXcJ<41?TOQXps`((62**awD`*T_ru!roIa7L{=0 zfK%vOcNEAGsb2RwtGC5~NNw}1(H|Z#Fy6g3JK7s+W zC}Aw;y1d9EKdet!$YGH`>9Z-u7+2r|0+i6q`Ofcfw?cl=g_4!+5sLcXJ7oCXBIhag zmH`5e_upa$K@xtcpzh)Gp7I#A_7VaTetdOXB|M=N(j734nS&KfV zyct7#85ZBKlWf%xcy3Gz&9L4J0V51U&xnDsO<}~nEgemX9bz7cZ#<=Ez*hY89_z{+ z131+~=a+=`0`#Ur6ipDVzG0^)BR$G}ND`9|e}T7*6@n7iim|5?#M6&Cn4EnX7oi4? z&`LT|D&iMNJRkhv(T|-Z;mf8P6CEBasVo12&u}~N=~!W4qPYy0LPGi)A5WX&YZ6TT zwm+)Y(#MAjj2(xChf=wklR)N5>i;!EO7$GpFT|kXPFTl*P@4|k3%)GhjG^ekw@@4hiC_det!I>c;#^|<(HFy1U>B6~v7DkZd1 zXl(?fRG03Y_dE6>$-y2SboL+DJ65whR$W&(HzldN6qLvNbeLN&14E3Ho36JS1MxA* ztR`3@#l)$AJ<%dX{?Gwtd@2BNJp6N{WWK=~Yl+yQYn-yon^G_1dZul<{5NtZ+Js^J z0E0fv_zPQkUwsOY_zQLzS09i+touvu8@6jWpOFgJpuZ7-uYT<0DcI14){N{FK8W9s zYarV%SGG+x|Ehxq17UA^?eg2&92*A1DKr5aNR2)oW{Vu-d-P`3<3zz)4O#{Z!wYdl zg$_@P?GH8=u7)Z$B!_+r*%VGO8%g(^0!i2kCzkc~x1%qZB5Mb*P*cc>v`+jMuzcSH z$t}pc&1}*>4SJf|s5$TpV-`7bM>ONsvINhuGIcph$V(JjO`W5YZXIjGD@@MeSvPWQ z_pccB^^<<(z#siU?%MucB`lzn6lczho|Ft3UQXn+#mC7)qN|UB`Qb|^vV8&>vn&sC z3jIh~AE~_hv6jcrGaS2PF7956vhzp>pYXKInOjx%)UTkX_x0-Jvf7(Er%foJhnxrr z6NmwgC>S^wg-;4)5NJ2Kk}@$P<>CfppQ7NRyg%B&BhP&7)p~Cil8=k~H6))9_b?=% z)F&83`Voy<%RUpU>-8l-{bIgpC!c5WPNFCp=;@+KvPOoUL@y-&iVIC9QZ-y-h!Ol@ z8n-0Gln{3}#FTV?NJsPdaDL&-)mL~35ZaFkt_-;>THiP3QZ+m{ph-5=;XE>zY;}ZM zMv(SC0^CPX`L&Yl7=G!tr%D%nKX}FjCsv+`x&v(KQv!v_e9_<&`)Y=K zI?AKogIH?CWP(P|2d~Y#G(qN!#lTavgWBKb$a^MYTdq%AAT2xAm)qrF;`zsf?$~r zPY1tVuY%ud-mdn99~V%C1MV-n9=*F>cQ*##&M!)ZpPb)DyStvxkwTrd`BvU;=Yp>Y zFi3)4M~z?8-Y)KD^SWMUnz~-&;v$`2dz*r9er~-QKhJbM?B)rbzO8h2DE$$k`P7^DvJja^#H`V9dwJg5^|p}~#sybg zB>ZG9c@Qc5mV_$Ids!94_3XLR^?KbKnFoj=tiC-j6n;IIZaUf680@+~?+!f4b${Np zCJ74g=glDwx*SCnwmva7UaBVGl0psUFc`3WWO*LYKE6GvAqjc}H<>N??9)t|vP&a= zcz-^f7j!@AN_!3wDKGpyc^VKSmsM zjVkmEyPw7UdX--rI*#`|`~^9GBQo!G3-wLpdwAC|>OsUjaX^kRNi{`}81btQ?d8pe zweZ_H&9Yeb5l)z&A%p8>?}SbDCt~7=0Q335-nBvv_*0*I;D+2wuQ32b5FPwDD*C?E z`EBPl%lKu)Ho(S^&Hc6T?N)nD;MwGUYq}};32v{-p0#Yq1I9uD)ra&}7=tfYt^ zUmor>2p4p>(HE3^UC42#Nq=4jMUwjK?kq3l&J}j50h4#Raxn!=%J2DS64$H)+b*rc zv;-jtLf{OsF=Bl+n!e9f9WNm8wH(w`RAr6iW{#<998XZ?eXhIfHD4wTUDD%b@(AZm zi&-=%>#bIG>xr&=h0-z=hM)`(cj`+h6s#*zLr$e)v|K&fqwBeqXBHhXCYWNT!>}^W zSn8IyT~N@;1p}Se2oqDtslC6%Otn3o1Mt3(F#(^MWE6Wk@c&x zIt0`KY(>))`ElCq4|=p;^})!IXuYy%wM#ka;RD7>`Z=nlT<0!Ehd%2j6sBbk`_!;Z zh55q#_cI-@6V7(ap?MRwWsd92Ro}%Q_YvmlzB@MIHGnon4t1(^|DKVH)!b%6L!-OAY3bcT$2XT?8_{m8@Pns-b<7h!stb# z9Vn$`8+yNT^PMs#Sa|}jHjeTrp>Mkhk3TxSz>!~xH!_jgm5Vq<~W@d zBJqb~D*s#;i_M!Mxa28gU}Bu2Fte#y+HgC|fiEZJh$zC*nGiKb=@3?ac6CrfNzYP_&(501o(2AA43g7vb6lZx%p1uLu&rl+FaJdZdQu`gF zXfR0S!XoF{w}jWKXRrlo`N+hNR-nqamd2t;qmZqg=xuT&Z4u;NK#eR7P28_sOu=?o zYiQ)AHL2ulUgXg7v9pkX#g4Izgl6))sUIay&nQvB>EE)dibHvbtZ77Fd9VIb<AZ zaFz&jc{Lm=qsSk7xN(EEbcYi{AR z=}`5o^n4Vk0Hff3MCXqph-CR;i3Mu(^MK{#2MlvuC~3*WV{cQ&vt=#nDR)nX9HNrR z=%)|=+e%daTI{+7TL(`_2nf{wvJ%Gb9-d}QUYc>N&OIW?pYEPxxEs|nMIz~w5m;-< zeru(C1xSyh0k@d=_&+?{bs))<0gJ7>e*LPpuM`)S67q_9DDd_5%l%0ZP-h-YS9{_3 zDaC!>GR#C;>>|=Z6U#HU=`*aysb?SWd^dWKF8?(dJ>&Ori9$jFK(!?5RZI!RqM(6UP5lk&T6e<7Y+tFcGwfvnPh#d65(y zIhkv$N-R;CZ?*@D1}m9lqt(Zs9iQ)VbcS#QPmbGB&jdsv%1@*tS8_@9KHzju3+VOG z*l`R>4=G~y=-JaMe~eV~-RaaMK#ZN5aS9h0t&>1DQdGZh^e>P3X`id5+Ta72lT3im zM<$DncUsfNXo2m3nAej&hY*1La8ymU&}fjaM=na9zvlL%@4%Y-6TmD)W5}N)3v(AHA4i9Og1(}%-N}IgLWmF$$bagA!{4AxaQ5$6 z%+kW@pGe@@Bat7tb|aWj{=mTDZzKTrzmT?0=5GIlJ_ui(#enN3g6038PXE9gL+bw< z>Skg3Ph=LkGMpbA1jHM7js^UI{3|quSc5P5-{QL4n7Ua06RYxFm{t@1+h}%V=#@LqZ4^ApQGN|8(3K5&#~>|3>;)SpFX^{qw!xKLSU0LjD)j z>7Ua6(^UKc{w-}y&wqgbG93Q||8o%i2RzmLf56}&r6LatRw@JpBKRi{zA1?PkPu7| zlI-r*5?qREKH@&UzHD}^ZVI~I=Gr>4&SLUPT+DJ7isCv_9G+V8UfjA8ih} + + +1.1 On-Chip Components +---------------------- + ++--------------+-----------+----------+-----------+-----------------+ +| On-Chip | Power (W) | Used | Available | Utilization (%) | ++--------------+-----------+----------+-----------+-----------------+ +| Slice Logic | 0.000 | 1 | --- | --- | +| Others | 0.000 | 1 | --- | --- | +| I/O | 0.000 | 1 | 285 | 0.35 | +| Static Power | 0.084 | | | | +| Total | 0.084 | | | | ++--------------+-----------+----------+-----------+-----------------+ + + +1.2 Power Supply Summary +------------------------ + ++-----------+-------------+-----------+-------------+------------+ +| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | ++-----------+-------------+-----------+-------------+------------+ +| Vccint | 0.950 | 0.023 | 0.000 | 0.023 | +| Vccaux | 1.800 | 0.016 | 0.000 | 0.016 | +| Vcco33 | 3.300 | 0.000 | 0.000 | 0.000 | +| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | +| Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | +| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | +| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | +| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | +| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | +| Vccbram | 0.950 | 0.001 | 0.000 | 0.001 | +| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | +| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | +| MGTVccaux | 1.800 | 0.000 | 0.000 | 0.000 | +| Vccadc | 1.800 | 0.018 | 0.000 | 0.018 | ++-----------+-------------+-----------+-------------+------------+ + + +1.3 Confidence Level +-------------------- + ++-----------------------------+------------+------------------------------------------------+--------+ +| User Input Data | Confidence | Details | Action | ++-----------------------------+------------+------------------------------------------------+--------+ +| Design implementation state | High | Design is routed | | +| Clock nodes activity | High | User specified more than 95% of clocks | | +| I/O nodes activity | High | User specified more than 95% of inputs | | +| Internal nodes activity | High | User specified more than 25% of internal nodes | | +| Device models | High | Device models are Production | | +| | | | | +| Overall confidence level | High | | | ++-----------------------------+------------+------------------------------------------------+--------+ + + +2. Settings +----------- + +2.1 Environment +--------------- + ++-----------------------+--------------------------+ +| Ambient Temp (C) | 25.0 | +| ThetaJA (C/W) | 2.5 | +| Airflow (LFM) | 250 | +| Heat Sink | medium (Medium Profile) | +| ThetaSA (C/W) | 4.2 | +| Board Selection | medium (10"x10") | +| # of Board Layers | 12to15 (12 to 15 Layers) | +| Board Temperature (C) | 25.0 | ++-----------------------+--------------------------+ + + +2.2 Clock Constraints +--------------------- + ++-------+--------+-----------------+ +| Clock | Domain | Constraint (ns) | ++-------+--------+-----------------+ + + +3. Detailed Reports +------------------- + +3.1 By Hierarchy +---------------- + ++------+-----------+ +| Name | Power (W) | ++------+-----------+ + + diff --git a/lab2CA.runs/impl_1/CPU9bits_power_summary_routed.pb b/lab2CA.runs/impl_1/CPU9bits_power_summary_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..f995a25ffd0a9163ddce2f46bd0d5bc927b16d63 GIT binary patch literal 707 zcmajdF=*957zgkiN(WKUItik;w2S3Y9~Rn5tFJtz;?fRU7ln{~uRTrfUhnRuzJjzU z89E7tI5`ypQVN2Qsgn-^&!vNyMHek(br3Sw`!3=pX87e#?!Mf?_njGOPF`;|ZtR}g zToM}~smtA;@15*WgnQI$%mSXv@y};E>@cIiCl1AWZAzJwOm^eX1cnvY>l z#?kT`noXc_6D_RiH2%4ocAVitK7%=##atTA{+nn%k0!U!vW;eU(D*J|SmXOPThnFC zl{H_%oIFCy$7uEhjeWGRrcW_f*1U^3Sx3tV%{I{ZIa*k&KIVKAjbH5Ve`=+#$ipOA z$al!>g`chRH90xi+KS(hDY$YweM@lTV2_ZM@9&7S{hm03LvnhNt*#w^tlu97$@=NZlV3^O+%&FoPwd{D<<|J?i=x!i_`d0=kkLtuu++-*q;zh{O} J@a-SO`3*oK(@g*X literal 0 HcmV?d00001 diff --git a/lab2CA.runs/impl_1/CPU9bits_route_status.pb b/lab2CA.runs/impl_1/CPU9bits_route_status.pb new file mode 100644 index 0000000000000000000000000000000000000000..bd98df34d8fdde88a325906a2aa665ed4b096d47 GIT binary patch literal 43 ycmd;LGcqtV(leRFEE1GoT9T>|T#{H)TC5OUnwy(gRC$1h;eilCgCyeuc?JLqdZCh{Wf8Kfbp8MWNMu0Sbl&1Ox;H)Tm}9E$N0s?F0-2R0#?M1PSmO8ragfT3JRVNZ9!QLJL~F zS6Qnz$5i^|sp~0-RsMrKIHZMo%ToA)6qD-hczNz zGZ`teCNV+XSfucEh^GEz1Wgi#GvO5wH;Gox8wA10`8;Jr(YNKHZO$k18d!(7*5@5k z5%&sNGt8SsCP_Q&w+`B%p|r%97dU@*EK)k!JJPak_pdiT4t{Q^#aC&*TqdDfXRTt6 zI2dxBv%l+g7t$zHa!Gq`I$*djGFP%i!QXLj3i^%C@zCym1)(JKalM5Or{TkEw`S@I zEc+il697*aF9GNk9vC1XI6%(`$SSexn>jkr7#SMZD{I)S(4l!>R$m~GIKIcLkhTPQu@*f_JIA&a;*R&XMb*@LJgai}i1kp1Du zaPd@0E2b4CfkV7K>=`qH#2+RZY3jit60XTOvFb`=ri9#w znAcrwO#={Hgs9ok*#o0p=Cy~H6{XuHcX3MXo@=l2;6EFU4vBjN8<2TAkl;G9EFhz; zLDakA%jhz-^C`R?l!LF61IyH7)gu|UohkY4^)G2$ySNpvk$-O zws~IfqKqoJlhXteNSo$QW+a}!dDWXbttw2!GeYT){Q-4K;)JCsdgPlW?-ypbN^r*+ z;C-y=qYQex!avu6)Akt?yYl+2!qDF;E!PR^B-iN?k=o{a^dwO+ezKgBj05+e8A$TZ&Y`fCi%ToW z)26PM7q(|#vM3BL|0#`UH6F>nf#pPa(Hy? z`Krx%!}GFHys_0_4gwvf^@!f=+R%r{L3%rb==5_*6T5{?(a((Lp8akRD*L$@w?~8n zryxJptR=bIqF8kbd9_2qY@&)>`F@f*{TQO-MklWweswx)^BnwKc@Aey`+1#W?uG-+ zir)*#pm?<-tTKQ)h?_NSkma}F<4OIA*Nyv5_96-XKtb)n@~E(?`H{ys?SDRWe#cfI zhk%DIA221t|M!Q^%-X=-D^^OTmkuuY@(pIQSXugo*|{j=C`h^}ld|kqypD-ajRUDO z`C;>~DqJ{3zM`X-d~+LwFBTS{+<l<1(mxXpOR9+AuxxU>Hng+ogP{hy<`jqGu}VaD&DxUXEmED#pedS21)a zTAz}L+IQpDu&qV>`usf=jF;~`8-voLk~PvKNLk9wigp3pK>e+Y9@QoOQ}%YgmCq*V z7zpV(8!pZ9#=yU{Uq;L*g^P6$d$TQhM(x@v$^R4za(s_+@Q%NseOTaG0cA=@nW16isnr2en?gy5@ z1ZFN3Dl;*}73Xs&J?sKaN6(G%oASb z)D_@R!jC2izLU5*5+*i=>ibR6#p&JH zfQ%ytKcX4-87GC?05Z8(H#8rag8NVur&`81Lx3;e7!oFL)SXSaQ^`jE>Xwf4&dGei zejw@D2pY!!g;_`sKKXr!(>{R4A;t{M?)Fn7xpMxAqlmD_U1AjWbm=ghF~!F?9I~SZ zc;IM&1L}=u$++!SBAQlY%`BUU&_`p6>HtjD-~347Y5vgY7Y%{2|4AUlgB zsc7biQ?)>ez5c+w~O$ zKZ;6o=6xb@hy2O?DX)^3As+_2BOy`rCZ+JgN{XBwTDX>0on1pGHw&+rFIXZeWj4pc zCG|SHsDe0L_^hbLSsh0o-;ZpzGO}JM<|LSYoz^eaz*`H}&t%ln@u%dtpyT3J=RYTp zjPEiK?hrsgpRhnc2>*TZa4^-gHL_BcvLzNl&rY^H7Q-=9w|OI`eU|g>0uigO)2>Pm zjGZ1ELZKXIV=U0Jc*btCh-1dOG`5PT@_~N#lj`BOAB!4+w$Ckr=@0sWCu+CT<(JuH zn;$O0CZ}NwUFG3^hibcg@#u9~ym{)q&K;A&e4cZ;)yw$``5GcK-+rBNe>HMZZ@0e8 zz0qFh!PHS@t<&zj`|@F+5`8*;KOnr$!B!&Ss@TcHgQMf4-}cy*g)KFEQN(-xLG^AC zDbBx8SKFAV>y;ZwGunOrxY?oA0?H%mgM|}~u2zG3H_@uS=G-`vt4CA~alKF3`Wk|V zbs_-m5<_!&5j7gy?T>0rW)AvS_2l`y>5$WU6GurGI08k&v@2ge#CoeK515_mIZTqq z3iZZR(v~3|$due|1pT+OIa8jf^KjiCe12%k;W-6yG79F?R}Jn~7$d4;<#_r$hNz+) zVl36PV#zJWV^WSlinO9XKVib+{S?Y5QdN}|doq1VG;1oR8t@k7Mw#WPCrf7L_H}z; zNLsAoL_C)4dMZj<3wkO1_Zq%~FoWS3dcy<}2dF*mwj?AZ$R0+~Cv^lBCTiTsbr)}Z2`C}Lnt z#JTw(W~=vRoHBD0W(tZ`)ohwv>Q$Io@zh*Emoxm6;fx&&ab~7tV7}y2qIz$|QU2=P z`Orzml^N4-*-9yF9%5yFEb9=H%>J@2LyPVzlfU7(>316c^u7FTMT zAJxrs8Xihi=#%V%vuxeRWJw#U;uyBZ=#JB78pJEJ*k>b3*lLf?~8^ImR z(RkANrx!`V^iTNkn($kFq|;N8ChUf}QNk<&TJ%hZ-yE@Kl89e2Zf3SUK?LI=G;^ip zmuFD0ssl*kXF$a1dORm%TpC&(y=f;pc`6Ulwj`RC2!*qxfjg*3Y`V57OC)|G6y%yH zOjYR*H~9aek)5Rc6&b_gs? z)T;`CDWlnXsUb(u5v}IF<>(6Mw={4dO+2?f0w#O^`qMQ20Qcw8x2tiqIw(9*+tQz) zo)#eFU3xHrzGXj=fGdk~(J{8|#>D8Rwp(_7HXw+gSXvKt4Wj&)^eZRRCfXJv&T zaYeZeTcYSyxbd=K(%91u##Inh*>L$`o{b@tvfZj6*m5c6*b+B)>y!7J=RN**7Wh-!+yhG1(Nnx8@M*3OgC2}I^Lf>J}eGbD;a1lV3ThrMJ7KfD+a`` zPIcB$7|M+7yIg-Kk7pY0wX45YwO*4?=3LskS#kEf_jV@oQ|?E$krk^=TaaKhpd_2iF6cuw@xsL z(m&SyjOXTIi*0}lhy9LZ@(KQ*zf!2|x3Z>ympPWU|M5cSVrV>{wHjZ>f+Vzg%M9Tc z_?+QjshN~gNbzUnvDn3c3j}A=Sk~sy&^R)7PUEBqmVfIr*yrQ@_OkQkBnC?X7xH;= zK%t5-=4YP)S7XXtA&0VE>@F7V%`;xv+)%Rw-iiW;s3>Jkw)E_1m3salkK+6Gw@=La zY<)QTw@@o+*gtN=FEPpQ@UNpY1uskmIM_lz;M>ucvVUv~-Ea_JhF&G?vFARJ9O(Ux z<=4p}F(=!HzHY=D5atn{{st~7^@Q$S-$}AAlmuB55GoR8mnb9Uv7w~Kf1aZos*nSw zf>J}GkmM&=Tpr(xDxK#>Z#D~;J~y(-H%<&ID6PrSoq+|9!n}=|))Lp=wLmHl;x>~L z{*%Utj>_2PwBisPV;tW}*ei{1nrNW~NxT zkT*=rNbXDdN|1|8Iem{z&W{a?g^>1V&(~4pBCU>Zs50{F~p$c9Xb*1UXeruw{j4I zupz3u`WD8|vT>tp7^s^Ce+0K0(kznh+2m0&qFaTbvyf+STVgCCu*JVyu`W2iWBcyd zO@@i1Gj%91-bU&w&Dkv%`qwUwaG8z-S8A^v+qDc?we)x3IPEv@R{qEZ}Xey3q#^3SyCT{;5g zw2fJgWZhl+Q9zQ6_V4$_`ptQBTP@H2SE=)axR_mc{EGeqzrc22M}sYkmF8ucr2w}` zIjK;-A0iA>y;_%#NwxFt*}8ug%#^lp&3P-F3#N-X%LBa&z!LJ3J4|#+MHB^RxMM+= z;tC=jPD1BRDF-H0Jo%4r)3?mgc~$?GgPV2p6kEzgk)DZI)F}!d^UT{!CPqy-$V0FP z!6%6NpQi)}x0>1zVdn`t^0>+07cV$7iQwfk_mhp%V_QnZa6Sh~RWU;)b&_;DO3{(7 zwl=!)4NlbNt0ZFGfnOb#h6fbMsgxJ-?;J5ccPAkAlds6j#^*@EOYd186B+#O@#2S+ zpR0r=EHDZPZ<-W!76~GRWkCBa!27Z6MN|K`p>b2?LDB}ZOf5=vV{owMwUwtnk?G>i zt-$yC+#l*HNRWSKnPM41=D<^6abwL>AdL@eLH_~EXhY>0F2YZ^HnVan+MYnI?V~6v zZdfv_+X%ffC0mdqhbZB9N}#YZ1EW=-;lT+U11Cq)n&yaJv5RX;8UBT$^-lv`dj(wN zI1QXP0GCkI`f<9-kL7;C69EhCq_l=vmn{oBVsa{ z%NTjPMp;3=b$L`w2Ma=TVn9yFy3;}#q^oz=(lX_WK`NYYm zJ81+c7xsYB?Ih}5W+U-wrd(JqM%RX2eZ$e74Lgk8v^po;z16@GAksMhDV0RnZ&W;e z58oJQI5CI(mwnM>>bN1?ON5Ly$IO|UkeN}b1-a#wk-hbg@s^uL9)8PvdGun^RD&|- z+e<=B*5rUTDP7#>Hn>xyR>ch~{R#(seez;cREwfhB#G8(($cI4^9wbE z8y0$f)xWR?K6s^_s}VRwSsL2q*MF+zbIU0M}GuKaY8o(U8~B_sQRK$rm~yz?KB}TRVw`}nfLllsiKQfo`z0V2^n&_rR78Q&l(I4 zc{FEC;Q`|VfkD&NjEFxM%*epVO^4`LS4(U;iOZ`O9zSztWiwGUg1ScuUYWBMdV8aO zu;;P7mDHdm8V}5E2}TwLg``+qhS*ray>@zR#ofUZxQfw+Dn-~ zlN>*Fhf8)|+PwQqqu(J&(&6pIRj4~AyEl^mcc;0R}se|_ouR|oXbq( z*v`bNA~dKji9vsY?k}Ypv0m$B#fJReM0!zJ5R1TSA~unYs|xvsSP&(iARL;(aWI9; zMT28abz@#kDlc?mFDSSZBlSi3_&iqNxoyRBK81GW9+R{S?{0mF3pL#?Tk8#Fw z*#!bUxHFT;I;*)laIua#iIsSVXJ>M}k5;=UqLqWwCro~GL31*F!0A7yebFPM z`K#Wf6+l-br`Tka%UcP^(!GKdM`k+7UE>kF- z=_s?bjVu}F&Fu+i7=NhRLz0Z+pk7{HKv?Knd&Fw5WB#>GDsgVhWI2Ypc0=ohzjdGe zK{!IYrparR5$=>g+JFQaJww~>5%z(UX#!`YN>c6PDnm@o9PeV-ZodC7+561yvUkNt zpNQB6H6geJ;%&Q;eG5f+?JnA&H>hek zTu_EBh8l?c}1DXZqhv8J3`wSLQsC9v9D_#zTO~X13qbFx7j%#JCEMiub+GGPq(}; z{g-baug5uW3zr{fk3NTompLC>h@Tlb?{-kEK6kggZ~c?rmzbaHlOIo*K3A`u&l$Y0 zy_+xVI&Z_1Ho^DfC&q2q0~zP8xA(LkdnTRlkgPr^%DoqtF|0l|jPFl`A3HIhwS*m> z4h~O)olotX9niDp?VE_KfLN?mov$%A(Y&7(85!eJSUwJG@5W0TTy1R|vKxdOaICFT zR8PhpweM9S<0mmb$O-Q%pI0uw*U_exzNdU5mW>;;o;RK>4o*zDj6b~wdLjTSn z45{9{960Cb3+Y`go@7<*iV0b_UOOM0CtW+k>hNe^6ESzFU9}1sx7NJWe-~`qB(xSy zzOU1{{B5@~z=ZsHb0HWNPGZ#~I826dc0T+3bLW+U9rM<9`%d6()0kV(mGD6<7q)xu zN~_$7*@{(R%+BTY?ZtT;Z~I{Il`iPqn$x;u@*-dKu=sJcwRLtBmITYdBy!h#NxHQp zc6U9k>!&fEi`?)JgFc$#lvzsTxd%Pf3f8i9Q7c}ajSgO3t<;G1mh|5xiB@Nq#xaK@g(@06k!}IQq8kc{N2U8BsTyR2H6e=>178EQWJ`V1V&-d>> z9{8;g<(glYn(Wrj^SN>+a{XP)A=Ko4wfD zb1s(T2TEZJ?0GiVeeOTp-QB!mMo4)|jaj8t8O&B7PGSGwP>tY~= zK=*D>Q;M&=ANS99cf-brE%k99U(by>&mQJj9sG+!Ozrw?3NXaHGVW;#L9$mthV-3l zx?iOf9Vz@2_E7X|dY!qgA5Tk|X|{`{py^eZD#$n8(dE4lQr?KuW{g!Y2dmfR$-KPZ zX^B4_AKgcr3l5yisMFN&QsQ_-D)4kRcsp-g!*HJM@T6-#cRq)HO-`dg7yF?}88b^x zkL080#1y}-+8pvR3KxW@_0T+6;~li7Ns(BaN?tb1?R5$NjlIm7h#~vPi0=n(SQnm` zv8*|KEuK7VRRl_#r*OWf3%1i~ifiIt?l#8Pk9eHknTWYGB#8?vpg(crE-&F6mdngB z#gYMCKJu<#2{E0p;4ctFj@clR%rI@Hya9&eMIyMb!5tWPZ;enh@fTia>PIH&cR%tD{ z4zxGN5#4P{Yv^?!eY!oT-?6a15Ol_u;#I$Y-gjglpqIhX9PH+*99%N}-NE7Y>h0pw zQq(P?ZPyq!@K@*jj|L&Z1%dwL8bK#11B-XNmJO?&VZ4KfE4Sy)4e7~@lHxF0KJW56 zSJH)c0tidlQu;c_!-VY6@7BfXq#$Os(I%1rH;VW64gT;t2~dufl=~(%_`TJfgMr!D zWho7F4(6*r=C8q$7L5^%QdF!M4-YM>L!{vU> zy^>LvOmpBv*#gH~N2JiVEs zh{)qE7JjVuB9lVjUWgmQ@(f;e)C-m}F*`>7ftaC$!B68Q;iOBKJ@K$|<23y7#a@oS zI7V47+Q(@GF~rs*p&{&xK`lp4Mn07INgXMR8z9c~Cmn4Y+A5p9UoLXUc8Ma80@hv* zx9&XLUf+`vFtsZN>C=TA32Fp(%2i1p@y!f)r9h=xGfKL0W;7)SI#v>9o7CPb2;UGt zXE&b^-ZwYjIzJBY1|K_~CZ0t$pC@uYMmz6y-V%xXG7dLimu=qP5CPNo>u%0_Z_fMl zJ-! zIKxt>$@qQ1F+a+%J}j_4c!EDUf)!pr=5wxZ5j&oCA~v7qJ8vmjH=lPR2&sJDY@c^+ zt_U~ZcOrCNqdJpg0p(>euXo)yUiDZVnP$M%dEYNTT_|2`$mW%(b8wSa;`*fJy)PqZ z+3X~rLA~FIcnA7?0tkJgKC}8h?@9K3x?(!JKR+_wE<5`_KMNC30zy`AcOp6*xqJXFb70FK~&t)(ENR^Aar?S;Gno7U=>;Vm95 zUTvLy-7>%R$k}+l zTPSAbX@58#F7^n|;CO54wejSUwyt#TE{4oG(CsX8^K9!c+TDG9-aap;cRVA_V|FAH zJ0in=5vxRUS(oA0b0iy1R>8K4x5;5e0yo=rr>{`>!7;_5oNEU>XQKl5y;aQl{l}_z ze24QuNAzIFe56+y_Ny}ELxu5~1?ycs?#l|i*ZDxdPb`FN%-~~hLrShjPfLpK2?>@5 zG(Tu@R7{)P!Bw+wgf{REnL61rl>%(NFP8Y3WY!? zi9AIa`LvL-9iqUJiiJR=6!Ji*=s$hK!qL2Bq;@+Je%zTaH1yc{u_hn~~>;`T@&VZj}O zugT&{RdIv2FnPKlaoSoxe8Fvh7^e}l=DN2+OcryO#MFGYV#G=yLCLOBvK1E~QhAgl zA)y?w)zj;%Z1*m>%N*9j92RVWqDAubk}jBGf#T=1qH~pANr_r<@)G8}_+v#o;RuI& z`lK@F2*(4bs)kH`_a0TtKxUU2r#MNQcN!q(wRz(@nT5)@V=nd{SQ|{U4yQi`P=p!@ z&TXjV9M7jeyf?cysfv=aCSNm>>Pm0jd?sU*9eFMu(W`-EXGse^pcpu`5MUD@7fmxMtDK7E}tCHRFsu zgwog1%_^_^>(Wn2^Y1kQwQ|6yIDQGMOsh53T9k2=IyJQdi1AFJ(^l_%i+r$uZlb_W z{Sa_31=oJk{dDd=jOC3M_N;HN9$eZ8o0>@15$G{W`_(C11D)s{R5(n#nZn8Zokt-hJ{wr0&m)`zID!YaMcZ%{4a)Zo=w%b?@ z-qeo1%Xw8Ehg~Uk;@2{^n*Pi+h-&(lxIJWhC=JvmYo~cNobXMvMSWmU- z@+Nk$el4|GRO~h|nz;1T@>afC}~e?T!8d2 zcA(mvDKaYQQBxKlR#J@een65z^@*yQd_}o)c{zu&BBU)5s1oq?bXS01iqs6o;C*G3 z`=W5WMZreB+~rD%3&TlPq&r!`#_*SlALTAmpxy92bk}`Z8h9cY-cFMi5g%ZUcMrpZ z_I2U(gEg%CIfX+hQ;6qR+`dj;$RL5u>!C4*v7L3Szq z!owXJp+l;~+7T}J=}He(VC7K;NYPnJgA+4P3nMl4g)1vU*gMwLcC5<#xMep{N`nKX z0r<3}ksM3+`aLC?S+w7mUiCpVa92V4YU*o9fHL8McbYsK5COGv5pK7XMjE{TOV5Y5 zIQkpRw&4fB%L?%7Akp&CQ)dj?X$!%!joF4J;WqV+z-#L7L(tUNgGNw5YVLzXP++Pm zHh1NZfMY0C+9pLv3lhOnm1^n|ZGlAmC8HYt&jaj|zP{XQ7^w~lGHjy$(JM?;v4(BE zkHGmqLS6jpNL{&quFym^iABQO!b&{$OpX`fQyi>GOj4aq+kR!U2RQ@1Fkz?|1PLX z#-v5)-P!8Z+{&H3lYWj=o4QwVCU20s#h0Wvjq8dB$#ud=RoX{D(wi@SR^h)54et`3 zWfLrvw6tj=LR=07$&QT?906Ja2dmK6z3eN{{MCwPKr%RAZ97cUYEBeJZw}w6&qh>m z9lY85!PA=`QPaUl1;%6yxz>-(^3d<<1B3kP()JV6)dvIlO&Aij=|;D`cB{q2^FuB0 zF=h7s_@dqtqrNGr!&12ZNsu?DcT$XV{Wmb^#)<_199PXr`x@kOVz-qdf-F1{U>4h;wq>O5wZW{0KMP9sr?B^R9ujNf#LQP zmKqzIFhkgYC~1I5Gy%KYkDG51df#MHq6W5Oi#6ExjxiA2T7qu(1hcKTGqnr1&FrOV zZ-DB>iZR_nR|;n%xJ1#@@X1Hg#8}B@P9=LjblB!TVMAVgwq-wQ@lHO!&V28*E&0Sm zxc}_Q``%DuAh+@p<&!p;6a$)>>+mZqd1gE*4yl8bc8H_nOSQTlX=^u*J zeLyRfbY(_ZzgCkh>Y>V}%;6!X8XC;O|0&;<^5zfleyy&QTJice)GOhJqpMqC1JzYj ztV9BtYG~BI%H_=~Lw_A0h2`5|5!W`qQR%?2Mq|ogi8e!1LE3imH7u)MzD7sc2lT%c zK=)sl`t*48eD(kP0l=&|-j4cRN(Q`wBQPHW1itOQ-$4x{xLAM7!j7c1Sh>3Q%TbHd z`ls=JBlU>(W9(uBxyQNy&uade6C(BZFPE&VrChFweFER4A;O*H!LNUb5vJel8f5b% zAo(L04I^|JKqm)5AnR?ixfz%+j<@{m`o5&pXh;9e=U~lnbTH;;J%RUMVwofFXfgjx zTOb1k*60yuB~g`Jguiu>{A`^x+<7_YDMc;X63WNZu3d&Wh^dk>ZW(Ug_xIx@r0$;7dMlBg9c=?+BqbJGC^1EZAwIl3 z_|Y=+{n-#K@{L9&uIr*hSGQJgCPrJJU5LW&J&-TUZ#cZ%T%F#dI+P_{GB%Hoe|<;o zl+g#0zA+NOwVj9i%RqEkgB@0KYSFmy(g%p8>LLdS)tyuHZFIRnbTD5<`8DEeUnwJk zH%d0mJIvE9Z!OynUFzx^A)yiL@gGN9JxgIZbSteIYt~y007P4Tvwzuk=9PU+wVw;t zhQ4dSHgj+iq?qj~D#Mz4>OCOe@K5afgH<{hEa#y_=LFy~c72 zOU1tgcy7n2tKJnmms%UbF#;2EYNuas?5VtZ2a?fyD-^BA$k<|wp_bY9dI(;V%N`We zBHFh3PP?FM0CDPnra#z7;Of7xf$AjbrWm?^je>zvqr!a|H&zu!_Vlpob#etl4$SIx zngvB7=5=@4Q4K@_)O7xsiR)q)!E0NGZS{y^{(>KgzVBg2l%@JI;D|N7gnRyeR-;9zGuD3%|Jiu0=oWLGb4_TFrG^m(mNk+K^%7X6wbqWSr0cdz>x&@9E2qLU9Osg8C z$obJKP$Ix4BUwgikK@}2WcPd1g#WXwz~g3cEnJ;Y@-CK(+j>XTAJxF=gGj;Gblwls z+}Hw+|I0yD&QdG8H`a4tj8RHatKB)<5Gv)~E@CrnIa7<}9%7tOS(ojl!aL%eX0Sec z*g8vO@@ML`O5a6i$LGe>S~Tl$pZUWn`I`%W2m5+5b-O=2 z5G;@a<*|))h>f(l)JTcC7-OmOdgPA%ym_`<{f&v@ISQS+w%duH>C!oaWZwD#{aM_U zH73f+IX{1^mZ*xlX(KE&_X~edvo6snAKLcGTBgqe{Y02}jR3vSP^%TtsCPm0j<+|7haHblNDUJ@gfJ|aWSzd{9`c!BZX z^MK^J6Z&c7JtAW*v@*k;uHyvXTf_IdHwNoX^?=UZ0GmGp)qDD?^Ta0mHPfw2fK?Ui(Tl>p?W%O72(tHGAr9cJ` zg22)t5!X5#C1mu1U!%1eLUdF1r+;y=Oq;V1aIj3-$C-e@)jNXzTOy&3$Wn@4vw7ZR z7m!NQZRK~8-Hhcxgge1SIhf}25=hSV4$jE!&?PCMRfRr*{Y@&4Jhxbq?kgm#Q_!S| zeh`}5A*_^I3wFQb_43Rf4SHwpO{xq5tUW#nHo`{(ztA0+6mTND5qDC3^|wI5mZD?~ zjZwN%WxOk$GF!u;f7haN3@x3KG4fz!tgxA(z-+=oXL`7NZPvh0I>rVk#$o2Th8t4t ziWO~Z+(}U*pccr11n1yyTYlR;(Z-~@sEt?X;}ph>2H}oZYtY?7h-;NCk)C8`5|B$7 z1FVWgT2QM}TF`0NnDosW^vwff|14;#wHZ%1FN6VSI_2 zM4KucSZseCkXj^4EQ#>E;WGFX-W?Z(@!VG_JP#-tA-N~V_$F(aHnzp5bR5i^fkaak zXqkY*97s|nj3L@-J@lyWecYI9@%Bzcr_MYcu}mfQRN`;1Xk zuRrxpB8<|czR>8mRD!W&dyjhE{Y#WHCFp+r7FLj{ z-cPR)z?D6xKq6ccH=6L=pnV!MJhs5#1m=col!CZWxo2eAuGJh_x%Jo$=1X8i?nZ-G zytnl&{XC7-%<@kqkt3=FQxMe{2}( zY1wrno`yeVSR-LyiL0C4E#6@{48z4W_p%;e9AzQ|COM;)zkKg5Jwz#gVecMc|Dmd; z>7V39s+yA|x~tC2xZU*xtsF$A8Wyih;_9!&h+3W)uUpguMj14DK1{RC#ijy;$CGSSR=UoPC?V9Vw3>?9s6EyTRGj=ye#+1A^VYv5Dq*BV#3h zFSd$EpD|v)d|&jk$g*Mmf%!d#UD2{Y z;tG`LI&rocifz%dJ!jcgD3SB;ZCHtA9~*>?wa*{8#psyS1v4ROj~Q4 zbI&J?`S}jVr<>(>A}Na|n@$R`RkApCL92^Lr|Zc6@)f!?NP(&-O}d^AK&-H_Gugo7 zG~HWcv%mn;#z9-x4`02(!0cKjpm@{Gphole)HN5p8PvP!W_}-~y0C~wSmkcK-Q{Il zZ$EID3?_dJ7aL5Sj5$GbFkT^^76O|G-0A~a0$}UBM5fsJGa~{5Dc;GV5cA4JOY`cy z1WfR}1*q_@qUQU?peS#z8t5B)3q)=e*?gB^PP6$)?&g!_->Ji9!Q_U>IGz$xb7Z5b z!JKr4BL`Oy(^|>9aSq@TG%M^kd|o+I4G5p}GUP0tJu;yAH>j~-VW7noE(z^9F&+9c z)G5fSmBR+0i~JL{gA|Zy*2xo-7dn-9$xgEsp2p{8kaUybh>K(`=9v_j4ANOlm{p1( z?3tK0m|u=rOnGAJ7v$%9is{9O&>v=qt2&TWLo!jf6OX;b}nKFyD#m)+F}CEVfkNAyy)HV;o;3>%mVrvZY5DsCKY2 zZT}kLp2}Vu&bQyaRh(~g+a5P%$jv8)NB3bx)6di}As*iTH7Q*u^O@h$Z`;r~JYUBS zv6l0oKNQ$=L%}j)-H!*$-ltLnb(PE@|M+)Ct$%w2%?%75cpC_}` z5LiK`$Wub66wF6m?W*%J5y|E#EqCGGd>^SJW$|8Djm5nApQbLIs9+WgoJ7fQ@ZvW! zxj$$DVxi9Pr`C=9aeRt+O55FZe4}w*P)hC8%pa{{S(!I)kWq_0u;j&(07O$a3IF!c zX7^*GVhL^Mmc9QJN~$Xs)pa1l8p^R1Lx24J#w7jnFEugFrZRnrr@{lqsqt(-Y&Lz(5>o3!N##gr#JFCFtA@vH_qYuK6t<~gRb-j1kq6Nn#ahbE-mFG1rgTN-4>q+h z0&kTq1fle24F{*m0oMb=e$kE_c&0<7d5!Qi2?W^5Feh6>OMG48lyGk#4v)5ArBrD} zMZ)LLJ6|&W5LLj6ZY(~_NLJG~)(zq6&$(n|L+pYzbfu18=Wst?Q=F0aF4m#sp?*I6} zXjVaE6PjOS0-vC{w+C?9{T)H(_gOzOUx?6Xq^xh@7L=D7=5=fPf2MC{iiJm!BXKGa zESau+w|%#fd#n8%r;u`Gv(V~*(Ml5#Jb?gZavf06NudA*peWTI0f6M9ApJ}K8R zYS>J-#N`o5nWxoR_yVw2^KH2m>6mDX3;zXW|K)R_RA)A6QgR5^_?O7TSAtY4>w8;4 z@BY6?EL=Tck4_$ZXkJ5ZHDrITx~wT}-3n-fCS)(QHUes$E4!rZ?t+WjPyTMU%9P(a zk-P3c#RmW?hyXw}3u?;jHM6zGA{`mV=Swt@_oqAA8qfov&5RJ}0{dFOx-%xI0`iS% zAdW&`=v~#rx*-9!PCb7%nw%a4!1>Pwxj@B2hy!-*b)h|gpcr~k#ZQF0P1@e*AOJi| zZHFWsVf}TTj4$Vk=P$N%K3zNw&YUqiD9ik}cu*8gRsgJBcKD*HUS!{w+yKhj4apln zI7%|@cF|uxEGJc(fJxh5{x0wIdQ7nx=n#HUA!WX7Eb1Te^nEqc_U*sE@kHw)HCeUk zGC-}de0*o)x{i7mK`wJ!QXGD+ggm23e{~G;UCH(IkL`~JJwbTOwtD&l^=MB5IpbC%Z zyHi);h?-2(Tj7XpT{LQ2_VGoB3P%48Uuz07s{hNfYm`}`VE5q!?s zdV4gl7o!=e#?ZfveDSyXUF3hr?Z4yv|Ev)(;1y*yUD&ZNEW65r@C9N^`xLAI@m)*t zuM{%?C^p;t%O_yiCGZ0fo=cG7|6!2}2(H!U8Q|QKLF@OEx*;i;G0y^_6toppqy7r}zkOVH0FGJ#MkN-kAqHU8@!QJr zz9hq6?a}nrGy$+n-T$Sstp3o7mD2iakd2@Hho9{jV{{Wx*E&S)2nek z7_TPBooxGJZ)vPw7rIr>2Fk6dDtiO^rJ)nj{;R74aCT>P)oAq*?b#{FgVR?Gov#?v zBRi+bI%uMk^RSFB&o~JhoNIfw1-;-QxOmn{HoMwQc9IKK)%X}TYS%(Ev>LX{-9{2{ zKub5hBfeC;E09As4n6<{4Qj}q7CSZpz(cXQ{`PVB-f<*W%>>Zwf(rhQiaoI<9sDL% zM0yB^AWvQziIBIFmMJIT&ca?LDMQ>3hpyry036%@14vC0B-Rx*J;sc^IlKaB#zA)< z6MVJNsH95gthi4s~K| zFqkOOn?ZrZK15o6xs7U~N5!SqHDX7&lmb%-MJz-iK@(iHw%>=&j{at+mu^FgR&7>q zYKfA|Ialz&uBNM%6ayCNROPJ4+tFI0rhvs|lo?STHqDQ-w4lJ>ow2gdYOn;yYI(^0 zh8PbT#(JGb{}R+xXN^ID?+vlln;3uJwq(zZ+#c!}lV*Jdl}@<;AT`)L33AGLT(;tx zqE3AW7yw+=xBe+NluYZrz`qFXEljSJ)%=1|ka9*Vkd;LlM(S6YoPw@iK?A(9pj<%> zyh0Oj~?W`M(CT0ui9!+y2mPO9g!mx&Q6d>uLS{H7c}bzXsN^ z(yIWFRaD-IsBgn>l@??nhc^$>hypR_;qJLn#0c^{LU*a<$A&k*ERoh)PtbFa=8NvfV_3RfRu zMesAB%md1^qbVQRNy;#;rI~KACauwC2)m0HD@>96-foC;Y(#`V+OTlj7SF`UPAbC( zNioHRJs>hXHWP^tWC1?h31O6SU^h{np9EAIi71ni)@Gcir?k3Y>2|k4u|Q%3Y>9pY z+)#>9twhEC$-<^TT*~bp=xDXnYccBr_K2>m@7{;t-S2%6Jz%?BPYG&7dx!8> zVmwvrW+y(S%?LS0b<@APG{rqaM97W4b+12tV#L36Y(3D5aaNU3V)-L1xEtieZWJY722Yog^3j82J3E@2FJ-w)QayBk5_aSkm5htrQ z)0OL()1rpUrGA%d8k2erX?>bE-g~(mT3i|N&2||*&vuQV-I$!wSGJfBD5;D$os?p$ zXff{^T`z3CG|pfzbS6zye^fXI-`f;1e(|d*j_!Y8mV*afWPP-ECi}MP>Cs@?9|gTj zdV~?ZH44Z(g5BIlEXZ~dwj*wTzDr~{_ZZzN53qm@VyruY?%YQxi1xwrZn~rPfN2i^ zBhzRP@mA;jic5&q7;V3fxepy@7`N-p3{ z9slmM{uKJZ==uimxW4Vtn2l}Qwrw@G)!25@*mi@7ZKI8yGs>tO8&YiCX-iNG16x8pN2DHuEUCn%xkY33|=KScV?cEgv@|sPb&~yQn2G?VFE#gDh8dw7u zU zn`1Yw8qay8F$mgIW*G6?Kzves+q_g{2n#uG2U=*zA{lN6ZK#;O<9IANruU})NxqZ4 zN}yaMdnT#yii_W$n}<6D9EoUodm7axBW$VakC&5<2gx55wTzdrD^13!$dc-L@5W0{t18aMEn`dX!9N$S)XAsd1v9GJND7^|ff zWOYkqU!baewibm-2eP#FBUCry*vzHqF$~VMQ~2P<#j#*o)+bOrxP5NbskkxsN_qMleT$t7 z7JcPh)sI*!Ykw_cATZo2GkqqnPxo|c^~+_|j3p*#AV1D^TB-Ooo_6tbT=hw;M`gcx zjtQ0(l@7Ee{IYPSX^DKpIt}gx66&Yr7N{^R)h-BzzjYKIDor)WIrL$ksGWXbYMJ|vTCs_Ar3L<0Y5jLYCz`1 zi;QIT;wCf%1s*LxIX|-o&K-k$T646$i6h2uoj!)Zny0g0WiwwD@*Vsh#&(k%s1V`b z?5Lml4%kPB)WLNRE9HJFCSh>9ohqzOMTAcq$oBSqF|?0A>n%JyM5}rZOrbDNtJ2qj z0mVmye;V8tVF51DPP$i1m|F>@7V|dV=NzpZGBLz+7_jV+mH9YeHB(1BoF(o`R`7&x zKyi;|LIGPsulTe43{UY2H4`C0F1~M{Ty50@G%;6l2hb@HYQC9rjR!_}%zb!2lb+VUz-uyEBBb@6v9!~}PLLm6avG^}63yUT(g|z7ZU&{cHT+39S#)M zRv7(FW`Kp0{1}#$VA6YLiW_bQRXvv?A=LUZP=UaU2R%rLRnv3AZXty?`3r_t$G>sM zxrZW9NU7(i3uM^dqMj&-rq(Fb zUppR06nb_6W-r`T3Pa!lrcmo1ul`^qVDaBM5m>h!x8^>cn^1r5d^XBzFQ0C?6rF(0 zW&3nKjT`xB^=a6bBhs`NZ@6JQ&Q!-~gtdmp9C!I2ch*v#Rx0#LE*reIQ;L;AuTRcx zC7y!$+uns|I6_nSd9Zt|gE@(qqhQk`O(-KGqv+NBnxbe*29c-p+HOUMLI5dP)5L1k< zy#q5;YzOVrYl6v~7gSgu#cC4N1JPj7H5^QU4C{%2Bl3yBNMqZaT5ot*pE=_KTC6m)NI?vX2tPU~KIGDniAp;5R;INavG$;8odP1f187>u#6;Or7U5nN z(bkeQmw}DGz925HKOrC7mZn>89mmVlJeR?a__Jj-CFjMs#9TnQw<0@eE1Bc%xvCY$KP|}z15|rs6dLs4DRmyLLx0MX4p!x2)O7KI7~V2N z7L`Q=!Yxrj%4fg7=OioZ^2n!G+{PQ-~Kl z>QF&RB1myP1Y2iO(kWqxBt`MC3PpBUBs255>Z*T7I>S!C8YJ`}Y|UWbSnXb35{|5K ziu#URmyI0vYB`&FQhz?4hU#Dyz@Qzi)r7^+Le_!Bn1G(u$DbInHi(bL({_7|tJbv{ zNsldb0qUXG)rYdx9OXhWw5OW@8PRJD6hhM}^Ppa>#p`*U{F zH;Lj{g+?q(KVS7UeI5}!cxGR=&!}`_u7;WExr^|SB3w?-oM+SCSc>dDF4uJOrxnvZ zvsYUfGIYo&7XpK9lb;V=@q-b5JCc20_Al276!w7-wE_RYbk_|3&G|qn^2*fjDm0$R zt8yoB@G$B8$Pu7g9pLEmapX3P;sAZ8fBI0?{nQD3G2e;Lp=4QC6k+=bc2~Wa`n%yp zvXB#lP7<3g;`hL>Qm_}LJGnrvz!WCUEr$L%MPU!bq2WxWqUA>Ala5N85i`2x$Ih%qrLM9{f$Ho=%=EM;^IaD~21}r(W&y*%u zi+Ne=ezVH$voRo(NMwOUhAa4i{H}u!_GB8Pm*Q0U}Hgk^b@?QP*Y0mz0B2kGtBVZ@;K@Z()Q-om zUQ;w(ktW#B5R=qyp>ql*x|Yf&bdA1fyLVbNEImKR5uH5lhMo_b`F-|km5scsQ*pM> z4kLCDjS|?`0>>wx6pY%8@0#9IGA}cGzVshjzEQEn8{AEdX+qc@(uZ)mri;py39=b}JQ`J#vqh znt8lR`#_GVvW<JkKcO zol*>0WhJsHS;yFaQ9LSPce)=s1@X`w`lg9w8QH65@HM<>!k6x1oL9?{o~4a&$z8^| zwhB*N#;G}6RkS8;-r)nAd=7~!+df$$(#O)K@#NcyI)cZ!7FzDJAH@#>Q`uE0-!Du! zJOzWc^f;c4?hV?u&kbDT1>|N&xVHt{tA()}eH@{aJ-Nn-;9iF`N}&1FDdw~qGh-}@ znd{)_6eQ20l(&u1ehp?(s zWAn6?ohXmj17VKidIh4>de({V#!{Gz>A<2csGCj_<;2k>Bj(xF154gK;d=7t2c_I! z;?Xim-m=kLXf^7p=@hiUZTbl4!krkNXlq^fbXqn$s!TzMFM)hJRmzisIAC8XBc+PI zrHp_l=QyDi>ALxWbAvIq=$sJ;7rTG!u}~UcldQR;I>gL5Hhd(M0S^)lxj8+$T0DO4 zUNooNIgn4O;r*zG09rHq5RC3W69pdJb1HZXDglXA?vq9}@(yk}3w;HZaGCqBZiHTZ zADsdU%CFw1aU}tL{k;a;PS;n;EG*Zf8!H>D=Ld6g98am?xh^cJa|bWBa*vP4vtBAF zvuYiIw%TJYS2Sx6sbAjyX0fkS_;^U=?8R_`pagdk<@jLQs=4H)TKtE};g(=ndE?T7 zPy6iNzj4==@z$|m_};N-_<7>_kYd}OZZG*>oD~x*Q^^{^0t6G z;}+LgeD%6)H_W&nFY)Cge_@fc?d-nU(jFJ%f}%hwL?NINe40 z(eZRTSFlWesm#Q%17F)Q%!92WP)1BZ=&AB<+4O)tYV}--u5C9P7yTRwe!I;|zD*GM z-LGxz+SYKrnOZpcbfJLi9$Y2&DBmIT>Fg-pHS=kG8X#!6u5mWNy*Izj8r?#maPdB# z1>*xL{^Kx3E9I#?miSA@BqB6*2sD?Gxt8HZPAY5D+Z^!BazGFSfYM$+i@ zcq!XMM@D%HFLSk=+ZfIj23I$aiN~LG_4NTg{AI_}zf?06x-n`<@NcREGd-31Fz|mN zmW1F)mopSa8rGi=;=y6+8tE!`bj=POE_ZZwDF|NmDdI*RBJpD%Z0##nM6G2iuC)(}{ z+2d^rMrX=*uCpq4^RRVxAB(`JJ;|M*prDthTW=rVB9?^?s!v}|*E5-mlc!u~ z`&ZSAjT(LKO`(5YpFTF}%+kUX?p&Sa(GKs_?pz5J?|PMqPqE|5hMWqRM?F{uCHq~} zsCaj_gzL8pyPy+!jR!zar!?y`<`h^T&?Pw>l*4T(axVv1q-RRHaP`n0Q$D>@zMHmQ zm#=zg$adSQ6V5zLvPBACF?#_ffv0BFU;mUs9jvR|xCZ|A5BgSU6FM%uWu!&S=WTH_ zHV<0qVc>T-LeWm#c`u8H)q0sm+@v`_VF?5kqzRjxxM{Nlx>i{RWb-gHm``V z=D2%c85lxqYaf;pwQ;CarzWIaE0UG6WnND$(2u0}s|4bi%NdR6q+H9uYw-M7RYL2c zdvzT%DUw6WuUbkwEGwtnSxox`gl19Ug4c8WfuP512E`PnDW*V?qLdoaGR6#PD>nHf zzX(V!bv6yKtk-gvv^6K=^lXF@CxG06@b?DPm)hkmTz~RUbU~0K69&sWLxOQEGY(N@ z-v9mPhkvxQv&j1AqagC-`I&R7zaL%;%*yNMoYRwGr?48a1L@h3YF(U)Q$8zl8~xFiSq)a9nK!82A4ii(Y>;42!+MV z9rpck4;t;@?fmu&s+aNn?5o{AaPl6Yop&4&__ZPWm(~6qcHs%mC$*5CyD~(R9DXBN zgwJMQuFf}rlKsrI<2N5qghZS0FlTL;+0B>cvfVlhB}>t>W(_@A+#FT zgA0DNzAJ~jTy|LmWk6hPG_~kXex}2ua7pMKB!ZLzQn8$$y}W#eBD!HFO|Q0p!Lp!c zCaXt`buG+<9d_^#DRh4Rdlu*X(&L@4pHF~apomcK*NQ+>@`nL6F$%dK`$-|x%!i-h zWt6k5T6$MEibQ_4@%K8M0=nvIaN`V8?ff*WrZp>%_2mV+a&UvHLIf#&+v19D z1Fa-7NVN%2`gWT0->Z(sYZa2m9{N}@sR(Onw7e~wzj-7vM?id;j4BDvb~a~*lja-N z{CJ!AtnKr%N6(@H@T`;dNY4adP->TUO-wF2zGGF^-Q8L3;K!nLaEW#_b`&!OQ^U!5 zyKa#>7B^hWVRHE~;1jVHG)DedgOi_;R&|YhLl2)!KPFFB>no0HR^K*IewBum?dR6} z{Q@*&t7kErwKm;0VjcyIV%$z=B<~hpTpR}VTzuDG>*o*f`@7s#d314_33@;tzS`^c zh;=0S4t~@{;nkosvXP-wMU3aQSHaY~iEC<8_~4r}ocjp)B3_iX^~Kn%jrmvi;JtKQ z7x+FuK9VB(&RQiWpx5fXHtuI^Zr!gXcrngBo!_D+LJ5|^q6qgQ)1eL_WZlM~QJD<~ zLJ1y+l!%6y-f@8vnJw%Fxam$Oc#cO%pw#H(yzmlFw1fvyUeEzvVR(4=H#6v6w!;)9 z<2>p~`d7FW#X7gQIFv(`SSWXR!D~pLd#VFEv;K5WwdFF@-My-&xu2}L{#$5>KX`cZ zG3lcTu1^{GTLZXX5BS??h#+ftazF7{H%055MX<}T;I4&42Rp&5ZiBl6%sy+tYcIlA z2BmV>&-NHKoY^L2UZKZ@T1%`M$w32P*pQZcJ5Y0FH(GbBzS|@fFPxf-j!mQ1ZTbzVMX7k#++AOU4#hXh^I}Fi8HMeiV)KU=vwGVsvefDE zqNg}hQtru)C0~I_cq9x?AAHxjgp@G`41PJ|+dK6L<;H77SE*Pa5n7VuHYd2;A<7Eqo*zmlOU{xN zN=dLMc9*_!kowxIpC541?s;(@hg|=V@=#0p;1EAk1Cl(X0h+#YukSL)pFwOro~*@N zNX*pitD1`?62H8Dqv%;ueSPYBv*ujM(;mcB&hy-UleqFrNx zV9!(jMFSEl9106X?Jb?*(s5?>2$z)^enocv-PW> z`O*6;wqY$5{mjodyMpx8=m8QcKI*@ca8)0O5meJ+;tL7R}{PI97*8 z5bnaEW^@7yUouI1=a%JYpc3Fd!4aa46>?<{zCCxt^@@l`!+eGLT1VCua)SL$;H$VH z^oMGwcySN-gn~>tC%zDg!xZJx;*@vu?6~781@g-t%|m+B3mujkl~9XC9@fbs3m=O} zW>bPl<(m1VbdgV&LyU5C6oL(KzbeLHl$xovSk`4lb`Ytm&{8U`2ffiGk<%xU?i{r7y(Al{BxVqM1tzR;QUJe5Ff&UATC zS`g+<{NtXoU}fKjqM&`>ho&IHpHqZuR$MqI6Vn!gH@>xqPRrMDo zzXduGcQkUoWR%JfDYb6lNrRgE2`#@r=3TtFFak@CHJLH$9c;F78j0k)*aQs_=aN9` zO@?fT_K;wOkG;=da)h4fg#eV%6eu}zx<^Bj?83AxV_`tN5hF}~aJTebnRZ zo^Y025hznNZx=z8T1l(~stV6sme+(RGwb+$^NyVkzn%DDB19Pbxey~C(zD<0gX|F zt0^5WqY!^BA)Z-YP(g+2tQ6o>rM1b!)KmY>HLz;qJDPNA+v!`ElE>#66(y$?hpH0S zK)cdPeYMeEC%0xi5TC-1qm& zf`~8jj6x#X^tA`(fmNUNMn``y9Zi}ti)d~U3~y#s^9#6YX5Us;u?TB6eFwBnRi*J0 zAF~<%)P`NF?ByFP__-(?dB+7*o#o2Je$6kgZYM%+UdFwPPVX~&{)ot+t7o{SGF;y93-%_dGxD*%bJTzj z7j<}{wj%@)DLyH`xO=EgS4me<>&Od!<@j2!EQx8EfFz=q&mZ?+ih1BDxX8G~Y=WlV z@q0*-?YP^fyzc`Mp-~TE%J?e%Ek(Bv7?>bo03;|NYyGjjLyX|M2GF#p|#0B$!dJ8l(gf0=d1xsri0h8=XZJLhbx!RE8n7$Z>LBSX$c# zc2;n9D26b(IB7ZTKAxNW5Vu_LJ}zg4N`j%&se?FUd5mQjs@A))kf0IVl;hb%6`YAn z8XG2n+>M!4f&8hJl|~Cyv#y&R=Mi<5+Tci_mJt>}P8BX;Xqnw=bGo))^b z96?MAvr{yojzw%!DG`xvbaN?@zyfm*)uoMs!1{u6Ld$pE1ud(~JFQ*@fYE-9uXesK z&i2B>#PUK+YdFyuW1SN6GHM;v6NXL>e##iN^@N}RY%Zr|U1VqBKpJ1XXDWwfK~$Gg z4!d^PmWs(lUS#)pHv25(HnmcAHQ6-{tEF<-_Mu|-v8hukl5A_vdqt~)@u_C+`x-tJRU371YUhMfFvVTM%9pGGKJ^2( z5Vz8DbBNyLmL&*wIdjxK~%*Do)!JK?!U2Sza zVW^GB7H2!f^ITRTkLq$H!_!=$`&733CZmNwMsJ_dR-?sHEu-Zp!Vr+j_V_V&Tz8?I zb>~}+fk0-{;VKQE;*8x}U&|J-HuA#3_SqMv=k4r$aW7vuio0j=DOyRHn9tYZMpm1& zvOi~2U~^`#4LLK=9>MK$TYa+3SbM~vJ8Hr8vMN1= zH|RCx`Oq(rF&TtUpb*GdtqkblGLjk8sjVy&Tj&EPWhky#8@Q-Ko0#Hc>;DTCk}~We zvF5#_AfMuUCW8Mz04BqDM-TZQFen{r(cXi=I*MPJZ$6lx#Op~W{s)u`VOz#q?TA$X z2#a>R@Oq|twSqtf#mrH$aL^7G?59^e2D%hAXu6SKyT<(K#1ns=zlKqg-@n&mczx91 zkvb{Q>Z0TB#bk57D23a?l&C86X{4Aq!D93L$yPeTpZ*XlOP5F9g+QdPkVl@g<0`;i=rCxTv+VTQ4OSjkcn#A>!SE2X7P$g0hE&aqJ1_)nL z{YxD=y4Q)jdxbrjXxuM3{(=L;UjV60G?eMDbiS6L;wLG}=2OxtY{A|l!gm>qZ@}MU zu#`^wX^Mmq49E%s*Vj(7Fbaj}&G!q|Q&T-H{LF@f$?M#90=x?A!X!` zFW4>H&Rz*w@vL3?<*m4n%kAS5fH~H2rF>Q513b6t(m3E!a03ncRjev=pP9yZGV#Mm z1&*J#94+I3)9SVxP~-e7ok1v`{d3&NDK<0RekjWQU$$WZwHwbS?bd%1EaW$@w(3%i zcvn3Zu$h{EdziHX7jan8;rV;WJ@gUa>1$o>khDsK10c`Cbm{P- zmxIqEj|_~dE0R!;%p^ZuRG4@8aw3o4l@eK3N+zdQziE!T+^O(1R|`W7K@6Y%-WjXNbA$~$t0_DCA;l-k=f6o70qC{j1H@IG9piCygsIfzw^xL<63t5N^c+ZzNP>xujz&>nES0ZDBwr?I8u z?4y8o+7vFOyD-DUp*WM$)`hfxm(g-c9`o1KZlpLfAw%rbKse0B^W30O0aFaRYgGr8 z5XL@Ue>nDyXTRk2b>BtyOzLN3gc5^pk@*s!y;J($L1tV54l{a$C4Rq9?4vZ_vua}$ z!O5bMv%)lum~)h_e2-q?8-eQXJl|yjK`)MjFYK6Q zi<%bA%(==lwLY-RyhHffQT(EP8d+Y}lD-nlP>-W+#8TH|RJt-jZ2P=#oS*CK$ujXw z{_PeSAaltA)XE1Fan%3Yh1C-HmJj}1J`MkQh^XpO;kD<`D3BhhZpr6Yf6}BT*b@5( zRfnUl0HLt5sPeJc4!&LIQ;PItGHm;8~ShCPB^=WWT zy>aBSDiVW>syCdJbt~`-e+5iIBxn_h{e^f^p}#^2pcrkH=S~FjA8?mP4gp=egJ2GW zPJRb{(iM zvdA(R+q#_G(#Qk)(!E-K+QO{1oZXghyrx=xME~Lk-^Kor%jTg`(@n>{g}LDvNY%}qvS2S6he{py zJCD)LK8x1+qVCBYW5Z-;tpO^>vAVQgPMwSIK);$+i*%<4BgH@(+tez?!2Ayt{D;c_ zLsyrJ_GRBw3RotbIZ8h9aDbTkO7pi|1NjcOE(!4okJZ{qM+48xrqsyETN*6i*5O(+1fvs z*KXyuc{;7H_ktAqrSUjE^UYk2k=}nXp_XDBcWh(_hW)uV@_#d8u9W59v85O41=~i= z3eP8lfH0LrVWAgynY(xurvTsMMZZkszGd2&dmCf>!4>=;dBm6Y@%sjePp#68tuk+V zCEnX5KM%cT&Z`0LWkx{5^6B1Dbtk?Wf;&}XzM7oVS)a~TwCt{V)2&~L{2F{&z_q;xqoG-^93LAJO@j+d3e8A6cfwo*H1-rlw{ir zGWK{O$@0diG0rE{>=9z}#Z?K$mOX8b_;RwypWQ0Z=KBGU!C9wPpE9oY|4A|m+uKM>EuQIb8x6UX>X6)f_TXquEeFm-8`VrnqTrIz7u zXA(#7-AoPtKEWFNncf&@pz~M2n(jhAKbz2zo?%q#M7DoboJ>v491I7Jvy{`cZ?Y%_ zWHoHoZ~D~Zfl7-a==hZ8a(I`nS5=IUQ>+ko-h$?hSAy!$9fYw)2N`#R0QzGp63UuE zfBY(#d$A#W111sRX9bLWTyaQA*stWe2b+)sL=f$usIYVV0DOq7#`Avwr=k*Z2{Rrk zoLtE;shn9>1E;hSabXGCRz8Z&h1K{ZyIdtqO~cV@i8CLMtCi&wG%t+#vK!kunTlR7 z!!8FaXQT~8_%GrE&Qmde)*F-ENI}j{F@J|$(1SDlj(MY;K2&84obT~Z$kM%-rJ|cQ z+Ao^)Aq2i9yNLT4d6#cbao22{eUr1!AJBE!GyN++hhA2C^?3haXU(xjLPl=5LEz26 z%HfrVmAcyn)Brp@$m2@p9aB6+#>)#SNit{dG)FTSE)YUM=?QO+DWDgPm;v$68Q6To z9aH91zO||meXnciiyXp|d+o&sL4XR6Tz~sFnUva^gg9-TeqDFkmP|_IB7V+T3WNtg z57(X{>U>R_YUF6`tOSa1cRbU=-P>mlZWns6yl<$N54^hA8R_w3+*_kLT2&xr?v6cly6syyXVz&8??#-_W zIhb0{HW2(xBb^wQMhEY?a`IFN_h8{8jY1u<%vlDP6dJCmRv-S}7C=+7+8@DCLuaZn zPZmP*^9gEc--wQBrREk_WI!@)Ry`HCM1-O%8@=wL6YzEUmd;?49+k3(6}5~MM6x z%aBk*A{}yVc??5clxX)U!@8`>)IWI${X<3np%SYyORSJl_}_$y4viq}(;|sw{HOuq z`9qapm8y28TC}aK3~72~r!lql z)3(0GZn-1WGEHdR|G3JF-75RjhCHxhy@{n;m;jrqaYdZ@BlUJ@Kic2a1r>AvR2k_A zYO*jERN-K&{6vfeoJIW5@NlemIvp{iTI9W$;Y9A{h#$V%RO4lzY1v^#pb)}`z04jV z3n~{#dAsDsX2^c>Am$f3s{Wx<3i=sx8bzmC%nyDe@0jPK4LDszy!nj5&Zp}$r6IVp zxANe%CMMyvK7-IW8LxFT8E;hoCK)c#Ly(YU`$lJUIA1^p5Kq(+;qe=_u;Nyy{W+kU z-IE{_yHgzxaU`1yI}Wk>8&g655s-4Xs^s@7^#lCKRD~)(2mSAvID|EhlA+&ISx;47 z9318eh`qVlcv!9(8C7sqs^!?U7wotKiIsdYoo5H=Ep~F59?RH`jbCe}E*QUgC}ELp z>P~->HZ8?b%;F1`(vc@qiG)|sKV)GuwLQ-aJlr8(9;uFx@W{6Z9Hz(F+IwCn3nXs( zg0d%Sk(;g$bfrypUYb9}=jAhHD^x{D94rXAK8*c-Ig6kSLY|!r+GL#Dg$|{CgVuBx zBR5RC!C9I@R3C$`L^hTmBf)SAALXG{WzpxMT_W_an0Y6N%gJOfIcl1!cyTgy$4tU& zCMI$Ky;J9l_AMSRzBncic^`po44O7@2MT%(j9^6JEWCvAQq^_6fBBXjT--WO8`O|6I)MB>j z6cEDwq!nbO{p8l|acJR*j4r88C(+jtX;|e5I+6^RNH!`483ZK=G+l-7ucYc5oFg(` zyO0A^Fzb@acAlIgoE+-m1}Wc(2_BeW-RohtAjC3l=8)je`BidqiH%SSAud^_5vz}* z>UXXSg$u83?)M{v7r@|OM_?#V%!!kVShS}?OcZXO4OoYNN<{WyhTwg?%BH%S@LgCKzaLd7a;;-O>J4rQRs0$9lHoG|xTu#uoi;e=1-{?Pd7bnFgKv z%gur*L)bqXYV4FeP1;G4b|gedY7v$4*J6z7=@)Z3XS0HY<9ZtS@U{J4FIKXB*~GGl zz*A4Vf{`OSPL=Z`TbqK<8`br7jB`3j@z4s!I~Y}mU?og-2u9cyIel)*2z(3j%;F)A zaAMOr2XRptpZS7Bs2mVA=3{4|)fpI**4?PaU#n!)9p8Cd66K43zYANq1#BpC=jCE; z8}|ji&neaBGOoUyispnOm}JJleHhV^SE(45HR1fEW&Hd;tizRe+SyTuN1ERfynqnY zQxTy<%GZ>U2-aJ`jPih1R1p`o@~m>KqH_JrllwE~d5c}0!Qy4wJ513p04DqC^%MK$ zBi`X*vVEc=K^07Pn;8r=V}e-$7i2}Dr} z&cb$-WgZ7L0(69@VpoABZCM0_Gg^KzgY+k}Ut76mm6s2D{5@4zNa{yGD{}3lHuwyDHNyGSA9Hcqc77nMAJ3-1;zq;`XKPrBj};Ey!nRt3e&|wQ66H7HD%n_ zD#ruBaGGP^BFpzSf7nMNPUJrQPEZ2anqRy+vGa0oJ%?@y!$CkXLKX7f<9TeC;dhWj z3pHKs31s8jI9WXVK}}@p(`cz>n`mgXot;;r?F2r$^TSgXKtw34SK981=e_OPHJLtk zl^O!6nCni7--9;BR;$E+)ORs0G$)q#{f|WsCzN_wW;0S+AV+n`LV*-gygrfxXUj4u z#<}DgUnI@60#~}irL0#cr_jI5Rp$YE3D1EZNOUl;?_AcaYt67P0j}G0a_iN_V}t%N zG&7t$ee9hA9~U>?g$fBYr%v}?9`(2N{B|YIqWwC%1N`@^$+KiPyn=BV&3-toRh?=4 zXi?G*VFY|xl4r0f!)jST&^t}gx6QA0AN>~~>D7r%O3@TjmICox48k((=UhRiA|S&5 zAk4lB48;!^$tdYm4Sp%O@$wOWYko-p%W8M9^&!7NvXXMdD@TC8*!a_b8j}grG8OGZ zN^*ic+<rI zqBbsVVw|yQkzh_4NH6h`|0`N!!Aw9lKN+Fy^%9>5Tq1k=I$u4YZl8wqEnb-bfa|uM zo_ck)8TO!1hFxdde~UIXa9<az^(Cx4E1wZXa*2S59k%Bq8UilM@SIfT@>M^l`ZVdWwjT=Fl?)-W5WCJcGzgJAK1+P;vz0=0uZ zWW{RJOx^%`%K$1R4?X!7c5Ex7kfVh;v!*2;T^l@ z9;7^2F$1@_f%R2F_e2yiJh|?d6m@v=Ax7_Y*v;2H_+k4`6mrzQOwgvK!_men42DXl zKRCZ9H^GgvGE(&lhVw4GNIKDx#pQ4=fP=wN^v3x|fDcxPp-I2<-SK%sm3+kA;Kz~n z5yGnGVaNMAl8MfHmn|aeaS9)U?SZEaRzcD#$M)cyBNfRyV080CC%R`KriVO?IKmdq zv7hYXg(tiJ4m29Z@G(QWuMUKxxZkIMM&+gyQz|rBq@mp&%cMp7@-=E?Pqa>IWsK$u zJSHwO0htUFL5609G>&jHYo=O^P;SVGq)65eqp(?q_3S(`-Ytq1hpSlzg{LcG8ZFwXdF?V0l@TT3tK#7js>&LnXKjO zf%3Qr*u92qUUDSfKqT)fWWjp-ENzx_+RVgmgXosT*?>);o~+CxiC3ramFu*8L)7r7 zA#-9&?ePI~=D5#gbN4Aom|n|y>6o;9UIh7ao4M{?GS3f~waJMt12=(Ycc2E=VxSJt zPvZtay<$PxExDdzK!Yp@r4he6CVz@{9e_Hwl%0HZ$y6}k?RXkBtiy&}2f09o>JX4G z#C!$RJVz^Z0|ms-utB3D|1<_08V2ewJfsatv=1bJJd__UnX#;M(WIe&IwqxXldcb# zS3qNmd`Q_nV8#*q_jv+pNH3dN=4CE&<%;DUYwG^>9^_3q1QB7xH_#Z0WXt}3Tph*25sC)E}j>$5U(j}0HCzWspY8*a40Qwlq-HIU45W#WV&{P&co3SG2MpG;p zZyVMfdKQxO_36$7-WPVRpC5kINzTKvoU*R)G-^iW(lN6h!&oQvJigtZ-q~7eyrlP) z=Rw??3S*NO(!|oC9M&BNic!+7&75WA&Nh;<7Y0jM2n$4w`5URE`lk+&SNm~)omy5t z#F)+%3D~*+25=`C1eI{&G~vuT9)g+rC=qj67xdt?L0%`|ltDqtDT%^If+M_CR>9d; z%t#d1re@M@!lh}}W-IjeTy32^;wVbm>bjV*Buc&a03sKjU9gkq?`h;`N|$o@d&3Tq zzvP5T-Ni%Yy1OoXI!O}vzyvC$_$(OEB(;ijBdpO&X7&K`-=dMpZHwRkl%J z?FguUky4QVIsqXg3>wnwuc2nF0X{Pb-hOGwUthNb%QiQbX3E>RTN^7|m6W)Fa41_# z;i4zNJJ$-Bdk_{Y>@et$r``}oZ&LqyJpJu%6zMOa#>SCb(`$NQz@GYTso9WTO|w$Y zjyw98rN0-n0It8^|IJ&*>h`JTkR(IsGPDLXTY*LbzTZLs4Idy*)$lPF(!MJ>HM1>Q zm|J|7J)p#$2XZQhCXdLmF7U!j6QbyD$$`FeZ0WVH{^F6Uu~AeDhr`|TxzKVp_aYE2 zYIHw~MwkL1If$yoCM$ zT1b4RzNn7X0T8?4))jHpyA%3L4`E2DdI%>m8Cm>}EUN6!U-3{O^H8>-~fy(3b zqD@RfMLk*^DSc{AU0{w(T|1}T9(C5KPxYo5HtmI3%neF>+KX(A8RI2Ynjcm6&s81>&j9C;WEV1#9oj8%bn2f zH@gKGjh~_kz*AD4FO<`9fuWA@#hE52R9}%)_TtlvhU* zWrwA3HK!g1XC38v7o!RgmV2RcjY1t*2J13SoVd)C=gv4I|K6FWxlgMZX|yY4oXAs_ODUCnq_b#42c(4CyAnmhU9)9wj(Jxv*-TR z^L(P#u&Qe)b0AV1)1&Tu`7EktDa%lH?%!L7s1%R1?0;?Agr2FH9{<|TF<-1?Z%jSI z`MAIiy%8jCC<;h1FXvEBvo0$}(qC#Lg{{>6f0x+A3`JlH)1Mu&oEfv)g7W{*a4{T| zbam<+I1ZT0lgJpGRgrgz^>p#yt3$@g%GiEB2OTmcXXoYWxmkgao^rACY7E$`!~B|= z=H&bCj&wF>a{l*NX5)J_OBK=bIY74^EDVFMbc}AtW)tyQ+hN1?+1TMah3a?=g5Q#- zt0>@ka0!1}y8Uxioa}fQU4fN2-jpt# zw!$5QQ7F{$z#Ed9UQ+Fn?J3?dyX9MWOwNMC9i5O@w6eaXpY#uG)WIVL)WWen7;b2x zgA$W#+#gT?B^;CL&dX8or?&$qx3_u^U$2^#neXRb;QLi_*JpryJi69@i<$1AD?x4~5@{0)#n-ZnL->OX`$H zYJ~wSlucr-(J@I=lS30WgZEsZ>_GT>jV3_}{V9sKBTR;ZzuWB;f7z2!3!Ht$bhxhB z;4H0(=oaAj#2w(!;;txX@F?0B#-ETA#Vn;bFHWr&DwfxRHMIb1c}*lW^ppATtt&gv=(jVr3ZFGBO!BtWnCw}AsOct zc4Adh#wXb1qToVU51n}Z3er`ymnXrliF7>~%GIuys^PCGOB{Np#>`A3DK;+ZTxt7G zKHL)QfPXP;SweTI zNUqOr+P%E?8%YpslaP3fcH`ZIAjlHiA7Kn`SpW$|wYx#RUc$vThG8i^+r)l99L9^= z0lim}Iy&G5*ifS%>C?AG&F>O)zLUam5QW7%-6+0jBLCW}4yVO|zc%{G9guG|{acFq z@KAj~7{Mm<&-hlF+UcLG8i{G)kR;+f!q$c_PRpNLm~q+a75&nrc&JNu1oS)ehlQW{ z4F~iB_ZpkpxB(KDZj8tP?xN5h;i%BEK*SVg5iQ-oZefv#u_VM4dI2rMfbRT8>?7;= z)o{&V#1uFf-UKaXv4>o@hOAxcca06EgDvHh`{nRo2l_sPCaqbUI&v3o zD9v>H{Pz#ZD)t+L1BcrhdS#OlbUI%jKV%u(r>gLc*2iH^iDTFAjV$|4VRMDbz_fFS zHcM|lsx8&JHf%kW4}%tilY+H7yL_ev7jEu{Zj4(==RT99_GtdXHyO3Ik@^3H^?wx)ECmcQrXEvd}KUIfECKF zu2N0k7W?tcM$MwZG%Gdg4}9|H1^CmwJ8It1TPt?L?{`mX5CnjYSmaUeBs~0IcF@$8P90!?G!O7 zyxTCGjZ}rTr*lNn8KP-jHhl8#@GgO!sok*%yPw+Jzzv-IRdmO7Rc8N?IcA_MuUvdw zSccQgjQOh;`q4qV0!?WV8g(OeAEVa)mkv*5IBJU05s2&I9Ox^m8tUJl(F$j+)%uf*J=*6E3t0o)S{fq~F;pznLuxg!8&B<$5s#76mB~-Kc&u0xff+ zF2(0xmz%GQgXB*g0L>oV{y1@T_q%W5wpOUT?MG7ShJ%^|1`W3O3kzIh8!yhKNOs;) zCaig=RoJW3ME!$&vCh769W41^mFj1^3RHVtJ@FsI?Lugj<`Q5qn^OKYDiUFY&ZE{{ z_ZA#?Rqonf^UhOMb;&p%Zt}gub~7Fi5AQ*kc`4dAwnw(VtVm7W2WI8(ZVns@T-N16 zfLthe+HGnYdbRaEHks^zJRcgj;FZ*9d`|oa0IRfsryw`H#QBPalm% z-9p6I&X}H4JhfoL5Y)A(G+Gl(%Qin#O&>yM-bQ{Wf1E%;d%-i1+?ih3Etdh_B^u`t-_>$kQ)RXAvN74NWm_ zOX`BHr>y~giY@C6h+Gf7ZB9f1)0k2OTnHfnuaQ%L0>?-W+q{~=K!DHeu4iY*i?72ifr|r2`YItxC1=1!ypz99?U31sAJ0%7aH1=E3Au4XM z!c6rzBf*1$ruO5nc$hA3;Qnq6p_AR+2sv*77e=d~?mDO%8yt@P?n5|ZJ`keGm_sU& z3`JwpDrNR}-dGT(k``H`)%ou>UjD@CkAPTi>XK~MR3TV1K(P9mGD$Np1ez0P3NMt7d-ykia(10iACK2? z%n>l;3CqlbxiQIfaxRLv{!R@gFxMt{ZPiz|pfpXrM`V0tF;`8rl-WaEkRI&;i((3T zWEtJyY_QAQ!9dvS^m+l<;8u2hesDGzdeRFI^K6?o#3)u{WWc4cKO7k4; zxVI6AxOd3s{v!k61QFbSYms4dO@Dzy4}ml3FdP%4tft=!BJ5_XQG-k*c9L%^r4i?f zz12fRX)xIWcc_wU7t7yBZSAIHc-LYCn33^?#80DYKd>?88gxcw4jhUChIQH1X~N#Y zlA+v%XpwJ&waB=xMq6(usavz`pGH8IK6rS zEofV>f8PHZgwwk&7;T7naH@iUrZ9n5>Rjjfa~L1Ak)shp7xb`I^lH)Ms3Pc0-3Jw* zY?(Azai&7Qe!|~eZBXnmn!b=?<1~1CoCx%AlxeOX|#&cfKbotik400n|!>Z zAFY$0oO?OAHO#}AtXo*L2ifx2tn8dVoIg0IXLF9s0pjm)B%*Lnj^X#q{pB}|?ul3t zXvo)WMd24f7hT0vKk#BjusMj=zqah?hMIh}IrH2q{igABEWXs}p6Yoq{AAx+`YeY| zP(FfZk1%gMr3%9Jec@Rb`h4>&cQV_`YpOX90Drr}&K%@fRsF;voQz``1ew`cx> z@5El7@#1<$vf4<@c5PXrKG~LV&D>9ws~lcf(Mv~p8l{N*L)xM$!(UB~$a&<;4UF_l zQV0aZc6X37wQ5W^EHm&KnCMhWFK`n}J}iJj4J(!tK5X0kQ=Oj5CK?W0lo+4;o_R?J z9%a|Ola@aK)P7)^OHNzM-AjCq7bX}L0{Vve=^Qfh6*BS*lKO7AGcd2^DZ2dSp&HZ& zBnCs$K?o;BkUky4=%r^GSM7~G^@_3cac%mTxv;3^&=D3{_U`IHvK4ot!&NvJqV*4* z4^ufXPfv!mgbZn4t(GhS;0K61D4E5+=Ope#g9YfQ0qAdIBSjN4zrF)_C!xKzt?z!Q znBt?MB+wL6(M@~im$*2ZL!BTL_ds*pRs8NC3LfGd{;yHuB?Jc`UK>Eq{RfX$LLj5| zKL(wCh`Rn5bmvd?n=&plXPs!uJh=T6)9YfFz$GSc4{ZWJVm1V4i6mJ|T64&h5TI_c zOL=p$btM^{=yXrIrU5cBR=5^7c+teul8f)fkrs(JegZeikB<`FhKPx?H$-t2e*yruQNGvV zSUnRV`yn?>T9Bg#B^~h+RGTskhD8f#dYyiEPj`SNbF#-gSx-~ukw`YEt)#)Yj`OV# zQ;HngCSex?3qoEas((erjZi%ekYIhqxDd1Dl}dAKV*_L^W>sN=s%F!w$;AgY2yX+O zFyy>p);_#;La7pZ{Ch`v6Igh-W{tDA-lC2+JV?yV-y)jy%2KUx*@ZadgCHXVtZ z#uj68zT67LeD>9a#>!-u~IB=;7AD+Fi5kienjpJq*o){BKfnNzIc`ZytL4FreY#ndBAcu*>1Q3ZRro+%`M0xi|*_3yJ{$biG7VQT$QoU}GPi2dENnh@8 zC>WT?q{JVvh;ZuSIt+`&?t~Hf$LQQc>VZIxQTWXWUVbWA&gan3Yot3*;dY^Z5F(k- zX0iUCKNEF3kzCKjp2{wl9@5{du)svF z`wgi+h#bdRLZ}IqlH`nwapa8q71LQv6c8yG?c3ad(F4M0exVDA#oXeKF)TN_5L93A z3+k;A1$H+|!vLhW22VUz#AjG1AVb??&4f-Q7FLOh0Ym~t>@%; z#^7ogdfZHK^(-X(!DIghpv1)tlpGRBy+DW_oi7?KpnrEAr;6M!u^RvV?%C@JoLkHA zOMWFq<;{&GSuOSBX8`<3xgH>1y+<6z8#C2xc7i@*q+Cy`&-^okkT>4`8*jP|KR+*l z_>r0Q8h;Wb=0GLC5Gx0hY2mRa_>jciqq+_62pd4K_Wo>@omk~%viz?62#&nhFW_WI z&miN=P-)&D#Bk7?Vy{2mT>bNbc?Z}V`FD39j4!2*1ra4_s)aw9VI1Fru^A)T%bRcT z_vDGe$P7DvAtWmeNZ=0#%qp>Csdt#;-+>&vLNKI)(GsSGvnX0xkC(?!dmdlUx91Yw zH72F$_9Qqi3b%~fV)K|N1IOKN4o}?K`+1Ou6=3t=crX@93=uDl=-O+qAyaiem=KI9S9(#HKtdB161XL_ zU{%Su1m!_hvqLm9$m;gj6=#PJ^67lW;}VZGf4&TF&%2Im!i0qI={Q`65n zGt`4vH&hS~8;%@IO50(Hp`ltfc}qsn>**%;^!s@cnZEi|;IRWA4; z*z#Rw_#nzuWGLA-`6-5OOIK(5n9}`p+a}*wswN* zmm#z4dFG_cu!z`dph9nge1bM#1{r+oqp>4uY-2ha)9PB#l0nI*zC~)!g$(_<&zRE< zB~$zpMvJT;_<(aw$ zwz3?88Qb%r@9P1`8YdRJ04;)@zZM?XTV8m2Kgvi0V0^~+Ef-|#h}^OTkhG-8l|8PR zC*NS(dfXpFS5!Vt;PiKzAYW?7&rDCL#}1KIuK7He9HocoPd)+UXk9w5kEj6(g^0nr zQPIV)PWP9%Th#?LXvG&q@Q*c{h?K)o)0nR?di_al-iDdZ;DZ{k%26r|Okl9Q-`RTW z-yb*j_s2~vM{fg~DpPJ~P52wbOLvNN9ga$UQfiB0J3CQ|>g6iJ_=Nl~D2=yo=ALmC zuq`)S(Jo)*6uvPy9J)S?m$YH+V%~}rk zSCe40>I;$uht*@AuPZ*25u?9enupQ`n#p`g8SMoBj58udE4+ca86bZbVqmwz>lzF6 zPbs%@4pqb&ZJ=#WED9M=7W2B$%j?jC(b3Z{+arhH4KP&5Q24O8mwUtgA@*7ogc|Wdy%&3W z2}v){hNKQX{np>mGe1oYOoj+dx-G^G{&R^9XmQq9x%XW8gL@}TrWTyCBG z3%b@*)A^dUxuE%37yiH{4hdKrvr59hZ;^I3%(!P;9N5+d*T_-StM-}c7m6|ld-SeC z#8sG=QD?Crr@?Aa`JLB8RPLPk(VH-0bjZRSY`W?O{^-^I(VXeiUitP6*8NH=2Uhcn zA!r2q$2(Mtkf<htkLh+Jh83{l6j2Z*HFQ5{_lIMh;a~haK-1x^(#%9d zV=&$i0>oKTO{pI*O>`OdrC`i8amQ~jAQN0;&~T`);R$~_Yzl}A_QuF!Kv50RvklIH zQAVYO1`-DC|3*^|Wz+lN1wQJ7&ACE1oC@Tq@KDT`LIi0%=us6aQ~;y6NDJvNG5?e1 z2&L14k(hC3g*fv=oWv0*s|FKP4M)q2*ZmxaSQP4*!gAuN_`6J~__1OvUJ0u`MlikR z2RedVa$&|XU})*n26EM5HI@_ri=FWMCh>e}Disa@-y?O-W@SRvCv)_^71y~w1ohxiNJ z5{3mDgM^5`{}q8ok0$L9Mt9MCbmtBXw=y^vWd-JbD_NB$O>|kl{MiPBWons;2{awB134TG{~PCa{@+IIAP?$mJ?IvmGkfKgXLK2ne*Ie z@IK`s4ciXR3#ZJH8}Oq#at%*3x?2`&j^;vf-loWeNhA!)WGKx4U6f=}GAocjJitn{ zGbwD`(J*SV_L5WFA7DdwJOuZu3ku=SXf@{S8yR26_8G!Q*s4NiI;0G_qeTBvRs?sP zFkH6bewGoFIl-q{m_L)OZ%pJkrz-4klx)OTP>y~WjgP{?o>1&zGzoVq1-76c2u>^@ z2qxpa%p_(&|D$W3J`tydql`hiIv^h;P%Q7Y1vIS%yF<`P7Y-7+3zqkE3aQvY8!e4& z>cp(7XUFANrf0Y2XK82W2{2p~W}tzM+9SZ&oU?ZmbKvRUIiVSGrfF~K34;QotVZ3# zxD;i?jga#?Cx~t|#13J7V7`Z6(#C0@U&QEI8iNl(0Ttdtf|four^xbjY)KwCxT6uh z*}qAI=p+RxzQ^kT)#7Bz)-*`bjy}AF3)Zl)h* z0(Y7V#F!vnQ;G%21Io399@1Fe)Cz(L8nC_0E^+W#BsCLE6^(Kg!HSJ2k3prs= z1MzF)4?heJA07`Mo;<$LRPgS*I@VLIdvh_Z>^&*4wK&KC6P~s*s7)JWCGRZ=Sn=2P zDCrU|O2z0Oep>QVue(svmdb-NOlHaBINT)>VJEG^vJZlp8XsA}Au><$u0wjOXT>~w#L|KWC zFH^Zp85x0`n04}dA^$N2rr+*?6OU#%5G7@y+tpNoJ=ms*&x?%C%}+Je>W5{5a#U@- zHcm)l=9t|5d5l85m1!=~$p^qX3N?SM7#M7c?7XsR$3)r4Mp=*C{{{Ij?G}L4EJD?6 zJ=($6EH<8qzAkzAtWb&Q{E~iC$R86u)02^ZIdDv+;`QHT5*Vt&!a~>-0HC z#k|UIPR%^d+*EuQ{bS!Tf4tXZo(yU_F60OQH6J0fs>%@BIN%ccXf6I@vvrijl0IY~ zUe{}gZgRU(9;Dm%V(?G-qVJkFN!m?p{L|;g&zL-$?9d@>ySHhOZCt($nmT>-2O(IK z-n$gZi?7+x5kfA!P~6^=-Rn|Mu^AmC`C|sj*?1%}%9zSn9Fekv7Et>I)-I&4vnQ*B z4&pd*uOIV33i^@_AaY^W$Z$WUvo`Fw}}2(Tdkbl53rYe z$qqC^ZQnwFABt7v^Kp(^KNYEmr`j=yNZerQs7K`_KI?XgWyeG$77xkgl`_m1TfnkV z5nbl~(s;}jQ$31iea;g5to(TAr0t5iuo4cfN4dOi#Qc9mUnU9 zN5#Gu>0GbAIeW;EgqNq~5Wb6Zb+Q4s0$+ys{t1r&q>TxG%FW}Ja*N;joEHJ2SPw=` zVhjW`!X~WFUsylkus-lRCckMe|IX@i#jDA7Tke{XmeBMEyp9cNkX&2n;P3mW}X45H}9iflAMli@U*#foV+GVP-1-5COlr@Y^ zQi(|A7~_WA^yf{I6hf1cMVe)uRMY+FXOhm!)_kj`hq13@)imb(#Xm37C}Y{4B;F+H zs7L7$Zwe)%u%#Fad-y$M@X`?^0LDS*lDp|F$F>Bd0e6f?H!?iwZ8KSxD z)LbId6j{(mV|ZdGS%qVBlGsPn;m};5YGc0cBw6*(+dI*DGpC(6xexC|6)kW^g{;}5 z!8S?XV%TV&GjLammD9IN#X5Mcc0XZ1FTSn4)cmj=LI&mzas3;f{=)jF&n3y6Ys`mB zlIstaDQ06`zM<(us%`A^4H_!bCgb$wKO znkZl2M+N(R5dSkFXMG>y_u-r0jK^_bIKhtA1qaB^k0#Qx4c`wI%Jv^kHQnXE79Flk z#+~wW#wXe3iH=A(q(>Lcv9K}ntpX$<6@h5_wNmzIFXCG8AA{WZQXqDY62yv**2lSz za0e_NIE;6t1j1KqD(S^wR4@+R_`0l=oXaR%2D~?`m#2(wm}DCF0x>3e3XawlJ^n}9 zEOx)7hh<3GK zsc_vk>ds^X;!54ZfrKZxaiNuUyxOkN3?78AS|VO*SDT0!LLrD-icwvNu13}_lwT_4 z^2&*SYd5KI@k)4#cT}Cy%_D!FbB@sVcbMk#M%KEU!PIwR$IQ4c4mr{iU5e@X%if_F z^7^MsS>0saOU9mZwh7^U=I9FbaUtk9W$z3Q9%05^^^4y7_dWBFwNrL64j3EV4xRI( zK1m6GE;+%AmoVKoGw^8e+!2VFj#l41H8p#GSZNF$;C>|;g15!BgC|j4`fcc7QJu`V zL;w;zn@j1(*5gqY^HBhq6~l&(C5rOXm3gS2NTHSgRn1Z}U~=J(16?t>wr&b>Y;lFr z`cflbSHyS%8Z!`x^Hm*iO6P_JnKnQbRXoi^ENu?3E9V z!Tr^mv)%#g&&39ji0&gp0{4Q(MUIi{@Zi#x(V2LwW`>x`GsQ(OLQfRkK7yMp+IoV^ zn+zT4@vonkd;wew+nKD*Z*Z6K0g1k_tW`nW_>|-P!G~Oew;LocG6_a&y@&8AUQdy! ztz68=jGTdg{6-doqO?Uv{Bl5qp;BNmcx}*lf7|?qREYHlmCD?YLSsnbcF*c?G}IM0 ztW;4tE0kz!sIW$`7@BCZy<qsQ@i^Kw75TDI6-_fEd<-ZqlniUks|~v&4A)Y0Sbl( z-NX@`$~rTBcZLV=a4-v=w)rvWMj9$LT5&M|Q?5s)Uu8}pw0ySYtk%7l#1Kt$2s8-7*@n*~&s01Sax8Eta2r76b`OcC zpb)+HTPfPG>>XYq(Z^b;Ko?v2WJy%{wL$*l1&JlVBpkm#FYh_PXjFz_pz9a1BJV^} z_IF=+PLX7|%!)bBzk#+HSmWS$_)anSKI8M#gOnrr3WlY5q8k3g(}xxU%qO`Md&Lsy z)_4KZt;IOiQJesc1eTN{s-LM@=NsIp>C9p1wM@(>dOFky*ivIN>hTok{J~@&Q#(+M z%$5p1`RU{=P^rTkhmiJOkhsvp-2LYYBxK#wN16hcD-55YZpnhTEXC>$d5si7cmp}(ksByROFeTol=mQRUCvz)7AVfuO zYx5Y}))B&fwjEv8GmzJ0FlfG>eA+r|86s%fuB@mq)-$zbl-+K5rlAe)=-q{&YrBeT zx5(SyXX-xi&*ni07(1*u_h9{Bea(sbU5Wauqjt-qc1?*pKEH&9hn?v~!OGp*w((5V zbZ#GAY?>MaxTmTtGfM>pG8yT6J&@Kf(FfhwFR9vZ+}O*1{WD_yv%l66xYPIq)n~2V z+glIC8MsR2AF{d{5V9H`6tc=060&+48WK0!l!$@Q@PLib&;g7`l!0iVd8+qSov1HK zDy>OnzFOK>Ul3PFlgMmcl5hU6*TUeH_AwjTIliA*uO1lbLnA+F)6dk8h!3HW!E0o9 zZ;lvz@bLfT`)N}a?y4EpzGg7DL6*nXW>Hp$pt;Lv1GU~faBN>zHb^5gyW#8}{GBG; z&B0sU=qBiG7%d_e_7;CVY9_ARvN(1P6n~(VJ!xh%Y@J?yBz2!(332gzdK*%^gu2^0 zhYrZFUY)?#EPKuAoI{335nuE~XD=Vm!M{R=TZ*?m1_V!h>@tQd>;XfClO^F=k3k?> zOClgz!w?}_M^GY8tS_}T;PXwmJ)4Mz49kq4nN(yWm~DB24qRegG{j^t8)b|oZJ=x( z*&TzUvpWUAw97ZV;rI;i3>ACAND;FP^qoiy^u34;^g)RZ%o)RNerD{QIAwg-(r{xR zD}M(68s;qgXXGvVXRIuER{3aLZR)|Vy`aRCjrU1s!{aI6!lV9Fsf3rpho{+GLnFA)l((PVOvR)e0B3_H)(Y_yk9H_-FW* zi~M?b+jua#c%}HEs(9=6ds{#T@qLb<{4S#fLeYm~AdKH@_nC5trSWTR!PNelCYG>H zftH-(a0pezX&KwJs69DNq~QZ-7}_p7YG~RyJ8G(*HE?k2;SHvp9I41qPVOssT705! zm$-2K+*?d*aB%(5TXRPaY@RT|5!HffH|VONt?BfPP)Eu79w@Ly z&%y|;*jMoe7be4Dhg`4-2Gw?u7AFW!#8$5#xSC+{48Nrn4R~|s0XFuC_m;YfE!{olPX9l?b{PZ*b-D4X)G)&|2sozrhtCM|zlWm24l;8rdPVF^rDCsj7nL zs8Q<2KjLa5Tyrh=A8|GGEv~Rp{w1!WBmWUs0<~xb0?6iFqTwbqtE=eW;>zp&A93a5 z`;WNt=GXHjUWbBulIq<^w8JM`uYr1U`4(5|jn%OcK3o~P$yU0VU0U^2w(RD8EJ8H| zR60;+;xri4)&flbAm*;o}a>sg~A;r$ZvCe09)j^!V zgT^)@x+kB7`)?S3reeQduBe)VA-y^Dm^myNRVpbBI5OGvgdbGOyvyFOt%9b8wPd%I z+I7!yF30zoz3hXpve#GfQ#(i^rlQ%Q7lYhQjZZ*48_Rb!Jc>X!(NG7~zpfOgg^EiT zwZ_S~td`cjq?DVcN-sjuxy)Vz6^CbaGZdf3RvDEB?~%?4zNzH`wIW(pV4xI{h>gvh zw5b~@v|aPpyVJ{dVwLUI7fIjS`>Vj zt)hnQEC5{tTLa7GHKjqbcll*or{43W*JhW6+eS>oLfjp4J1#Xtkq^KtKw!+HO%df1A?)|7751dpGYBLcx{I$tQODpYR#-)q~5dHV~)bMhgzhtV5pP3>+$rBjF!U+IRl5*-2loaJFyQn5UHF$AHGGW z(0%Q1?5X|VSWc(Eh-2Dh`MKYr_CJE@J2O!#E{ZiBOOfbAz*5~{Ww=e8jVAw>z$%i` z`cHvni~D~ou+Yo?C9v=u=l)Y*tpod$xohxGlgPq6{oOa;;f?2pumveNR@>vKWB95? zv1cSM0gO2&(=F|tE!DB9DAhBo(DqpkPx&HoM)tw=CKafAEKZaA$02?i`H zB0=^et!URH4keR?ChcGHivLv$kHDK2A(l6}NP1K`Q>_=enNVNDuUn2LUVz}y8p+&r zG_b=p(QGVzOlkQn32eLHBXBXPZM#-Wlhl8S3gDXLLgE0st^@#PQ_k*|Mm5t63N(vQ zuPoJU`#f;ihkG5alw0EIn$&T)-xGl%?h`p%Sh_`>W$&Bv5G~ntkjcc4C>1m-Jl)FS)00+P2CVe)9 z&a7SWg}~vg{R#i(SOUM^z!EmVjeX^br;6&Hm_5@vwPj2zX+db4?%(251*W~yAUj-b=_gtq zjvQOJc-jIGcn%}=g<0m|7Nq)6rFhxi>=bBY{Eh`zQ0xnbp~o}47Xo>U<7xa?3liuS zO5Afz>?WpJ)Pn@6BU3vhP9mxwQIW+dwt1#CE%F4n=rSsjM7}-7f}62pf$fCJBa>W8 zK{NMKr++boziHNr0it_A<)Zn(Ikhyv&b>HKMb>=2br!3m#Pd(l5#xb#eLPUqYS70k za0h2-soq4nE2}e4PTh#QfoWY&nT5pWPZT+*LZ{@6GRDj@ivn1mgpEp!7S%-_?+zC$=N@B8aW+ zd9fiYYan`99&zjk4jj=xFYvwjK@md@^4GSxCpuhmUQTle&Cz;Bl%4lv}ipEzAfE zhJ=SMcqY2`szB`pRI_h^jKuDofq-Jj5HZYw(RGuy8KMuj1d6bDCYk~&Vd%A?Jd!;p z;@~r#jwC9fJUL5G4APoaXKV1p9IEzH_>=Z^IDmRG`Q_5TZtTRiFZZBe+rzNW%sOd( zNtKXxVL}s`06#q}pGn|z_00KC*-8A1UQBz6n>n;FFrvJ!r{}ahIpji%URr;^Aq8Ry zUGY2HAKVa1zfohT;866>t=AFLpAyr55?7BCzad2*ZgSNgF7+Wj!*Lr>kHFdMLHF3< z#U4Nix$0f)nRSlgdEdSKFDk3RjWqN7Ux;QvHilhWFjWAV+mnqXMREG-mE^JfegJM@ zWEKT0WLd`wEI=&=Kml_l0TXNc8q&ky2Esc702;}!AW9<7ukX-~`_EOUWzI)j{v3pq zLwB%I?ATeM(Vz3S!oR^N@wd<5yUFnYjMxANYSl%qR9`y!Nsw7kFIr9MI`hs4>f{(N zuZDoUb&l|GMS4eZQ7#*`c(pj1FqW(woN)zY*)Z8JA6*KE7>py6!{K2i59FR|9eTe~KbB9NEXn{85;h2YqTpX8dUXAs-C zb4Jd2`vSpH&7ZHIkAvEG&+Nwy92%^YU&q8k{(s>HaQBRxVbhls+zAU*nkx+Y)&5yy z|2(=l0IQtQIxXOYnvCFv>Qwg8q$hqnjeknZnO@c45?(XF=6?yF2b};G+b4`}6zpn9 zbPqiwZMqOZwAPFuNNq4%-4<0js*tp)<9(F`%34Jy0zIvfbqKLRRw<2;h>3R4%r0(5 z)m#EL>3DPn?;3jz0-<&;Fr99DukCG9O1bsK|4O^LU}QEN8U#!8;xhF&Ie+HrGcg$- z4X}s<`J01TM`p6viu852 zdmsR>_Ez_iuAftgS;p}7`Dn>dv{iZcvgC!wIL+xjFTp}mbrU%JRJUg^$>4f{uDG>_q5U4VN z7E>riUod9p(lg;?!>J`_Wam=Iz0a4kH;Dd*?iC`NM3Y@Jps&$8Slm07?_}TrUkio@ zZS)p{w89QRTxK;Ttfo`}C6nR5Ny!yk(GTy*&-t3SOZu5|ZA)ySGb@w%+)rQ|pnaHS zeOq#r`F#ZpW2y*5ibi<)2P0FA;O$TLh4BE&ukMue4Yl%D!L(S>pc}44DD^O3SvgsL zB{CyYD&$!U{Fr?tfjT~eX~GN`YCA@B40CrnA!O-R%yg!|Fp=hn6J1Qzri?aoi|`1T z_+K8&Q=rn#<5@Y3%sY&}siV_@V;~UIF~V`3AMhOM;^*C>?1E1v>T9MZQHB+ClX2EUkg6=z_H#|;(F7zHldAAL4Fh%pVM|jzw>DuBZX5~ypi~~-; z9MpncdUW-~%i&oQ{kuEV?UBxYixy%~B5VvzYs2Cin1$yQ(#T)9dT)qHW$P{-3?L$t z+mo6s!Zp^0R`ts#9byeriq<^6oN8!q)t%)!Qi0A^(I|$`@8cM#7 z(&Aw8TvvKp!2L1FN*%LLoejsR|ES>4Hs*u`zo7=c3JUN=7h3FwF$;tsGDc*#td-&9 zEoj=1WqDpNl?R>f-&p17t7o~ABQ~aI$Ye?kilR%*d34I|ront%yzC^TKJ{wYnC^^pH ztpQuJ&!NGGz~DJqI$YW4*R(GYK>ofb=uFnPkV+S|`E=^6LQ86CtH%|iXPzQf%{&gm zx7I!}pf_JtuF0XNy}6vn+v(NT?(6mPdF!9wv0tL|G|CKfgrFGn8ORDiNck+7E|G~f zL9K^?sTczZTs#00JiGmolkueiy2k-0=aoZOKdUbxXUWcD0aqkS<4a{>xV;9SzLZka z2=c4j5@eBw@SMj*)&zHVaVdgj#H6DdhABBc`_mE&lO{91{CgyD^lp` zx5(mbkt&v<~n!_j7$BZgrC za$;E#&C!dBrtMt+{$~_PVe(yn;7!dczi(kc{KOP-0P!kL``rE#m#Z+!Z*pU**8b}6 z)@&Re?1#9Ton{*H6_l&I!0UD(2QE~rw=_VpRmwi`AdX_vbggBKvmBX&pzv}CKS;A- zY;WQJLmHzei+Cf;WOo#lK=rx>MP~k&ajiMoM^tQzmYQ$sCRGm5$C3lw=YAD)b|?0? zvXU^7nok<8M0FRf@FqPE&ygtW#`Sl#kpF?ncYSuiW?#-VJ`6Ve>O3%!`UX=np;|e} zkFr>32}aql&?2jm1~Jp{tf=HC4m&I=wN%)(rn+!;FiwgLh^o>x z-X#_Ub!UBer9pGhmX-*;64wH~yGpSj1c zjp*`ki*UeH+k8I}=Q^9B0>rkU-czO(^l-{K;hP76!73SYHsGYTtjGgft+uxznX6c6 zUr+*96Q<&P>a{AR1v}5Eb3OeT1DdnLf9iocBc^ZzH|d6j=#0L_w^E40B|R{at&RQY zag3{sFhn%VhBtV0@&sF++vn}aLptZ}xkQ3>!-NCbl<0ee`aV@-+`%COFL`t7ua*bW zA#BX|K2Ges*(7mAhVuy`;`3ojS4d7axBHhr{Y`E-_WUxA0~dufG(5ix~8FF!R32>JVg zV^DkXi{z`(vi%!=pq7J~ed;i@{U}Z`15q01`9ak`o##my{38fri0YKJD-?&0?!E*f z%B42Vp%HSk(`lguZ8}gU_v#3NS(YXqh6;s>z_aDISh!jOE;1BVFbRLoIpt^?~g(L#B@rghVHBZX#5~ZXcK|FJE%S>?6V)fq>X|em* z=wm|M86nQxkbg@oe!yL?bL**;y0huWyoR3-FC9OYodp$fwTJ1q#R{tVwpee&7%-Q_ zX#+@Fuo|l077I$5z(M{SUL}ANp%(y#MZO)@e@&TyS}1TuXn%`YgO-M;25~?A6gS;T zY{zCi*cx0r9f13LYrE$S(BndWRXb$Ni7}@A*A7qqyG}CBv|8k0E9#&sbj3D}t2XR* zyrtOIwRbvIKt}E&tV0;Gaz{mBcgR33p@F0mj9riI&z(Q#oRYUIkQeE(uJ zxRo*{qNeO?s-n_EN(HEi70*EOFIBag1*rQHpJJhga5!bKnw(MsW+l`JSk#`i;BW_# z;P9Gmxr}bujeub}7Q}Co)N-7WZI-$$-}bIPbAA{F{#}(rylCZ0xEkXFZu)?Ir7G}J zgbc!GCM+pg#M?VS6W)d%X_3aVs19^-(pd0+86CVYm8XPs*Xoyqzm6^8m9Kde)B zhQ{c;K!Z=$91-rN3#|~Jo-cI!{FKqJHGyI#7^;|U$D5HLlBInGEYKORn}!giH9gS4 zI~5upB*gwkSoI9>wmkEm1{iGZ){F`4w~D-9ib0-&R7V2*lhqQ?sJK&x@azt+4W{3d^^o_FI zUZ4tLRZQrWGjW3CGhjTnqvWGR)boe+dR%S;*Op;E!!Twg~~>H zp9V_~*haY0t5Z}knI;ldy69J1iAo)>H-A!&2BFc}2$Ik`e67M=5p)U-r=HP?B_bfO z-w$_0I{Aa#(mV1za0ho4AWK=@5lP)qhzAbDialJ}k737_;)JK%6L-M|lkTZUEX`(Q z&66Xl*M1fg0&W*`C>~35UKKZ_N;2awN%WEqdmx)X4^Mjp0$?k&$dAmTe_gY(*qK6w zz}~%R*>;~@PJRl5H>>(HIO#@58a$C{&L&yuSHs-iZ{VEO#PBITIwbMam?;F601L?f4 znTJ$6)q3Z=B)cIoyCHL+H8fgUW|{NZ9W#$LAr5yA1SQK9GvI<#(Ye{(;>rf`%JpNR z>^?+=hk%{rSAT$iWfGmdcvW&uI~O0{)@xRYA8-+JPeg!M1AA`wC%nl`)amK;-~8g4 zSm0=`|9+ZB3pnJ`@<8poGN7l+_DJlXSqOrHgJ^XoTvb;_h9EqpziP9v#!e`j%ww%N z+$pvWTU+B9@{j!4V|H;g+Eq-i&(^CA+0>xNdLj99YsplQP1?}kx8hE}Z^eE5XXq{w ztS;^Xc?_KoZ#Uin_hRy~7rOdylG5?+Z`?0c5{;BP_lc0o7f=S;^-B38o7e;^$(%m8 zLP*1>)$gIX7U(aFq(}FZf8i^t#Qh%Y-}5z9GR_g;&^yVDG2*p>x!a#yG_C6 z)-ecK0+Merl&8>8Q`-M^RJlu#ds6lPu=W;Eef(;>DDLuuV#VE^7AfxT?oy-_r?`7@ zcXvvmxVyVUad&s8z#aPE`#axv*1q?wv({ZJ^CWrmPBN2`WS)7Gw4aUT{#u%>Qmh>p zSA9QfxZu4e`^|f))w0p6YE4e#y0(3k{KSS$(p6B#%>lzPAQdzuYIV=&cS%aPe_S@n*28eWib}>&TE7_UOd)Um4ZNARr8#LAtMH+&g`?D z9h7kW@-uo5lA$i_T+1_I2k|}qj-p3T0V2pp(1dgQNsI^s*EpG(hZjN8TEA0Wj`7io zZ@6(<#921{uX9U?T9 z`uGRcn^@ve*7}U^61WR@Uf9T9#ZALE7pN#Vye(W_qY0Ml1&*G{%1gj|R=m#%%RTfI zXHjzfUb*W%DDuc#A;PKlzMg$=Hy%Ly12lKjHjp*Tn%=q&$#uQ{dKgLngmaid>ROX6hC&q@v`HlM8LflBs8S{jV1swKZuTkF4&m zorA!(+-+6DAG9P0jzrf!*L@NV5v2T)>#Bg{`w9KDQ{ppVY!vWujMdW2Jf_5tzU7O9 ze+O!P_`^mb3;#0f?sx6JKeofkMAq-G0BbL=2GL=Z`Y#J4Ckd$s|5%EdtG^?6J+&T~ z7HXw%)jF;smk;wz+&0f4jN@Tg)ru`5h!k|4UHXd7>sBBQhyI6kd+3-;U3|RpliOGV zX9kP(A^RP(Er>r;ZmxM0|NAKZv}q)k3fo9b^?^7d7px&|c1D~K*Vp{)ZA^z!4#fg; zSqBtLHtCYN<4RxnH(Oed&pV)uH8j$l;1!W z0V!P)tyxPMWYpsaT+V!%%96Vr;b~k-?+wHLER)*g(u;n z^|FmwV~%J&^DY+5+Jxfvmep>fpzXlX@<`laN$Oq@Nj2w8*m28&O=x%doU;HSi)+*% zH|1Tg%_5bCT)YE?<{io*6GA##2HIwZM&@oEYof0D&Jn5VPeyR%WN|%cz26_Y0$IOZq`BM}FK_xNAF?HWT^o zx1htSJ@P>^YRf3@ z)P{S1_RD95*O>ZgflnSx2Yo(~Yj`#FmW(MWl^uQZrsaMCE$;p@d57Sgzzu z>!?sHS#V4H@#jpok_ICw7jlsCLLc&hhMpe@7nE>p)G!$Nadch#jKVv_8W)Pt0`6bmAGJssM%rEV;KJ~R$2_DQnufO@ z8h7IRQo|EaREjCn(!bgd7gJ8Bf4v+lX2;?zFfp27W%-h_4_QH8D|6kc9jit8*uf;p zP`r{EpI@e0FG^8t@%uzW@=(hWPb0QTZN)3`P25WH5Zn~^`IeX!12fXXXF#FT-WW=L zcX~Y-2{FV&8AZ&us{8i5^NV~WzV&Jf^1;N<$z2B(p3x`b_i90=2|oDoqk>>)@7q|{ zvBWAY~eAiPIkDW2X}&S6r$= zvv0bhY{iPeSSjUvYAjnRd|lzTOnI)J?mc@4*1_Q`>SjAmF?r3QD~rSIfu9s&M3vk} z6|FD%j;U3*>M3GyvRZKdKSurU&oVJKmEnXu5CnteN#HJMph)-v4UXEMP7Hr_>b|-sfuM$KYD{#^_zcB7IQIL zN-~(A=TF9o=%0#upB0o2@Z`4N31z;y5}vbw<%9AbP(#d2Z!BC)j!<>^X2#Q^t?V>Uh#SMgKfM!tjylF*m{$K%raRBjrb?)g@z}m#{nbl$_Vi zR(GI=TIw~yADK+VTIv_rfMo8_J9Ywz*T7cxAp;7yG#iW|DbVaZbwL6#y&DN!nm$|? z-4_^%cOp4`!M~ssSw6$5`+ZsdYK)xIIG-?KiuG~j*hQ9uTXT98^~b?3)r`Xx+1I9p z>{M&UKUySl)}bX~Ueih$GY*-iAoA^YCZ+zn0}ZBG!cOY&>CZm$;5j^fdP}Ii0YmHPSMeJ5ps@!=Jo1Ux{$|+`g{3<}UF* zd27l!PaR~A-RjH0?4yjm=(p6rmw04ad>rA3hKIrOGcX{5`^S?xqN+Y^QL4$U8k51# z%@{mM8BTMxAql?2@^kseqr0x~g4JkQyqeBd${@RPolRIe*v^idQst`_%<04IG@0sm zyjiDqJ#2gSVEi+=b90(JzSi?v|MI${Z&hY{I6bYLC7Ax$vN>ahSHuU)b(1n!JpNVQ z^U(gVdNB?}%~Dc;XsgZExXHfK_f0lTld33A1!D^GXIh#}tO>m2v} zdBYT?mHHw_f*YGEJ3YB}?o?2q zF_y|=ML1HJR5OWPQQ%p298BxnAZ*5m<0R?d>Fic`GD|Si?DlFZi#35dm^DbQ6N3>(ykv2*hzZ77Tm-!%2h>R8 ziX-WfM!OCeY(;s=|Hw?``b{PF+g-|&Yl(VGpQ%kx#91u(Lp7fiM;4<-K~vrrtAZ`+ zK-hEE>$v(h@3Net-ePfch(D=Sb9$&fX-Z-GtJgVwesN*ox84=1bUL}TM@REAbLDnE z+^>r>HyO0s_hTGf#F?fke_=VnCV*XAAvY*Q*7oX0ha z>}KX`{dgLxgT6pb_^ykOj3ZLOe~PWHYf;=Pd<)90>Qbl+(*K1l!fd!{Ek+yZ@%ajf zr78~g<#C=Hhx6)nk)9?;)U4MDzdq|Pe7Xg^v!c`biPzsY3-IkGbI(|Zr!V!`D}NGo z@3fs(Y!)2fg#U`YWqXtx^5Z(lOidEy2%@|X!Z9-E8i7#Of2)vBD7t2T)mW5U1 zOC{1(s-@(;Jdz)Ol8?Ig@`S{8jqiO8c7-eIIOB=D_PVEy<^oMi4QZuUaiUm7>X6iN zVHH}a;7yOI8%5>9Mb4sK%v7>Q)B%dnyR+4HSxi)2K~!BURNWNR{7r3l(bw3x=~qO; zp%+ZI5^lw7{qqXVw?<5^^w?=H0%*BH6IM>4fJalW@}`J8?pnV^-LmCC?x17rD?yH< z7>OlhQQ*mXO$9?bR^9jME_OSuc}6+Jr9Sf;G$F>v-!u`$`ud6`z$4n0t2SNePRd3Bv9NRUZG&MpT=v$c2 zycYlA&&ll1LS%2)Zv6vo+r@MBN6K{eKM8HfMY6BMju=wIj#$9!V9*iwFB1Mm(!WRv zVC~>4G1kVuH<7#NWaJCS-cJpYjcx^{duB@}M*zQ)6p{y`3QPVYi^t$Yq6kFo{te1cQ2#B!H zFcW{iY0M^h1ZPDV{BVeamb(JiOtALUpKjveLoAsBaHZ%C#{q01NKh9^nerGArd&#< z8D!6us*0$ORZ*pLF8W#XQ>$@LRV8rT;YW=%LRO%n`3eS~CfSq+n0SGs>!yK-?9t_Y za-hVOIa0#x4lrTq8%m_Sq|{u1v zQBWx=Oqob|RNec7%&9_?$v|1mZmi+|7X6Q4>E~9)xKPK^-zG|xktS_an%gEyn7t58 zaX+cC?lQOKq9s)^oPA|}<~BgO#Xh`=ciVti@*VGBX_BOByh+O>P8`cvsV%Tu-=P|% zYHMjFbDyhC_|+<|SzgPnnCnTz-c`YD_qTy3nhO1O9`KSMZ2j~W+Q7erdf(}I(X z=?)I|@RG=ta4kNrtCSEFQO0aKg~ihc>N6=-VU)R8U`nS+NLp9q1C1e!w5D`rcnFZV zBb{$dL$A%y8%qIbCDO*kj)&aZN$p~IY{Yv+J}ht#Qm97R_YW8=Yf&s9>{i&vZj?$v zQ*wtUe3uy9)36JU_mXqsO9Q{)OEF3#R(j-mfT=@epwJkhi5T1y5x0|S({*4jGNut? z=A@8DgQ(39sIZetBn^mHuEHQ$mhZvVL}*4KHR&svqQUw0VH&7WK;ef( z;WLyOl`dRP8t^f^9c$^S8*4?Ll<$>b$Iq#jBzN=^@6P|T{a_6cg#n_M;ZL20Ad|%g zBK%tgAn(5C_46QBD;+l!vmd}iw>9-`UZ_(phe~=X+v=S0ah|hJ2s|mj3AA$`yvdIF> zs0iBc*c5i9`gdS`DfuBy*gtvMOstLzqy+wpy#RDfsyt8W22IJNs}=@gEz4xNJ80rY z@9QA5S%EKV4#R}}GEC3?I7wZ)M;U5>obWIEJwZ=KMWlKtSg^?9F1J!VBUq5?xua5D zp8oZ=wneX?LPx|N7ikbE1-M`SuPFz6nA!g$j5(qQ2qxf zV9Rk1zE`oSI>4VoCb6PEU=kfhdj7_ZMeqb}`ewIgQL#|7)4Z1Kgw#)S=6W?B+Cn-| zrs-_z5h?!RJ#{tutlYaP{B00fSBnWnu%gM=CUi^ff%m|-b_3xLQ;PY%8v_oyPA6g1 zYhh2D*HIyLDps0S~$P z=a~QW^V~%T`NV<4SorTfw!hU4JZ+}0e|t@ODm#XSJm%(7+V5Qu_GNIY=l5dT|`fY=j94zE2&T2vOV zU9jv%NvrI{9w-?oG+#j@A1Er_lI$n>k_(-rYAp~eYyqI@FZ}s;h~d{Ta9K5DfBtxr z=mBSsNe3M0;Uyn%d1lC!0Kwc0P`>IAP+OT(9x(+#ZK#;*t^(0S;NB~K+r3z+D*ja_ zd&KZ{X)cQ2d$gTMUw@oQrosK*3O~e+P*nhVRv4`cHHJn6g~T44^o|4_7>ZsWqkRw) zh<#6jlJuS!?Hwn%nUBcvMnx7ldgUIY@wo0lW^**JGl~1D(XB1UG+4-dcZ?Dwxe==P zXXNN$-a8;cm~#2MOhZ(PKobU;@O`eY9uykNz*}B@(eR%K0$Ac>SW0gsYBOo8euhTz zV>{RhN@5Tn^(8yv^Uw&87TSXqfQ4xEPZ|QbuJ9TE+r^i9ju6^Ukdl9;g0L=V3jz~4~~AL_k+D(!74Im5nt0v z$f^HlWlsnqP-tc9)_gNKdc4}^6O2Sh12p`6H8Hq57P$ec=By7d1+|?I)RZy=5v)W< z080(TXG<Su@I*AhS$o23?b+Q6fQk4v4`3y7N5-Kxue5z|J9~ zh~<(7#4hmV64^=d(D2uA<>Cg!20Z0re^K-=ioB_pD*z~|nI#Z){s$hs#o8A*rOI*~ zIfXCaj@UdsgyKFTd^()PG#o#mB(|d}m(Yj>vo3m2AO+1XYu=EO2hps1M++*rq68|& zHdBYu1TH!_ZNt(9&a7LQbB{pC>rw;}a^oGa7ZM1vlX%aS62?!kyPAKdi}gV`>bwdE z#*buJ*%S#%w6ei~_zPSZ6byuEr2w%HRXvWzs-3=X+n@83%f~-jd%rP@x;=+jOb)TXiua@LbHGQJAn?4iT zT?-s(M@;d?W_<+#4q=@HqeGb5=X;>1bEK$EJ3o_F>ksVmrd2O}&g+}nj$1>&5?Rph zFU-+Th9Xg|-uUH2Nla&Z-r`a4ctzlbTOWum(_sRpbHFzWzX))$#s-wW{#{}8)0!pBLl}E-971Fx;gNy zjBJy}+Mipx2*{KyUHZxdtAI9cGTH1uM?&O1mSm%!xN-H;3|pQu{ta_1_E+$Abr3$` zH<#`Z$X1dKVH9Ecy_?I6KaX}EZd_;fIP9g}6@~;s$VbeU8s81OKebF&C|De~yxtl< zb1=c$T$u-pv==A9`Jt%$iZR6;VfofStkiEjn$-rPb}H*f%Fpe+k4RIuv0dFG>y?J3 zEGO-dQG-(Pcs?J*tiRFj)N22sVK7VwK|eILCR#h6C=PS{s3Tgc0b2v6s86Yvai4(Ym+2r)ii39lRUh&fBbx#BbxFHX?{X6hy#%v;p zPdL4~Y6j^D7~yulyA+Tsq!Y~;5sPhz`)D?I-~`2sg(4j)wf@fUT?Pb=o#L}B)4ekX$tP8uQ<&+wKu_hyb-!AfR^Uvdp}`1+ zz&q$`!9GJFp@Gd;eo0I69!)y>Bjo|Jzk?^gGETHgLCyBUqJxOj-TMQLzT>>Pji8zX z{QYLpYSh^uoYI%5t@bg^90Obd!qKFn-3cgE2zG2^Z14_}Uts&skBM+#j9LtI+mE0- z6^)$=BY|i{utXsQFv^e6aM;;F{rfStA1&bKN`uZnKHa}(Zu}v!`@!&l5l2JM@@>=5 z>1PqT6?ENpi_YnkkLl_h-~(Et2_rA%4w^oQ`uNHQLKgHZQhfKY(5NFYe6SoAVZz89 zkH{R1$n5X@ID!`SnBWDNP?FZ7U{tga4eFqpGM$);4>ex8XcOLu_I$v!nJahDBn}~? zH}d7r*vAjU*nIqHz8(Ji#OJ)9f-RbSY^d(%hsTSuZ2IqNLut;)MB!5 z;$GkgtOwpx!#y@AXTfuY@;w3B$?Mct!0eY;dQu)MU$@rry}M;^d^~5fd zxF@{UZ6Gz2o^;*_4R{t_3v6wAyj!}OzPfn+bGT9(b<_P_mq63!$o0j`vt1`k|Mh%V zalOL@!67U6bEsc&sDQ5^)%8KfR(~IktqN{kce;z)Yn2Ox*^86$UMymp3=vex@dshk zWP6vaZUYpu4U+FH?Tk!eYY!LiBxmmK=vMaE6U%^=lYOy%@xOSyKD6}-YF&!!>4{xUwMMsR>6<;yqWjzGl&;778n7-3?yHm%JBX`+>azH@Ba+u<-hI9v z0fBSRz=nMS-uEv@Cr@emcht?Ud}T><nAAfT`}}Tr7#@BBVv?;5%_b~9KFPJUN@6|`-{O4c%z~jq zxup^6W@YJY=F(Z~SlH6AL9LG?Qt^0qZUrit22(_M|D?vrFk}gdzR$eFm>N`rr1kR* zPkoj%tXEp$M>rlrg5tB~fwo>BKgK--c(1y;Jr}bLM4>!{$&z4pAvdRMS*!;u9Oo+Y zI?V?p7aZgzDja6^R+*l37}DJjAeJKEU@qhy%-r8+qMWvYw83;Lf;u?}r>*BLI*c~* z{VA(Bf&wvRpu>pTCq>k9oWl)DcK9GSm)_`_UpN|Az%$clG4JW;YtxGy#?Sgq<_tFV=U8tq3owq;hSs$hgVx$qr(rjuww5#y>TOP&V37MEs`4-lG^|Q-Kz%{rhL&%h zY};pAF})t;V7lwEL8dKQ+Vgy={u%`Ej`fgv?KT{!M#%W)~W$DRyH(} zyK65NCOFZ~Bszw+*u$86T+z|yQu>!zbYg>y5>n-0pWmgS;Uug9a25V0uvo`wZCoV{ zel#dEiCxaC9J;i%=QuIm>Cg3cw8&idN4ukdcFv{DR8wC5XK-{7+Lnk2AP4f7k{Vd29KJU;Kh`JQVT!g(2E)f=36a3^{ zcT%tX9@4<7hScZ1zNBcn04ye$g913<8H9qJ%eH{6r@4T49r|s7LxUmq)%pr#s6tW4 zg@Qq=pfP`;g}~4iMe%ZAlvv0+g}t4Nx--draGzxC$W!99y)e&`uZUOp&hf=r6s#PJ zm-VWSo5PN3`|@$;;ppz@V(S3{i)5EcUt0E7t(sxHjlbQ?lM9=mHag2!R?ptko11{Y zV@httwg(YrY)YNkz*ZEPuT;N1R@!{+@n*}yu+01VsQ+ju^){lzaP5z~;*w6yuW!Dy z*iW!a++=X~8c+=M8Zs&$EPWy$vJq=YKTW90z&1r32w<}k?8_BG>JB?(r=_6WBC-$Hsx3m(Yk>Rs(Ss=fZ45#cn1CP_81(=I7_=r>HZdX?hA}uHiMR_i@|2x?JIR{Q zK||5n0PVb@5(?I6x#*|Xd90Sw>OdUOU660t#Y~nfue#>=dJWh>lS{E)IkdeSK9*pS}G9=qUkSsh* zVlpla);g}!S#xaEae@uHTI_o_{x(?DNj=qxm_P7DH?|9FAaDUjM6m5D>vzFm`3>&{ zLes$i@qEQQOq5D%c+Laz!?Ec?^`Uhz0=pH7ZJF8BfZ;0N^CZ@7G2rndNqvQBEW$p% zOaf0>&sYP-_foX`U?=twN1r736b@D-?f2~Zm1{%HRwkU5CJjqNwo&&rrWzOo+tnQ; zSf?(w7RUv!-v|}f4n?b&F=e#hfcl~jh|oMp6m>_>*YrE{0ZfE35&^C zm@&)yxDNfN^%r=znRofPmSdMeUnGrLe@*Y0uC9xE+Y`gf~7L`a3> zFBe$6+NkkUG_EQv530CGY8l`I4~yruPChJyC{SW~1aL@YNA4gU{lkLnQ^E6N1HIpS z%TqfE{9TZOdi=-!U$2qDD>&EpCUTBzNB317&wTgtmutXQ3%=9295uysWmLB1&O;q( z(zHYgWOwK`hqe+9#zk`_-MJvmR%`A|A`vQ(k*J5>!{8%wbSZuu4!)AtWt1;C*r|(v z&f^xLRw^V}*6zxn!1uzNy$n%cRR66>85g)&h+LA)8kiS-D1%Wsqa-E{ES}X+y}RQs zjpIP_PL%aS;5|ngyCRNunhCSjW=HL(cWbdm)P4v9Pip=N^SJTIa|+_d|Ey}3>r$F%w8*6hC9O=PjZ`nCBp~jRVl2plvBa22 z@L-Q+EX({mufZ$G;FIrJW^8HBk-4m=IKsEDG(jK9pyg{Zrq#&;jcYNwL+rV0a&YA0 z@f?tQubEduEz$&YtQdOLQXzJ=LVtbGXEmX&oAQ_hCRUfaGEt6IDl=urpd0acN}8o9 zboQ}TX10}PkzG^%AunC&pM3Vhib2=t0hydLFLusr@KwaVR6ZkQ(@!hZ3P!a5L(^oP zUoKNBecdMy_k=Mc?J;bF_o0}~)_#P#br#ED`Jj6Cu#GQ`*UEf7ti|xA+ttPvex6ZB zXCY78d_CP#@4`{+{i~OWzfu=gANXl^HxD}AZhvy$9An2=f;qJkW&!4#Vfcu#ghWNY zqoyZZ`OCl}f5Do3m?kP@vs8O1&oKE;fiiT-nntWH{lS5KzPQ+ai9q!N<&W!}^M&&t$(w>CMV%D5a^CO8I2Zr8TEIdugh33jS=OEKFq|w#) zsrD&VIT|RgW+OM|jm8TK%N=!1i3G~REajJFUE9y%ZO#fe(C5MTT>`2gcQq|VE#Yns zc-+tf=S$m@X6no)nuR*Q6`7Pkzv?A=H8+=PK)xV`lAPQ^&R`b3GbU3vf=llDajA4D@zYN%bwwXl_m9 zC@yQ=6OEe8Y%>{JR~6~`@5OL423@Q0b61rt1JmV)VjdZK6OaEOqpX+F@Z=-+?p59x zvan&0wR;HW7ic7M1*%&Y&!p@R!An=FI!vpzg-$D3Vy-NL0hw8Px}@|dSbC;Ij&T$L zw^o!k(mJ=+NBWZE2~lP7dN|jQvT$9UBJfZV37^Ry~l^MHSAJ{<2{LiVf@caXn~TgZ}I{=46H% zwOB&<7zfVE<=TGYtJofY_?S0N#;ZcvubGte*A8qCt4-CER-L6b|S9)S?VG?HcaX%+ze~RrX{>^lS zsC9*C1;fN)I(hN|ChrPaY!C0P=mic1%**PQNm&$e4tIi#Z_J?Nf_tX6+xnT3Y zp@`k-`oN_8ssTcK_~Bz*I15|~7)2MgSacY97YSH&Q2)k-4hugPaLdhvsO=&rkA%Vk z4WdC$$n_&0hF)9+j-)LM35MQO6^oQAkw@W&`k7RTXw5AUji`O2L(#Pfs+_Nb!!`X%4( zWxBSeMI}q*jP71+=C|iH1t(?{oW$l%4!=02{=>yFsZh^*G=E@5ZIp@kBKS>g!Ei3d z(G9avp8A1SEX_$-nWG`fj+yXzuq-y#RHo%%~H9dJz!@aGT%H zbdUi4(&NbJP1PM6P=(O1fJ;%Z)&NvwLqYK6{6w2e!QCYzuC6pfq?7^bDOY}K0V1`L zOx0V%b|hPy(qph~`-}pli9y(Ts2jce!X7Mc9%0TdR~$^-ViG-^ZSXi%MLN((M4NQp zkN}Ojb^QWj_Z%m2Sb4igj@_ zEi8*m5c3~bi%97B``GpP2lH;26!UO9@va(Whh;?W7H_MfsS9HcM8b*Pe;Ni0NyYdn zn|UB6G2@=IxV_*MAi`i$3$KYwC$1+P{6=QxZb^GWl2b=yJj21`^iPMv!OwTcy&E8` zDg}3US$s$0dwuReD+ED#9;*-_BC|f+2nl>sx}eH4k&zqGtW6+m;_6S1-m!cZD0TiS zfKN5m!3R+Y2kH;`Kpgqb{7vA)DVOgnuyGCr$0sCB0S>T_iP{ivRA%@aX}Kqg1Lx9J zO$tzoG~YqK4n zU`V_#%+C5&4CP;Hv*V4WwA6Evg@Ejob`gbW&8L^h7I)!=fCS%i{rDFd{vri{@-BgI zIP?hR7O*D|x9*7eMu~d%+WH9*`8szBAKL+3W_Ms*DoMIO(y`+ku^H$ED}T%ka-fty z0?@Rp+LnDpe~G253|*wl^%67|_b}`oAhL|~|3zxPV@eGaPQ>nG1|ex?vVI2Yq!$`e zP*{VU8N?h03Tuo|UODa(g$EY8tJdYWgbR;c-q5yP;yuZpILd-bFP}rg zL${Qv@br^~cao^!?x{!TYFVwo<8zCOjHrWbxKCV1|C3=|1G97cV|()RxrPf4foEVq zPaVVq$~K9Y*bnJR&QN`lnPkC$L$+XrBp9@zIbuWP30WdcG^t5qp#(gU9~%B}wU*J* zu=_zdp#eMs97w9<+u%)xKS)vaY8Hc`$;u7?Cu8Z9WN@cPkudw4B_u7ZAG*yD-wq6lAZLiwfu0tkJpW+x%g`p>%Xy+9tI*pG=(BZ8Ncwm zO(X5t`n}ltw?#{JhkcNj6Ci(HF!0q$mmP^pyrlFr{kolkCcYO?#1c6}(fe*|{DW!u z-1T+E|6>#7t*aDR{g(+xev5$(mhT+toD+EHeA~>|4th*PzzT`|Mc4>pv7P^emqfyw z-G~SZ)cU;l%wZQOP5wWq$!4)-sqIIW2P>~TtA-a%s~lvKYQCGDdk4?V$p+UOnD0ir zn2Atu%yF3DnELG@_PtimY8A6gv{>_(psG6w?%WMM#t zT~P=D%}b^*!-niRBCt-QEb>HsZ}>l0NY43*XBe+~Un2H%bI3KD^(`1a%k_z#iFOm) zL>C1$BJBHz)&N64@Wy?jwOzrs;)El*6)Od?RPW1!y?!oNw$9B_HHbiK98Y}h&_1vK ze>q5U(V+I4XIa98=B#6oR$lmA}qd zs4&dhNACM=84QrH^TaB^y3aPb!@G)nkS>(Z`klRy)Ut99O#}VR!CXJ+XQm3jsV@GfZT(A`KPc=hUu z+9^zUeg87-@^PO*QBl?+cxmU(j!UENw{7!NB$`lCUf$)v4Y9C)7HObAngg$!s2|o< z8iX7RRzpE}?@e$_GWN!N9^G8`CoounFC)?4KT~IYrVb?A-@x_4i&sNa&_YH;IaEXI z2nhu{{mr>%X#RzviPX+K`xR^3j4$k@;JUj5XwCur z;&%n97K2Opr}x+{J!@vP==|@ha6Ge$CFT?MpJaYHYH%2D(m{mFiV6u9oe6*g$?a71 z$jIYbTJwZTb^w8hsw9pH|MYzZO)T1|OhSWW8wmr-bGdjt0ADoAlNP<5s!Ur0@>=zc zain?F)6Rl-Pg~9egMTtZTJvv1R44a9ePjd(%Yyx8ThXqUoU<-H-RGy1hl7WKjfG!n zHhZ~&svy|ynSM&@eaCrKPsW|3b8hlTtYK30p0zu^ z`w1WB8xA}wSNH=aOxp|G*%nE@-sV=b`07jC|0T!RzSDCZwYb52aE?;@@Njb{ z`rV6|dU>lxNEoS;WZ_QB^+Jt*xy`f?2E;F3^G?sQ2JM!>1|>&Kk$OY0nYRdXsn>wb`ruOV*jG z6zed_zc%@m?EM^|66m_{LzB)i=;@-KXYCj;QXV!Qp&|Y(2mbyW!a%aJz$)>D7yr7n zDa!y}yufNU6r-L?roR5#=^3Gh%mfmeXetRiuIv2*oLP8;Em|6QpBU$7nMGEyWj|;# z>lQy_07cIg+r6kZOFKO0Nr$pl&kcGpZkc1Xm&Yi$JuQC;X*vWWE`)pffI^Zc0O zA;L={!qE)ranSnw0B`;fmeNqLXCff8ck?P0&GOwU`VSb)nqQs2eS7Sf;84F`!MyNR z6!=_Cf?*&|zy#%`SIUY`lqNF>R(=|GUQOlsg73O1E(v$>jlviiZF5v=03YBWqa77& z3??g!ld5Mh@Ha)Q9Ph`L3bpeh$xj!=UQYJM`wBjouI~+-ur_(Tx-J!)X@ zC3|BP{`DQ}6XlTPLr6Ne-)dX$Z%AdR5wr@C4%`p44PxI&_kL0DZSR zBvv$oCmA9q5do(NkVy>yi6%w2W@X^zI?p`!cs=g(EAjS(gfQKCK&T6)uI8`7le8>e z4?vc!G)6QNmor5b6`k!hM@`e&3GwjonM-4pEY!7}6(tqzFU)OZ;cgnnrwGFwcQ+%4UQeEFEa@r}-lrdok z;GjME#bh{i4#+hb9D$KB7W$#V>U5SpD{Ln4^2SxfBJDq)@(z$Ys}2fm!evENtl9*F zktQL48fE67NPTFm!DQ?p4MOq2l$XJ*;gduwL*3UiCEurGepN?%SI~Vx|HZb7?eu&H zLe5Ole_C^9-2v+(XF}Cx>4oN5J3l+eRuHF&tpE72X}56dIt}?B&Q1rK&R*I?Y4}-b z_}e6O9bGBg(fjy!mZ6cCjh!@EcA)mwu9kEqBRYriV&F4Ky|Wmy_zmBI#y!izJu7{@Y~j@`2l?_G z)!lIIxm?81S+{|F4{n=$*RLJV(W*deGguu|TQp@{bqgPyz8ASSi`Iu^O$-(RY$Gqc z2)k0P82Q|AnFQ;qLwh<&X82w21jk^X^55SGfiXnRb6qMqowAkCuJE3xTQV_3H$5Im zeI3Q7S>~QKyS4KBQ-;wlK9Sv{!_DE$3&Tb8O>b?BD|vNvs+7#dC?j7-@dB9>OD`bK z^f3IYQBVJ@_DGi)7i#tZo^n|h`j#%FTo3lj#u6s;J%GuCh4oTj*dh1Mo#yf|wz*;Fo7)Md zVqtsY`WCqP5zu0HEd~~8>F7`$H0CAG3xq{o*EC4ThlO3&Gzm0@7Zh$86Sv4Tfo#JN z!KtiH>An-+K~3}Ya38^&fMdKSBd%VKPkn17*H%V zXps087WSc@cuJ>Sd1N27;dBv&g>7MOcae;RU2Sd`lZl0m{M8OE4+~rMt04VPYq3Wk z)v&N{wGA2?VTgVQsxe`H2bck2VF$mH!f0w6qOEZoDzbE)zAQsIpn<7l``89*)T9u{ z@pg`QNI1z?+%#P1>0WbU6XUU!Qzdumtr(PBSE%#Pm*5@$M!r2HWi4m)TR0?*`o$PD ze`pj8c*z09&gMM5w-6mw>9p{@pHTXaXmZii1hqbloI0rmn8S2#DiY2{{5zDxSiQ*E(@}{Qbyiev&so`pUGH398g~AT2nFfn6 zq7&~%==)m^#g{jDbJyK5*hOn@R65hX+qw3q3?BqG%d`Tx8~#) zN!_Qe!&_w)JO*lR#WoRsQ(Y_cB&b>yBntM>!{z$mEK3?E>&9>30ReTuLpo;@J<6h^`5avMaqcDd(fm&?{gO0rD`s`!<@)ut%Ey77d*l1xq@h zhXD?UiYIv9U!mA_$A&TIzkOSl5Ak)yLeBtO1ooc6{Z`u~DAi7G7tcds}Ii576H zNC}PZl}|utCLVyE1Bq7DhDCGA6Mvi61L#l{k^MrM2w?{@LN-`jZ!^??I+x(1MVye4MN^jqgW^GRmIknMEEpi*Dws9_#_u?k6Lc>;)jd| z3z2ES-2)gJ&LgpaX~jSaDpt60f-124ApalU-ZD6HW?Qf|Gqc-lx0#{M%*@Qp%*@?p zYBMvpncZe)W@ct)Px(9N%zby_zF!kD5vAP9R7$E)*(GV!+9^nohZD<@+EA}Aco;s* z4Jdmg_W&DN3I(hR!W9eH>Ku%*Mm2^5p?MX19SEU0y($F)*!lc@m`V3dUw}Sb4Z8y& zmJkio*RDu^eZ7k=djLCZYwIHK4#+`q|E^AY605JV6|=?PLf90fhh-8A2Wi z=)9E+Xhyx4tEO2JU#zq=07qd^N~ubOI|v5$VaiLAywBxFH}yX6t>wLc8!Rj?G@ObRZlLZO z$Au!}a7_c0GG{EX#Wc7^xKKqjtmC*);epNeghx=qfOk_zhy>U5kOVrq1>T_s=61`~ z@NJHrz?vnc&2cb)D~bKQVCwb~1b6ZfnH9d;!$0oaw0i-#kw9N9((Yyd3eA9R%yV29 zhn9ZphNAoB+AYjnW~4%oG^TcXv8T5x->f8VNs-WyP|hJsREIS){!)#_a*QzQgjqbF z4t|D0FDRH+KaLk=24Fa{l&uj)h5r!GPl89e@fX386dwY`FrXkJLR1gV4PJAfreaPS zYYU7^Rbybfg%LH??H(GVUYZB``#>e(m3;91k~890Vr=X)&55d@;6WXQAv832EI72J zIE6n7%#d#JD8X?|;B@ihA$nsfxU7|6U0Y&aE~;q~e7Aru0pM@h%xa+--~2yQWHi3{ zSOUH|TsnU9eP%bNhNQAx1S@XZFA5VSfn=iA7eo>ms?7w7d?(6&XU2YS#(qb}{u7Zu z!6sX>uH#ay4`Ss>HYFtE1G;&LoBMYa!eQF z+rp4(wKS74a>wt*jAUo}M%zZvJ2&Iu@y_1vy|&IbIw&s6aIXH-VvtIjOVwbd24*%| zKl-qLW-SQ5LZ@b&USE88 zd+OgF^wYE7jB}ev_{W+s5fyAdx1qr|osa5U>M7G`jG_vvCO9D!8dARw5u9<@sh$() z>ajSim3G)I!mN(oIk?*>!2OQiLv(n3ScnO>>e_2o?|FbhSyvMv&bHJ093l{@=N8W; zDUdgeW;x;%9u8m1G5%pA&%^{jB3hKwL=vk$awGD3v>O6WlH}MO`ctPmli)IP+em2f z>we_v1EfQ@D;f658#tS8%Q-AgZ*_0(Qb5D!&(*OGU#~FV5NRjUxR5o#U^EM}$zdKp zZ2R2ZWiQd#Dl(-Gh_X$2ceCENI;-k?;hwusQO}0w1UK0LyF2ywMW1>E&K%n*QZdeA zrAXa{=cG$LN`h$rmZz}k2F$Z_jxv>6Mk^U?twQbo1LTn;mX;D+TXd_s!PCLKTYJ2*@G$B*)nR?eE=gpMFM@6Txb@i8lRQFQMB-ZM^Zb;l2Avz&OAc%2tSr;y_ z5*U(crWLnSt#RgUlQrTQ*K6x;wvb*IE@!^!j=z9Tr~%pmF;lSirQ79@Xga;#JRZ7a zBe3B#_XcJV*^v0sAE;|33Vyg5u-Iw224Nt^X+;g9MGf;qWvQjTY6Ia|bizs`@S!5n zfGJ>7J*PtrK|2gs>de^cZy37V`$Xvx!I6< z7SspF&diu~ORS;@vd1CywBKc3RX*z@ ztnV1Y;9=(q0vj{%d$|VbRr9~CnTFcBxO{oz0cU_ALsvkwr&xZLwscuyZR{ftreOvA z)9OqBq{Ru;MbxB$A~P!5vzU@*An3u6(){4yU6PYf5@|;A@76fX5NHM=T$FM{3a)4I zvCUb{HU_XurPd>;(Ue?OG9EqC7Uu*B- zaz*NW93t-@H)GHVG59cF&*$yrK?w2)vmiR5gtN783Dzp#5@?PB4^lXYMBx$x&)LZ@ zHVN3IwnFPj{wA+o*}P6wkJvJ=fSqFL4lpeD)?cyw;^^!_)bk6zb_bIX@P50hpZ0^G zSPrv3vMWv|Uy#JT>4`ch^dj-&>);;Jkb;}>i0gI%`#o+BUaAl$U>R*3`@kn+X!wS( zLtv3b3F2xE$LeymdVOJMx4GH2DP(u+ouQ|fwSiF88D}(!d*Cw&5EIvrMSh-J!d(tB zWRjs5%@*`Hrzxk%#=~5yXUoy+vEjXR=UhcA{VKxBQ>xu)xY?=G$l9FkdJ4en*8}?32;LMDjWn#-3(!b&D8a5moYEI!MD>k{QX z{U&!C6?L^o$36Hy(ikW#qh^1vjs6TK&aTT~NQoAyjs>m-fu&(>nHtFf{{I1hINvue zA-#ubAUuLl006M7A9nKg^mO%o9W(+ujVMo?xlfb?kq>7(vId#XkhyCKfB_}6212!} z_4}gKs!xGMC&FPd2$-xArm&2IwD?(@!Hs8B`N?d+zpo9`afVUj85$SBh>Di!mp45y zKX|_hxPjvF>U14qZ5H)}1fMpPYYc2=U;0j2{eHd+K0lb~BtU?IJ*mTse%#F70O)PA zf`~DLe~Te?_Ix7H$Eg7h;x9h$bg()hSeob$aE55$?^ml$^>+&DJ$V+}jR$2`OU}wj zzZCr)8sZp%HqcO(<5{RGqrFE_0wwj~2*$PGIAYX3kjl3-Il2`;D$n z$VN1K-f#Yfz$;z$3CxY9P-*COq!g-V&l6hlkWnOZZxql(zLmj$hy>d-pi_m6VUTMP zPT*-cS??W_(gKAtN-7XGJyxBq=HmKzR);$A-j|yb2H@VjTcm$QH1Jo;G$<7JUSA@TtvZY>G&R9 z4O~qQn0xp8*LQdC-j5ERq_UwQgXA{DhCf!59^db7?!1-p8sg-PWi}K6y5X7+(xPmu zJy=M9)-fs;1A8vP;p}XDN^15&Y(Qpazb)j#r;OJ+1KqDVD0 zU@Pj?vHINx}c?g_bm?FTBDd^Y1AF z6DJ?1@q;n+!~Yim?4sSSrBHQ1Tw|sTsuMh?GR$*k&jg2;bsfO#(C#{>v9#xm_?9t| z2T`o3n(>48^!;)0z;q3%_>aX^>bkYNI4bwgU;^?KI?`tKen-k~Ps;8O#lUhY=t}Ht z$3U5B1ztAxeWxHLWNZ7Lpq&%kR>>x?2+z&DH>rtBRPP|I7F@R%ut~ekEP~GmTs)Ng zaDrw-V>ebxyFJj2uBv`W>04hJj|xs;cEdqV(?QR$Os{dfMT@S^PY0~zj+oba(k0e5 zU_Ky(+Yk4Mn<7lfeOix&>IdaC=>=ZkxZAbsHO`)tZg{YqX?QUIBr$gKGDLU2VjJ{^gQXX2k;gD+zp zGO?S9JC9J`5>l)V4=M)<$xS9sy|^J~|7xVUfXV%dPoSpi03Pg#u%D66bl_b)J#BYw zhZPR$;^gTL{(EeALD>z4u7QMJE@wy_N&|(L*pG%rz;5LbP=|pzX6Z1kiQHaNwFb88 zV9=%t=6wu%{Ue>XrCDMIbe5dJ-@>5=i31GCG*le(>o3rD{KeZ;F)5O3=A1L&f|DgML2Mm}whG35_L26o^wUDz z&3L-uK=Lvh{-pZc6)%hUrRn_7f!rc5KLt7Ks}uM^*8kM$Hv~sGIvl2jtwY=ahH%=c zOE@+j@fzL7^o}7dEgk;sjv*^8-3;Ij3((RT&h6w$(uRu6kBbvjTRJ#YVqn5rIS4Sc zQ#mRyv*@#}%!@E+>Fm|a_akZPz2CgN@veV6o0~gP#V&4AHJfJ_Y2@s+zK8@>4Hk(6Ve?j`5YiB(kT)f_0#z|{Q|wRdxzKxS)$l>6Xzg9?Io zaK*VpfJk`JeAF0Ghl6RxMmJ%r4ZwiSg%X-)6t=;U^&@)@PW@1zf#DkArML!TH2vb` zq5`L=Em0IbPDTb;3{da@ol{#Sd@7b`iG`ghLvFRk4d(J=M`?f)WdcU-SJz)EVJ9Sr4YwP zTb$6a`7iFL^u_)3CBC>H?n%}wM33}k*04>)hvPa>!^~MDW+!^zomZ(p++ZMO>Wt{XR{a&gGn#+NnW(xoii zPDB~gJ~J$)?jGy6eJl@lrL%1J5qc3&3RfVltAjT^{7BDywR3$40xNby%YXa9ZjwE; zj0kmYK`Giqv{PERWZN~yg0>2879tMd?5@39WTE(gBZM=-BmgG$MFAUO^34CCfV!q; z?PH-LbL5WcWy>~Yre+Fb6TT_yt$eR8ey&;x%Qi-)W;kOLtSMj2aaI49&D4*f%Nx@s zj*RsSmoM5E8MKTI`Ck}ty~SUeY1RCHGr?Z=BMRBwM@?x;(Oox9Y3ToyMgf=5rqF;< zk(b4NtwQ9}k_>IWyFvKdXC)b8$~Pqo5h_a6NR_RQ%3Q$llN3LMeGktpToUD4gcEEB ze7yBe5ppYe=8Ii~GqaIP@22AMg-Pr5Y?T@h;^QEC3U^=&D&|ocJ+%MDxY7&nSSqV9Z3y|YI zK-7vHlL{KuSqGW680M)T2FRB9n#u9VEcvJ9pBa$EAb6y4;>zDZQ0~MRNq{mi^B=sY z_YdAfcOcvfy9fg$9EAZGAQ%oY0H<}k{(}aw4T0*3Ap`UD@9hco=@*m`z|Q996HJgF zT>-)%dhwSw_%m?`zzD06IRLA=5(1!M3Hng2KJ5edT5^u4yS9s;*xCxM{1DKzN!*-D=j2jW^9{>hC ztC_m!8i)q%);HAp0)UX*u#dg$HiuBsq~XC_`_NqbKw-_;7rz_=CqHF2Sw zz>I$J5JCn@L}!u#N>r}#9!l6jEXY902pML;!62l=h2jK>10YBmC@|3K_Wdp<_kc_E z?)5j{KM+4AAaUTys@AS4nn`83DDU3>0uA1J1j~S0*dZ9S}YT^2ItNll6@&OKwbMC?PSBt1b_*5lt6LH{#FO;3YHrOtpx8O(w< zbud2>ONE`-O#c#^MJlwWI${!5`!o<1*iT0>ai%Lwp({$EFH)x~Qg07UtcLRr;Mxtc z4Basb*~#o900kpBqlO(nlGP2_8kLV(z4Q1y>ArK3vAsQlT+5SCJO5(= zH)-?~h%6?W|MSr(MU#`hil8_-=I^W7GuALv5nlO!r+<1!>Lov1H+%snEMdp12m2J_ zX?jc2z>;p2o=~l@F>*3H#LEuE!_8Sub3USYC!USGOziQY#O^p>W5ETxDw$g6ANf!|}5B-qQ%OXs-Fkb4>%UR9=KC+HirH zxHlr(&5f5hd-xPwOsOSZfxK5LaX<$1DZz7oXc8o)b1<6ZSR8~-2!#eRPQsrec);U- z1eS-;?p(o8q%=Cr34GoFcjSt6ST)tKjkmj*jNaBqFJCt!n|fV~uuqP_+4Nhp;2Cs` zH&*ExFFX|GG3dPQr)DWfkS%+dc^;uQmisR>HSo?w&l!(?-nfuIVAtlXNvo&f>pr6^ zyrbR|)w4rd@lj9f1d4OU(*1q$rm8?*cyr=4*6PE@W+6zO+5`qkQP*d3)Pz`0Ug>hg z+H^oTl^R6CVk<1ct3kh{YRm28izPgU1a56(FLhfqVO}}hELeE zE~qLSRdrisu%^U%y~Z~ByK&0!8?OMQPf#B4{lx(=p9#k}7u^qxhdB@JmhqR|9$W_b zLOT38oJGg`fP-3*dMU<4jG!v13ld6XedP51R`L&5)Vr8O0azQ0K4kqe?H)t?aIf)E@(6qUa z2(e;9V`Ix`x1y6=^ap;yza7>IWa92=4G%-lA;Dg!u%2xw*qE%?oWT;xEwt(`8gPsz z5>Z3lU?(}j$`Bhu2>yIP*78KI_e3t*gmLifVUvUfG*MyUWMF(FX|O#pQLxuB7eE>u z!_16rW?}Nhapd;(dOaBwjE&jtZM60}?=-mQT4WTb357vf%@1rV#2FImWz{PuT{e#O z2ylDzCL?mlitRrG#BKT7+tj&}Y8&e?IVcTl6cm`&3{Y_K4Dw2Hnkbn`Wz8i51%Nad zji@9B4Lv9}hb)$2C@a3iY6?fugW#r^6`OyyL{8+uhEOb*u~2ACfsZpf2}WX3fv-Bqu66R+CUqg3iMi6ZY_C4#8YHfp)^1D~F@E%HC%qjz}#WD684ZYg*cQyiMbslRY#(-0XO1fC$=P^s^7X#d=;my|Vu;si9 z64=HohLd3wE;eqr+V3~36I#fMW-@fckh#KBtAjuRKlStJN?F zAMzpS^jqv(f}`;ANX-dp29j7)0xz}0dbUy(L3yXVPqN6Bj?Slk&0I|rfA8-bRwnF& zA0eSJMx=;Yo61qf#!R5fceXzEs=UpHX%iH_CY8HLiaOh(Vjq)8umKNCtK2$ip}K*N zwQmD_Ay8n|Fu*jzG1M;2G5y>38^{1*IzWqM6K~r@eEO{ccLPBh%SI6pfthu*iGF%r2+zdIDCN`DbFG6NlxhSzw3BA0Op zqRHRd9(Jgp!e4p?M!Y#*#~DS9vu{WoJu*_cTh;E|?Ckj|=mLV%yVZY^u|wu3BJ`q_ zT3uu(-{yPH;`iHQ=+*H|KR#R}j5#wdT!6X+5IKE|f8yiExXB^54!vSfr>U7vj>G#s zu|k`MV5sB1!|LOLif=X9SsdqA2aC-1*o>IYg(+kRjo27(4oBVD`edKx2Y3j(f)-xKZR7Dm z2}zh&W1Jt?OPvE{6!z1zV=5e`Re*lFWxj04?l#Fy3!izjThC|kSVx$nqA&4bbWW3< z!+jSTuoD;!ay3L0OyzXOP)iv`JtC7f_#K7MTn=fDn06+}OY{umlIt1*CuFD{3sErk zH;^oV?`Q0fMhIHa^$mDWS{oPu|M-`*Z#?}$`V2BS#pg5xLzyI?6!@Wu;>n1Fr%8=3 z%?^JZ;koeDWb?knC?h%;?u_zecO}Lau^qb!LRGQy-1u9$+3wN}osRAvAHP0d?A}Rc zAR)%ct^Dq{Y^1$>J>EZlF5$E!$sS5;D}4EIZGI)J%yiy{LI7==AqV6c3-V1A7n3tm z+EmC9$UQsFv!2e1ef~Tnk{vAaCS7gR$kncyR6mI%G&F*)uhG}a%d-%29vggxjO36c zZ-sHrTjeKjMRLyL5+HBIaL!8?Ab$iGAddh!G6tXZjYF3P&I9}e1f%#rh5)S*WcMiw zfbWM>2hV><@=jJBm`VC#INci|S&XUGpHES8U8>wYG_`e3(g7(Q?S~M%t>(XQ{o!r+ zaIsC^9BEwIJ-h4O7;7I z)vB%d65LJXCcppr4(i$Ig~&9lqrw!+-eX4c=Mjh4f2a^dm~Qq*0Mx&Izmy$_E;KfO ztsWDFKRfu%W{MDIKUY-xgpchxVZUXZMRIw!`2R=w%M^i^E&Ri7<-PEF88bfKOeCu@ zyT$x>`6`yo9R`|DmI2`T)r9XW2Hq})$P4Xv*CP$8oJLs&<4j#Uba}Lh$qUe0~{X-YliW@_aZ2@`_fJc|U zr`>3}fY|p%yNpHOqi{W@ZC1|u`+e>*(D@KNT1ZvfxP$rv;U2tSW9-Y&CyZ#_{4qE$ zWl8#ffp97y;Rl zjKxQHgd(>(mQ$!Kb#h8IWpB{vpP1VPshqpsm5YmB=bo6M5CJZpfzWQV{cB2r&{VaA zRI1s1!bloOoKz8%6ukBeJ0NDXbSd*Yc@4y#3VJQjjk`VW6;Mwzm^)UiK8_CY1&~E@ zyg&eQ#wh8nEp*-Rmm(&jY6ILtQ#-b(UnE;pzcfofWaMYH>vkyy=Awk>1qB)F)D8*H zKb(!2r-DwN&ZzX59UF>1o(^nnN3csG{dI(Bh^Lzc@p{5D5F1RG%Swa!^+>KR;)CVy z|4*0^7Gosg{^|rf#`8aQ`VQ}iMvX-`v$jJ#P8-Uyc#6i%Dcr2{mfzp6sj1Cf)ZcHd zsa;UqFA}7w-B;2tlBkIkQI;OZt3SW9qfJYPv9J@U@2Rqvr|${Ay<_Nkzi%i>OIMH% zL3d`BjQ5QVSO=_Y9-L5{2*ZYK$XJennc(+2sx^;on;It11o+Uv7{FhwR%82z{UJV_ zK>Wl0LdVJ@^c!1;jLIX38e6dp0fEfmMCY4aO?#K+T-~~Ok`jJ-L}N?O(-GDIZNmJ| zJ#C#mwxqTVAJTLYJ<>KYr!1RhNKga=sL@L z^)}7|B5Ih*&MpEXm~4yxrhWkVk6l9pPP&+=&3zHFVr#D=D+I)7e$9%T=>~l;xpWc$-un&ozD5>q^G=+?w~|=rGqR# zk#W{5u;v&kvxz*VQ2_Z4jCqu?>SdZ0%xtNL;S}1dSCj7rwxDEkf8@uy!?ewRQNJ(w zPb$8CNns5N+ahsz_aGb@0t~$lO^Kr?MI$^;H7am{+!041Sgj5Xr*FaQ84sXLk3vgCcV;d3q#$6w)z-~Hq#0FP^Ex!_5$vvBEmW_ti)^CKE& z6*e#?ZGR)Ap(%x^FEZhbBF$(<&n!iv4>9IGHW4#mmFe>VJvX$pA)t^OZo1xrcoX5}`YFbQyJ_DwuzkuvN?Q<^P!`p<32A zvM&bPTV>ge-8Oi1Fim>hwxu2Rb59<$)FAF#ud^bdmU~^`KovPp`ha%-{xSgB=Kv%y zICX<3eih?=zZKZBaM^|)>0c?t6}@|n2qS~8slX(FgABiR&=gNkinZEx?u>lU#gx;z z$;v-`?b))L6veYlD7ML2bexU|^u07}Z`s*&J z2rXao9}xG>_GSK^K|;%3QiwiV*#kIe9Rbq)jAEp%P@fV2?fItyGKg^|5aP%<0hV8r zG!oCum*r;?2$hs%_;=eHU3eN`Ou_@G--Kr>azu&T9;-?n!2FxYOUZm^`0L{LaQbo9 zNKv;s@L$vqApgOw=2*pU?vL9#vHx8^{XeN6K>qvd1e|KzIQ_p(z+Vni3K;{J4JnF| z1DEwFDE}!3K{ipxQ2a?d>f+uO5OM+8%q!v-a6sFD1Y)`zTlx_Gf+WLa<)-BHHEe%T z*>hA0G%l%{DA!8tU{AouYkrs}&0`?MzmEyGkYSiy27<@Nym|W;0JOo)P#1-Ya2s& z!SQR)o}b#cIFgBl$&KXTRWLUqsy6|(%k7jX;PLWpOWAt3cd z0tOyr>EkMd>OoTcjxhCxD9njJ;1wYmK{DaO2&s}MENHAX(-AZUe2zYaU``+9%I`H^ z54sXjiZ`Wea_;|dV+C2iXu_4V4(!}j+448v-x^5Qp{{UQ$yt5_Kfw(Ojn*p)hZ&iz zY%Rl+kH@EjAUn{zIevapF`fP~2d_=wZR(c~&s234tX&ZdU#~!AHGlbNC5Tam8cbA+9b3w-8x2A z)_H$j&U+(mX3?J)@~-3!4=1_zl$Lzc{^kdH3`aYxX8qw$aCmsdstoBudEPpy;dEdJ zlevLoT{sA#+X6MpsaS+!0)|?zcb8fhX+^R740ER$a#-Ehk{AptdTh-b_Gzbhd%|5w(hE`6%H8B1Q#dS;7I=QrmO?LqvgY<*Tlnx6g$SvBXc1X7e$F)d? z=je+Fy?8nZ+xsO@FtBHQ&?Y&gznbY*(mp<1-7XCINbh26ixx|W*?lm3=?W0tCg0uo z(t!J==FV{hF|;64FmuAkLC2)L`rp`%tpSEp)-w2wkTM}(HF$VBQI&xxQX>wo<)#zk zlnPwKWhM^A!LLXuu>Q4Jg|M6fa|@zd;CKvVlN_~jF@V)G2l&rXt*J8WYXaT2h z+ns(hkE|E_-#V5vLVa~CMNoUlQBGtFD7KU~~{V*E5q-!$GqJjS0G+>Wl1uwGDO7E(j$rJF&v6MvH6q-PyRE z=2uynlRItd_&B>be&#Np)m(QGP|+_LwNOI1{qw# ziy5<5?vKUIxta|*l3(acX5!zzj-Il?Pp~_@w-A1o_+0@Q82_wnGNHJ+Jz?uWG4NCh zI?XQN3T%8)*!}Br8F113tQdH#7`QH?JBg{JjX!;F82;XmrUsbTlXh@o>_0C=yA_EZ z$J}@87@8feGX|8;#Bzrb+?n(@&i|?;M{w)V-8i=l&F&M+{kzgWRC7P ztz3bIFY)*bs>K6f8Tk`U-JasN=|jxfH({OHMs4X^RG(y*3&XT*EZ7=5x}s%c5-;0) zmZs$RHEmf(ACBSq{qL`%5(}deNuv@6qY_1<62689VMU!O2jm5!s+kcMzJiv<;BA2F z3u*avKMP;(b{|tSplVvIP6^lxSa!c*E1>#Bv#<(S+HF?zoxZ4hgF}*;jja?ad|fBh z&Uf4A(G5SIiQDp?YYm6r(-p-=*38eu9|Se$ zjA<#Tn}Exm>vi0DH+Xz~{cbsMkRBKz5ep_Df^DYQj!s{nZ*9^|5s!mliD1e}gbiwf zDgI<4tl#w%_mL%(Kl0i|MBl!sHXO;??xNq>Qe~P_KIy%(*hbjq#Yep5Hd)FC^Hqg4H^`W)jKId7u}aTY0xv^#8HCuAX8|{lj`{1_;^;^Dlb#L9gldNj zsf3;h8N#d= zdx+aTukIfi?FN9OX!WHPDN^$5|FGeUekl_4rviKcFVrherK5R&M9#r5bTAk;SXKS%%AAG7=E<6;8VGTm2Fv4v^6r?1Sjv zTJq?bm%5bNl)7lxmbyUOmAbUpl|Grq<%i>S55>1xDGyC4s;{Tl6PA(X?O8TE?^zxI zCJy7;Vr4C2r4v_miej~KQwf(4ZSn8<^mz2z8f0f!akb@iY=Xw4l5$m}@^UdF-69t& zkZZW{BttfO&wwoH_t5*2a}${wf!arDFCq}#d*(WU{c>Z0_RUSpcA4;A&<}(v`axNy zLPSLkJ}#z)Y>CvB$yVv}L1Uvy)+!NAo*IG~;WIIMWVe3mxE1>DLs%sg8?6_)s?ALV zH-Z~{T}bp+O3^@-)%qLo7?K@vB~SFotPN_ixNs9C6SGyE+=mRzJa&5TnN-rmD!q)q zL^g9Z)PlS@$lou- z837W9 zV9DD_!_o1))%0xnVqURYm55xGZbX)DJ4TV7OS-pB=< zXIpED`z(gHHuTp-kr0X0u%q;9$cnNSeOU1|?otA6W@|)=TbZ0wE^2IQx?lJ0I&DMF zJa(*zn0-EaR8_;C8lw0}#@V&8DwwxagsiZTb~e2IaEVW?-=D<3S!+ENtNek?(XRJX z%gV)k0=_Gkh@FL~{pZ_c&7h6q(mHGD$|5V}nR&YMBSHYy2S+(7?h|=hvajcek+9jU zHvTe8@*rTaV9_&m%QZ#jly767oXqUilN21)2Oz6et=0k`nAjfPa36RF4rxS_dVBrp z2~Z0tD`XW`2>x*L9!CC}*}zn=aPl#cU(+}JU8X7_Ak7eBRSV7wxQj+HD7#}`Z#UB-u=(&O-8T0o`s;TxM?WM(a<@f8UMqK!E!cc# zpFOg^e(42V#S~wsFHO$=e302?tI2K}e@4n4u(RZ|wqy#mX9BNbp9CP!s9+EpROVkwrHnb1Z)ljIb|v zq)r3HV?K%70LhA%+Y8QrWDktQxe#6n{Tx?Qkf9Ul<)8l8S)!dGj1 zr$^}E^k7s$GH+C-wY4nVBbS;R3ZAJ!!+8bIoXdX6{5yRf8_~~`1L|}rPUe)2P!IBh7Mk){{e!%50Uf!-} zNCw(9b`BQ@Wz^IvvO6S{Ch=!)cGYejQA+0L@j=tM<)_MDB5AC7KZO_-iC>kUlG&$a z_Hp+7L&182A11Cr_zdTMeP^oW`_H3}?Vq(0{h~o?f8W|l`KnGQ%U|k-8yB*r-Z>Vt zZ;rd~oF4Z%-`i+AZAYq3Z%)hJR+9Cso*NSMoSuIq>fOK`k}YSuO%lvJ+$pVO`AV+N z%2{~5P}<1x6`mg#*Ymt5I4Vg|$t{e;H{2LkaBEQiXLg^?1uTgZM5@B3w1Kvvv2dYr zZY4=`Rnbbz(#EO6rm|tYp|NFwb#diDb5+|a-Ri3`X@%7#c{$lvGVo5RwlG^!{e@Dc zAY0Mlg>qbiPef5STJ3R=9NX=kv^J+xn0F(_>E(Xa&g#VlOvYH=PeS*d)Z-ptqp`e` z9^WPJ`FLc_(9>$n9Mek_cUp2zSt1CfcdixbWz#Fx9Uzx|NX~6aR&BiMneW=Qn7qJN zfNS~z`_RAl((m=t%M=%``Fil>AGqyx+wFDJf4u~70*YJ@k@}#On*PlE5^c~IrUA=7Utk>o9wY7MF*JXZfNn+c!K?`Eoa1s%5y@)L}5d=(BPei-H1WYAQL_y(SK>-5& zy=*^S%(;#?FZ~`FuQcY!)F+|v^mza3dG*+P&G*CIeJXn~&pnzxq#&|UPr`z9#Vfbn zU)7J)WbQpMTsWG=qZOBbQ)>80#iC64)id5ux-%MlUN5moQr>D6?9Z~#a6$u`bV79C zDG}}d0*ZDxj#LVbL1VC$RC8@`JL#V!!nL=GD?L9_QYhY(tnp|9=H~PpjWH$duylA^ zO15ana|*TSTDOFGg)$n!tPyo;T3Sr({;GOyV-CtAyPriAPwKv<1$o9ph9X4Sq*Wh* zYpHrA=Pe15dL`p;lB2rGpe_k*FR%^h%A-Pj(g@~5j)Bn3VDF^F9KU`hMoxB; zh_AXD!K8c@Gr?G(!7Cj9E}*m0j3*7b>`k@lI_%mkGLBU_=_b6G^h+FOyp!joVA>Tr z9K)}JaPA@Dm*%%$9S=712j&pY>py%RQu$Am4BqF4AD(%OO$`PTO)c0OrknavbCA;&LS-&fuSdPGVQhRPu&d2j;%ZLBUcZb|rL% z{D$NO#@C169h3gH%lfYF^q@k6_l6_|$=5g29ix4dCZ+2%Y%f*ti^Vr$Abq;N{@R=6 zBX&UQcY4@+#}K}`HS>n`bb9@`Ysc~)o7U&rGKZV-1YWAtda8(%>9ityhDQCK!>~kA zhVh6*bxl{ySU;tc!$_iB%MGO(@BT=!_KkL0hE$f*Ff&^2vKn>8nZHBEel{A-ChJU^M|VjkN0WW{&t zg~Q)TH5Y0X6$j#{0*|og%)Z1WueFB_uljo>ulu#V6I@qs)U>fJ39VjiT%LO+T!%Y) z)x40jRY{6cbPcIGY~Bdxp>Gz5;`b}co5A;L>XV|ox23hpH^zQ(*TkfoiXVkDS56;^ zCoa`lP>BJGOt-8m^ok^A9>QY0s2UIZ!gh(Df6q6AGLW~kOZ<4iXFlhd<9N_BF$E=; zScV@6FJmTKiPwvLKB-!-BtH$9wHMv%K3P_+>)d{Lv9@jx@p2HKIPJ^FTmKkdAX`=*j*w_%89tEAlBsQxXjFD| z{XJ)PpAWYVxs|y6>&nRs<-+#!p@KW-*HlDT8|YXazc-x6Wtv~1MZEk0>Jv77pzNA9 z&Mh9xN7%_ALy7E*qN9c0MxyOlIhX1!)UQRcvE-M~XGH>{f_r2`^?yQ;DuIFO!k`v* zLlHL8!~wSA61UJ=npyhi0Jq-bq?4v{S1K>*_j-V}&b(JnIlPB?De`EoMfI99KrR1p zvA`$qXAn8M(e8;@MxWyeQ}&p1@lalzbMad4*YyJTWm1*Xe>Fkoyug+D<-CBLbacLe z0OmaL2Xq5kSF5LP6Nt8DQ@1WaMHqvT3lpOW^Fbyp=rQD&|Y_&y=F_FKb#02bs!zC113 z9N77Nurk+OO?GaOupCuALNPy`^7JI(k6r0uc;!L9ztcA^iM?LvT_Q)n69f9-b{TaJ z0lIy9U^Jhf0A^r$rph~MF75m_ZaQ9Wygl1DlZHg92<;HFH+A)7391e!F~vMk7u%3F zE)c3hai@4MzPEGZ%f`f15wm9nas>)LU&%QNN#M&qZW63+lB(zlRvJ{vu#0c<#?X7t z&BPZ8zFa7wqg(__7}-ln`wp$s*klF!S9OxFaf!X^UJVP2EkO%p6&{isvw;~8gDt-~ zQwHbU#6!K9h}uv_$_RdX1MXDl2~b3ZSSM^KH!d%-V_MENE#EV_Uef+nGTvLeW=`N- z+YRonDhSG&VK6Zj2o|2)0(80Wqz}E;Kl2FOsg>T%wOE@#m4C&+(Z+{$Ls7c@@+Pw` zSc6@zPp-^pEOm1#Z0Gjb$$u%GJf@Uk@_8vLHo8|>Ogpck`#B3=xFM-3m{~uLr}EpA zn9^lHfg-oIp1E*`B2Hf7ul?I`*mqKxd*R=XdgV<9ET)CFo;SB|)y$Le9s}zU2Oifd z0cu&|DNG8DvfQ+y5%LcfB`Mh!Cc%dpgN zdcY95jAB0N#e3lG%2*oQCJB0QCrrwKd57?3Cs@s$aQH&iu)0{$?Wyac+uzH2;w z$w#WEKw1z>++9V$ji)v1Ikb4DD>DW~{|c?-J~k}f?*s(j{H3u|jzf!X@4R2!pH|G4 z!8Kf2BdA*Dz{0E}Xz+ZfoLk7CUj91kn`IS$c(68iK!gp>c~VEmqYe&-?e6tCIPS1> zIAmn7%gW*w%iuZ%>B&Ied7xOyXWurzwad+131{c_zR<)x7NywWzpZ~?75_ap<-IU$ zmdR3HD)5a;)Iuo$4Cz$ja5rYGK-!b>2Y!R%Iy4}3h67`i2LG%e+?J`|Dsrutq2dYt?B zCv7r!Nb7mvQ!TA(Hegg7rj*#WoO|Qd?>45I#-Sg)LmMyo>6{HydP@C3R*tvya+-2hqySTf%dvSMncPsAh z6pFjMyK8ax;>AmGXz8~(?>(=4_ugNgXK7X@nVIaJnOR9zf-@pWe@!Qo7j4kRlCImg zWP(;0sj;=@ukT^or>xBBc^gzJ?!U!?hCYvRvrNjhprLttekIzMndeh8sY=fZD&H$c zD(zsmZ*u?f@ZpPQ*ubW~^B4E<7hm$4^ImbDEq|6-@>?CTdFJ+LO*FPd#QU3FL3r2{ ze^iZJF1IMKacdx(Kr~2a*NzJacmXXr?YXbYt{t^E&~JR@V36z5UEB&TuPW6hoz@Xd zYSBy8@8XPR*jtgL=&XMAZ`tCgD*1Q@J+=uOW|vCzvP7 z*6f5@0tum{zEjDM$LprB?yKeItGGEet#!us7oH`L+h#XK*T+zEOhp%3(l7fD&KX$4 zo2y-q2hX<$_o@&dieKQd^Cu5PH>dC-KBk-r7pt1(WTxN@XQEO$$AAt#zKMr1-dXP`Z5< zbCK&y=up+li}<+CBCYSPZ)<;vJA%zv;oPVz_3P#en!!3(2k8iNJvGw4mU`?()Ia)UITS-epBG_w4kx;gm?_| zJLr%fo-DugkpggrcGvGbIe&fr_~)2?);*+%DrH(VZ*@uFOY3y$Wz*iYowud8cSTM`2O;sm1T+U5izn^aCOEt0r}7WDY&+fucJc*h5Cn9>q{ z^9(A&@Qs7;?}xF<&l7k;kNZ@5iN;bTC&1>$Z@*HB4Hp&_v(W8Z#C^Vr&3erFdG5~> z4}UyVy0k=@-6w0(+kFC53;b|7A;0?!eJ*#wt%z;cTKmVJ&Eq&??K{B8&5N1W?T01X zU99EdB#vRqM39_f7OA>63bedz56m)iZMOJYs*tzEF#kaY(f1wgT7w@eM@&>o9S2JR zLaPIEcrS5k3DBFj#qFICiC-==)WR#xN))!tU4#~NG5gsR7lJwCq&Y6GU3Uj zI>Ob~7tLfODrv2Ml3!gYm+We7{XjTvZx7um8bS<%t@sFsp`^{kkYs0eZQ%J31wULg z$IVUd{%JBEfdOZGhBw%K%nPCs(X2kLK^Lb>yFgCi~PE~U+!D9Ei-9$9MfJcyIK?+nDkHF zs8oTEHp8F=4RIxUMI@c7#*4?rAP^_cHHjWKpi|ZpY}PKn4d1 z8Tn`=9{Y4kaa&NHR)FjD!*;;w!ZTw0`p2VgZ4EB0z6@uG8(-H7wEfHZ`yy*>PVJfq zGJUACYbI+^GOkQJBK>(RB{Anm&+7A!Ofr>~Os45P)=`Y->VDTN+$D2{`+~NJp|>+? z%G({8`?9iJVIO6#P|}!m7w$falKg4vZb<)`QoEQdvcS|ejD2&Z9q79ql-E#KO6-Ce zZCguk-b2>CrFP_YqLkn;D9L5X#Cwcvo8@~^GM|!@l?vG|VNK&OsJ+VA_4QydGfu#M2Eft;x|0(r}X`=o$OsNZw9^aYZ{?bGnD4mDyuOz z0A5cQ#LV6{C89y74!-Vt4flZgZeKz*!SSt}zHz4sO-bfD<~*Pp1wV z^8Old6JMi+ywlork)}d40i~yr)kpYdhy{+inETzS4*8OHXN$BI2V;W+8>nOrJUyvlwL2dWGP^p@& z^JVP${rp-uGx6u7_Tx&Kkh86_{$q=2;O1DbA+p|8L2E)usoxJ(5koaPuR|NV+a&}! zRruUa?0VOPJ`cQBCi}vQfS)dQs>uQY4W2od-mx9jV^`-Kw<9~T>{RrBnjW7!Pl)@R zOjovXTYk5;QC`mH)$9`gUMHw~pq|_L+#(h7Fj^x(+sx&`5O5J^=$mTgn6_~e@IBqy zRen|IzPpL{rEW*K#njg8ApX%gvv%8k;8<>xzWM z$Z4iyf4iTpDrs*Rmihnrs@mr>sHs>yC|0#K@en$-7=4(D!LFD+1 z+~jPvouvKACKK?{c_MM2Sw#$XM=4FA(#PxFpR_-v3J$7>RBNH*_a7}pr zJtk#5Kd}8LIi>$$&BU#rlb0$cpZ0P3>w?Ypjohr(Cz`UNUoC8-{AU3_{hY2;IM3bQ zwwC|QvvmdhB4^~rXln9imRK6DZJyr@Xjm0} z!{_epXNN&@R5R`%l^EaaEdM!M@2R0>z{@Yo*|$!qVh0kr5mpMHx$z)A1bXd1zXps{ z&jOa$4L|j|(V8hvZ&hRoxU-D~mG^$SP$FYJ=AI+O&MFf3K+@jaQwWt`f2*Grd>mPS z1Y;n0JKW0?@auNNwL&Gi+{@>S{S^}t=Ck(b8sYs5Sa1DBeM`uFZ52g5Z!33sy@}s< z#c~z`+4ia9WMzH-&!0w&a|Wr3j)31!e!t%SDBNbakFZaJT>zfSC??mbwu->9kB8ji z8tT6yhg5O)f7?f9ZV!jFV~U{~a*zUeP!UG+Q5E5vI*&bu#9zY_?V|GbX6!iI=}t>& zX&r}$*{|2Pqmst4VBa3uC>`hbdPlu7BfoIPJvAb|^dfD70@X)sftT94M~0a@Xsuyq z-$}0Vf14t_g|?goZ@p*w%9Sulcw^o_Yt4>Q^cHt$z7?TGDS6<;M(xT)?TV8DzbG_n z;vavPS*^o@-D*BGC@8N~^8x#(c~hk3dZ^_EXt?a=`QYmH+7ZJqB~&nKv42rY{lY6f zEoHCNQ;7HU_46*Q28-w6w-wQH?gW+-VWZ>4u^|PPp6^kghIij zYgB73898R;&Zj@^99*;3wAPLl)8rO6=A7V0!tMud%&R}!cfRm)*&lyi+kIaie7FU2 zW2}4yBJ$?IU-ikWIb~D8x+~pfmxXbrw4l6HK zKWl#<^agaFk<`78eR;dls6H>ntMC0{skJ+OYX^#x3Ys5)Fq)E>77@6Iz~$osD$ z)X-Ld4%hhLudbmXN^lIpONsAr#Ik?kYasFRX2oLo4hZ9$s6?+*y-LWu%BkM*uOTW= z+U{gNEQ1L|s@d$V8vL|wj50gQK9W4ad*r0}pQOJ1^4`CHq#zUT<+6LLPcZDxbc>%uvCs30C^YBTPwu^~q&V=y!_El6Teb*TU&|9v~?pi&kNmpbdI_9VCU>d zF_$_&Ayeoct*D8Z0b_}i2{VPzhQNz(h3Sr&9pr||nReeDl(gQBj@m=ln!>}uu>O7G z`I|{fue>~>tjeyn;q4)HQxS6w&5_hSt388T>2}zR24ul*>y&>1Q!20OnRrDmqI`_t zH@~V`e?PSIA%&JlQ!Z**7uP#|GLyUFoa`L8;)t#c(1xqu*{ykB+N?h)WM5&|iRWqO ze7SemBLjoALUKB{s2r4$FO1+&5`>$(k*7#cr!L`^@`SdQpwOSrb&+WVg6 z>f-bIySdrcun+yL!#QtDF4T`>BAJ(pR@zW8K>>du0?#MekZ=~2J7lVPNQQe^fay|? zo7{I+$w%&v+|RA)h}>62_Udva#j=BPbG-wGRTEqu(NUuP6yYaFN$1GRfm0#;V=8{V z(WSf(PnRRHxz*-aML_oJ*bFsy%!8EfB9EGFE=1GV=naS)$GJ~+D7U6f29*62JPQ}uGVsb1&k zFT8%n4%1_V_2gw2%(L_pr@Ho9R^sg?ALeD|tJ3~lJ4SS&ZZ)5yUr{?!woa^%4Q z#jC+=I^;Md)Y{BNtY(mW(YUq@MfY~FXtFn}-8v3S$vG-n?PfjtLEvEb;^*5@kUC*# zI9v9IN#^O~)>#*dyIs+Up)XjA;k+;~M4(-ruI3}Zt+JpLmC?ueu(neMjy0U68s#YL zyB7xc>OHl4Wsm(8ZegB|&3NgWs_Y@JEZc3`6Xo2|NyJK!_b=H|H=OhGnv=W2OmRrL zzUT%!a(;GP#AcV{hGwC-+t#t$wz24j!Z&P`Ac z**w0><_L$wXc}b7q`MsI^+yHgpD%8;RWaG%-uP8RXvqK!0tAAlWpYTZjz_)4$n zBz|aB8y_a08YW*3y|{Y6n-TGbk5aD|d#pQn43@&Gm+#DO2%Eysqw$knb4>PlcJf&J z<*>8h`N8;&JFChx36faR^>R^8F8=u3yhxJV{{woT@IG>!bd$k%)@~Cv!nO!P(5V~_ z#+5yDPC*kWy4tTG>ck&!u(II|#!*YT9x=FRjxPFrIdX_CyVNDnVKV64*C?#iEM0J( zsMKlNRfuq_usM@InpyZ@ro9Z$!vb&$O z`?~!C{NEZkJ0BmMcqd1Cna&-ACeZlcwg;}3PrNZ60fWmBD0^RX#a?kcqYKV)JEID8 zd76$B;WQ%mV)^@>B#Di<$xxZIS7C8yo*^%60zunVKp7y`>&`Tu&swr{l2Iq32SL!F z{cp^TClTE!C>0E`*i0yq-$*30U(y^V8I~R-2OWYVlA~$?z55|1AhD%))akt;pp&VT zfd4CtV1!kHp#Qr-C@mmGKLiapwlsXbuxubS$dsDwN>6bjE$gr^f<=w178Lw1hOcHc zkPm?fgQdk>-XAOijg3TGZ=@6i9fClQ`)=A94xv@zE-$bqRbsw}MkwtSE=Ub6jruQ| zO;B_XzJ+UC0Cu{;;wxW?IKp9|9td3+w#+mRYnRFdkTD99hCmcY*8(Q@KLPEusFNX} zNokaT{~JpVWQ27A-3C6RpnV8z*$L^+8Auu))Z#<_#N=CH*_ndWNEO6ls29fYzi)0j zJ!pGSK{AnLCQ;9x;HHW&aC0N@A%-0>0~C`P0H%nkvaBAIWr0Ea1$@5b|) zy+2q6lK#I~YNFQy7$PNdz0p6IFzKonD5G?ga8T;sY`BDca}{lvKxm3y?-c*b#V@c9 z_@r|{2SU=P$YFb3(a=q#Z=#V-4@j;4-eb5Tej3NWt)Xrja?Dcy?Mw<>ltd63lz8}2 zQ^o8&!4cE`jiwIqDiQX#f0ln$Y6rW)L!?$Bgn*6~%NKSAokF5;5ET4B8K9F;8c;7B z90-jLMV|;X3W5UtVBoZXBK;8X5bv$7Bug3st3*vvfJXX1(0*j?qu@>`gfn#Na=CnA z(gq(`ba?tiX`>)<2t;yFOC|L~L_uLolh+HAf@Y7x(-Vd$krrH;P$x@3sB(?1!#1$jmmgJ!b@I6?=Ib1cPR{ol_;&mTcq+v_Ga{ z6Xt;pEZJCE8j20l%`*x$;kDKDWG7#+SCDr}Byi`cn^9RCG>DWaeQ9I>D_!i7F9H#I zy$ikf>M6pF^V5Pkm|ORDg$+bu3G~y7g~7^Zt(ssXHDMyT&;XWd8>O&tBnBc+KM>GC zyBzb+j6@g!1U0A~!JuQ3goAvrsFmsV(Pfx5Z*DtHbT&8}Sj4LlCU zXO5-z7j$&9Sn)6DsAKPU$}ap)ONmnh0-dbU$dl7gv~*zP)!lJKlA1%C0y@=uCEx!P zwQOL}ykabjveC{t1-Pqte=)8KeY0d2qeBJ3m0&zBq25^5md~D zX_d#RU5Nf?eq+S&ycl|ZK!YB>uy;7rje8$VT&e79~O1R6%~95HM!JgSNU{uffJeGQ0#0D^BMB;HNU2$E^Q9m{r@DZC@oRqt~?ckt8K@v((sELO}A z`I{$X`~eC=KpsxulO4qH2@uG*58(`>;2Z&d;{c9;gePCtp9qeP%i0ei2BT#(4u*(? zXB6}SlAhTt5IP*G{@uvz^A>wY3luC3Y$pfX{|Ol>M+B^e1cR=M{a3G*KlRrW=06_@ zjjD{p!Sm^9)VTKaDlC|>t-=FVi2oBSni{ZzM3f}ZV&3Qvo`=DX<*YYq4hrMl^UlY} z6$3qAWM!I8wAK8T8UmQKvS zfq>S>j=aVlCONF+ArUw*9V8+oG9mzJApP#!8L0-_NO(qXf z2)C!g50=P-l7gLRFhb8+?^5NUfQ)E??c!kj=-`q6p;US3&q(4jv6Adc05bagv~Web zEuXDegSm0Qop&Jj$zUSSzw?m%iojh}jCTHAjJu0SJ*w$4@+4cU#a!Iq4g)yq=Kx!h zjC4WD-_k8xv=uXNP%UQiO{`Fw(w8Jvt)UkZ4{2 zj%&CAHCzS+3Oa+|oT5b1!3*oPfbAo|12jzoMc<#eBNpKps-ylNs1B6=c5E z+U$H5N~EhPy7k@`*gyYYz!_xWY=S^;E-+^Yu>C9*L#Mr9x;(i3xV({xk#mS)UAV|c zM8GP-hUko;83DUj0A0X zGed|DT|^J!r<6QtWP;0^nJm-|9v{_Wl8A}ZPe#aneVE}cq)1OZz%?sy$6UC3%7$SK zV0f%v+u@zRChhKYAkWgPM>m?`Jpv(-IEx@gZ$(v~pVJ@g0fD#yZ?~l2@J2m4O*sOw z4M`8s9(aO+8McQ|zS>HbF-$=MI7~=evkb~%gjTVLw8xQ2Z;{M^B74R+p#buj1E1K3 zPVk?)p@&Zx@=GlI>p~M&A`7I6M(Q(B6XcSdnayBr*GT8S;MLp!Xn| z;7Ghg+S37{w(uhD^>FBIS%@*fr25cGU;ulXuv3_;KjN@u3NX7O*r{}Mb#*c45o}K$ zE0j$gFr((iAR zYNq$a5Q*qr{M2kGi?z!LvF@PyFw%$)Zo`OBL)i$Daa^9tV{=U9~+dce*6e|ib(z=$C z;%dZldbrN!;+uz`>|IuyTQ1E4*BolY(gY4xhrJ|QLWq+zZuK^AeY(9Xl$+ zmZ77mnF-3Y%R$UJOvN&dEwPcq{ep_wVB z>Mcm}M!gQ&n2up;YU<|s(_(syp}?p2-81^R7 zYZ>-N(E&@{wx2I=LaZ8jPf_Z+-sbaN|FqvF*#24jcrrv+xVk!a{)XoK{hVxnj%#`? z)3S=!;$bZn;MX#5^M|aH9lTybCVKDuWJiiGWb3Z!6L)tED>|sR3^o zCi_&WW1s3Ew-G_9DseH{h$>I1FJ3(XYDpE@7Ta=_%L~oQoZqr7rpT2H%00I&a%tzGM!4$3aBVz4?#45P`O5asErZ)fx(gGS5Y2^a!8U(b`8QRf8U0aR zoWKNYK78D#*-~JmiTF}G;h|c}ccn<+d_MQy%3MH4J3(WmDDA(C*egXxr8pk}b#V5I zR&)gnp_~|5ndtfMH9J%p78OoM*o zb4Xpnrbn4RB5aqC(|3^Ui-vQ&+T-?|zcdStThOb*YQ>ce;+gFDFX}ORL7{volk%2nlK~~y`g+x#Q$LaA( zk4@#uG>8(NDCNp!JlU2PiHe7IYlQeOr9av$NJp!~5w1P0GS?UoK?npP2MA?BXb3_# z5JqK66F7Q0ie6kM%9{zC{fqi4cU53yZU2Ig<5+MAPaWsI@+b$gT0CfoQ8r%Pfha5X z{ku&34;oc*9CdG`WcEz2Nm9O(3-MBWcI$C%MQB*jO1Dz;r?01Jv%#F_XXj;uK(2tP z;ukz9xDWS`z~)MuPX@IKXFD-Xy$UsFJHCc3M%=+UGq=&5HWB^f3ut1l2>=au@CRFr$_{QVO46Es$a6tCO9YBg`!%-|0bHr7u<{-F*qO0p;m!2dlxC`C|~M5m!9R z+<)ZNz3iEBl}MP-;Rbz*zkpnPl4u}|f87>*hrd9OSsG8fpd@&fONx9{)Sy&c;KyDW z&7PVtYMB)Nb6%PWWXodnLnT>IeBB&_86X^h7(gGOg{JZ}x{`9~Ja^dq#Q(q+q7GAq zyn;(VLz^@rU~A?)i@$EeUu0hM)vPgjLWhzdGkfY#lrn=A{!xF5yqN-7m=xJwQA|6l z^hTk$;L`dK)4Kj6euxCVU5cdZd$rxb1*KlBR7dC|U6E&v|3Ng}4SHRilrP?UYaYe0 zZWpS-mcYMKbd8Y?@wbvhv!*7QCVRi0b+PyBv5aKjAWKc4gnuu32~@OT7C_wRdF{#A z?9ZK_l_5>`$g$nD5Ayyfwqbk!KBwTMv>Csnkmu#YT#MnVDqdv; zQj=Zy=EKx!r@*1(9@{&9y*LXWMO(ffsu^GNzk0Pd@L9hKpVA{CkUJyL+!}HOm*~Bm zvfLR`-h>nT`Lk1K+d==;?N>LS{-a?W_zLS{MH%8}dqWb`RgrCqn>UZi9sk7pF<0MD zr-p51#{!vW&4rlJ*zBT5eMxbYI5LM_mq$NNy}k<(GxantkuN@!^qEKs5s8exUS9mE zE^R^@#ci51@47l#@r+=~VA12p%Dx_(RXkBE5Iv+PYspg6OU})yXOyifWba&7p^@-g z=O0y6x#F8sFxGgbyHHp7#+j5wQ=$E+6Lhpl4$-b|uM!{sk~H&KO3Mx#a#pWjTo z{@5f6la%&Cn$?U;qDnMjx<5K7ioC#|c`_+(Y9>t;$QJ1oNfvcTA_j$bx)^n=Zq~=` zt@Q*kt|@okb4&N?RDbiA z1}F1_jnTNc6HbFQFYAM}xK++pTNy!c#LX6R)S$2fA9ABr)BP7|7h~Yorw-@jX#?L+ z=mLq7S&9*E{`fFaO`iwg#)(dd7+JS|IqfE>Kl$pCS^ed0i zQ0C=_6~#lx^I~)BB=^rJL&c|)*XzrU$`Wj|f#3$VoS<&_jM~7f5 zLfv~jeT9_qhpJ9yGpDubLWc|vrGA#1`9h0~eMk|{#$fA!`d={55)?L~lcPX}35fB8 zFu73>rr&|L15+9y2Ozpaa6mLn1A(o0Q1!*^`!azPCCUmA^fYmH=teTu>?vS!vSgp3 zv{dk0$RkIG`W404P8s#4Ccf3zen7q&<7g*Y{pPP1;_HTdHP6v5wfc>CTlkq%sTVob z5%OsBKgG2yHoIxO)?*}H*YFyiCQZ%>Kk|CehCjz-L6>PCSxHK`GZUa%|0~&F?mbzD z@;0g8gCXTv+NdktjnW8opWHeWD<{{e$B@ z_}5IyWmBU022)Q4fvZ1T#I+e7-Q`o$2ds%&slq1CklNI&n#Ib+8pSGR;i2@nk+f|0 z@W9HiOH+a6tePM`5LC`eWhQPlk2c)DW&S*&sYn*M1mj^iUrqQr)ZK~hZ zNa}vi6^!=$0!kYQ6dI@X`}*QDp6`IORt|{l#!@OU&FgXz6l7wIl@T$R1%2agD=J|D zd?F$|@o1*a2u;5hcCy>4cs46L22J`>^so_J|9@08D_E&XiQ?9= zkMhDluDTH+gYgeO5doHPuQ5R_Nf&oRi4{rPw19h^08*=NBHE6H)iRf#h77=E?w*QP zZ24LYHY@HoP*!dE^y)61dRm9>Hynb%JO%i+?k}8Ho2mfi_^NtTfNB;JFmUBn6J?Jo2p(9=?5TZsl8!i?}W1Q=j*k(~O1EjJeW``4r|@ zhqy0!30p7lXBgJB>RXMncQJ5rYSBia9n5S)M#3!2oJH`p^#~=faoUqc!ko;U2eL6Y zj7VKBe)(N>4VA@v6qAxiiNZfHE**F{(7fy?kD_hO-0inKoL|1tp-J_3mzaK6-HvQe z6j!kxco5W!h2AmIuJ7|CwE2#s@2%}OX_uO#>q*GYD2fpk`zb$Q=mrhC(VYCo+aUGp zXk7223-fA5OiUiyM?mOfYhPZi!0MhGKgmI>%5hgt1VkAFnVEgS_9U(xW?6x!XlsvQ zt6_;TdsK6r2xF#ho1hhi>V0~VQmNFJA-DA3Zt2_Y_r>%fGPmdZfD!wP$bAb>ac4RH z!ggn3AR@&&7O$=6|wNx4@)qKAkf&bUXS=`NS$>q+$b7nhtDx@XI+=|zCHY9 z)5NZX;S6WJB+Uunl?vSf1z=Tg{5{6TGkTmnX(g{79ePrp)s?Fh=V2nFyWf zN9`;FT?jqpXQXCmkNgflXD1M*+$Nd22)clh;wPTRS$IfzC{M`7VtwX%0eF{4SZrrW z+)kwLH1sd}`7c^c`sMVkZ=QOEly}cWhLlZDtC>#utCR6OiWJKbmQ)wTh{-f*41OfN z4J~UCaWk#@*K*hzCs1J`Rpw^Rr5f+*ES6kw?dP1fvNBuG(sG&IG@@(4#V)%%g;m8< zREMI+^upi4uPK8hk8K#xykxA=X}GWB$qHzhH=D&N5*F+q7p%$HvMBMONZ+a2`)MJm zB;noXe=IYR6tIG<`mBt)fkbDqMCSdhYX(XIEjXZP_++Ehx|~-9Z~e8{b~x{C7PmcgySEu4~sHFaFEd#mgf%l5|{U z$BDP|x3J*FVpy-^-QXa>>1ur(OBfh~# zKQ#}SYkh|D<-1|~y#2d10=wxIjWiEG9V|n*w=S4nHe{ot??r%3xuxySTtxM(j2@{P=IfQY!nuLoe)J{QG^qipnUDrO%IjlaIiug>BpcNwws=CFXAkJ*<&IvacKwv|1P?>@rQbNYy<8&Ifor%718f@n z-^{aEv?UT-^nyrKYatO|JgmO>1IHi&=$vdqu?CaFm*Bo2EW^=-QXp5LX~4u<+J(Ag}PWC=n$Y=Rt zxzo=6edf5Z7ar&SV|%&d;K&8L3l0kUZb{eU(95%=VxldsejNPp$m`oi6pjb7bkBz} z0lfukf=N<~J$28CkmWU^o3#Y)zg#L7NXBL(_2i=*C#?ms#fTvJh;TD2X1xTmVXeq`S z+-H(gZPZynG`5!2kn{OK|8c@bhAFg!Mw{VO^#kLnD&GHq+Ny~KU6N|Oz%M1LhrllV zYk`FC&S%Cx-G)qG57agn8thJlT#U?;pus99_uRL)r)t zzZN4kQ*N}gIlVO8CT>Da^Y3WRbG*!50V^bZy&-bZx)*8O*7vrEa}@l(H_|K6z19}N zC3W}XIFfjWaDM0unU9yNII3g(_q}N}fo`6{|F6b_FJy4y0co)FMMolc-rj&76Jqhc zOxXFRW05;6Z@?!5;y}tHx;S625@*yp_N%?LQGxD-_6SY<#~-o>#0J(|Lm;D1NrSxD z^C4XiD4Qp0-5n^~4k%k!gr*ND+cmMl>Gsh74`bf~A86~{o{4yc!GC_1v9)b|_QI`` zCq&(ZuC?DVw#D0N{(b^E_*RopXuNmt)EpPQ zI&}Z!!ws?U^6lQ~lb1lYxL)?1<|nSw({0^XRlk@}#npq0?*lcqps&$`Lvre6`B?3r z_li(X%r$=j1!M*otSYMwyc08pSUOn5CsqScmtj`_-d#vj^d~19%hu!HPxb4n>LHgj zvs&8~q;gW`d~q{gD3Q7RS^Lq(6ZkBd8R-sdVuy-hMzQ1ccm)Qx;LPlCx~8wEbK#8Q z8I4;gJTNuoJr=XptwevV%q^GU6Y*N`N#IJCD(ghzqk-Cqgt`H_o_@sZ)zMYE69a`_ zdpbI!o*X<*ZAmENs>)!PEzRCwORCe}pMvO{F?#^~Nxs-1&-X+p6khV|5R~bK5Ew}U ziIwPTOl{gmC8$|>8V?}A+3~Uk`jaUkyJ58!%#weA$>|u&q*RPU($i>1;Y#eI&zBsaFMx0cgtH)= zD>06eP6JqLktXAdk-(pndLRXPrk*9rh;4dLYY zJQb?W8oHr_oLTn-AkWoA-OAmMJ=Epco9uU&qOqX;FwmT;tEC&?@jRwaFXoj7{=ljXlJT=6*hZh{pz8lc z^$te2X6`|dPmttF${lNaeVQfn|FxgDhJ;AZ(5|70C0pOedPX#4R%C+d-FPkaa|r#*2S&OSlnm%4b3BSU!yimBL4?) z36rwtiT*UDe4!g}vg?BRnqIWlmLq4EYO!~F;3FP)ZJh=j$6uFQm3CKtOYpch38mz{jybdql z`(qW!L=v~~Kd7x)BAN4hc4F}J-$Tl&;*JyJ&Wy^U_ z#WdA!!s-Gn^~1T(S%*3B#q^3;{*6`DOnj&{vd07K80Bb25=NN;*MR}To`5kxCWg2M zrprtXtc&q*zgO~Z;z$N1g6jVESKa@w$%O+v#G<{e8IT>9Ls`Cxb#)zcbQJL!mT2T& z0qfg+Tl)SRkY?n!)x{ICXVt}%vKH1_=CtdFQ0Li3X&Cgb!4e99oNb_$OE520xl3^S zCIR%-=Z$=4*o=ktO*!i=yi!nKeSrlZx02p(l>r}%o!xJB4j5<2EmxpgD>Pp*of&FY-g6Va8jv?yUD%qzZkpfzYtB|1^ z30cU_TY}tSR3daCH|i^+tJh2O?$1I(q>>~dkqx?H_S2V-z&6>D1w9U5rM$=z4lE!p3jGN?;#dLLz$2Qm$fxax#B;`QP znrRLj_DT+PWtKa)m9pAbwad20tCYy0he8kEb^`^&;agdSB?HXjI=eA01aGe=aYy3K zH7|RRhcn~d)#-3BI@%IPM=+~GX5`0i5a9FgSj-V`;=DT4r;Fi=+DtHQJ&8VR1HBJx-yOxq|Vsa8dE-b`x03V6ig&$JGEz9uC*gutyLDLggOuoD#D~9;O z7VhYkeQKR4R#S$l?3SkiPtGe5lsV%bfK zWXO+~)BSFQnLMYyUL?7aU!RG%vbkQ|s%;I!Xyf)f+Xe2J+6vBFOz~h{gbZ>OFR!NT zefv4YsXKinF^NRUq8*CRWU#*ODmbcq6sMIiQA85gNRW0T{4ve>;t_oNPPGt^8#fo0gjG>E8+T51XI+^0I`+viVR~h?G#lum2l3pa-Qf5*$ z8=1DHWaCV%Sq|O=FI%#^1^M|`=@pRSb|1MWHnN%x&DvbNI1MdHLsDD^@81-gY&mL< z88}^pYen&$yvS}LH17b?wf(cV*!q#QG`2x(O%0G$Pn(C#&e{AZ)(KOL&az1fN{WTB zIBFc5Dj0tZJ_ybxn<~9{ZhAp-9*vjO24(~sMi<}CoEdjk!_jUf(g=wZ$`tUS9O50S zA=t|Q26bo$D)CB$EtN<$EHyg7;D>;l(a~TyS&?^;bP!cSc!#ApOh^e<5a<#hVRkIF z3d2*U1Bv_?zON{x-&%nBWAUkjL)eS=C+tTbH< z8>RWn?v+b6?X;0_ti8p46v_zUshcu$u4_7N9EQ>KqW+@^_@>=>?5LJgs9#(g(7vvL-_tk$=ffvU8DaoEQQINppJRk^&|u zQA5I&s2w=g7%>MZ$7*2E>|FHDFhF97;9ugc9gvQI5?Q>lksWSo@@IW@WOa3(Rp9vK zi#5!<==m;cOR0%v;*ePz#1?zABp;7RXZ@{+#?jrHnB6H5uBd&$-K#G}7=Vl5P=}LT zyRbU2BZxL?DvHAxu#h643Z9PVRDk-j-Rx}V!I8u~XrYM#PxhCXjE{=wD&B}Ts6v!v z2c`2oDfz9Xp0p7ya$NEUqCc<^?UxHlq#ab>8!Rcohw3-92}vVzf*?><$woBXcZFj{ z+9n&#Mh`1Ei5`kf8&0wj5L>^l{s9*+EOA;P9rz{3UJT96d@oT>oRbf2{X*QV2_tD* z;U9{g==w#hSreORT-AI$n$1?6hYa4h1n~U)6QS^3ofCA3#g#s>uoJZF;0x;@R%|1) zWP7kOHntyCq!V-zeJon7QEJ$Hp`;EjlPl(YmCzEm4lY`e?|yrDn`K5CDR=GOWe*M* zT)eH-H*qIDF-WT5gDh4jN$y%2NXyW_#PW6&f^4*STOv+wGCQYinKfF>iV=sJO1~1U zDkO{Ft^XQ7S=%sbysX?%CrPs7lWe@iooF>BCx}k6qn2ze_&;rZ1wb9Uwl>Ax-QC^Y z-Q9{6*ihWvif-K9-3!IFP~6?2KyfMV&cA!ld-tAu-ak9ZT3LI2NhUMN%tUeR%=Ow( z^NlV3%P3gnD_G3NLk|*RCCn1!IL@6=>$I9qUPm1ISt{!IMK#GhD1=ikDL{YDE~ zq<~x{gG3sY(jt=Pq2*ZkP>{|LfIT9raP>Vdv*PRD$BaG*dqBwsj0bL%#J3{f#0 zFCCSGmCV8^^`@jm04$``Gbo_fm0tV;nB-M9%KBJCE!%_#!a*MO#N;+Y+pLZnXX?>yGiKVGOMEa_@%O0?Q zf-KvqO4>voL;f`C3?U|IPZ~ZPMo!LyDrr9F1P7R7OH>+?UCc|c<(IBM!W~FVqW6;3 zq&%iXt-zRVo@c5>#7bzVDy*e&Q;)S;MYSCevMzp;UvS%7E+JFt0 zKRAP-^bW0t4Kvs(22asu+(e%v)uoGtEXZo&o?7zT6BQ%}5n1{XY>%RhY*UcFfuouh z!(l{hhBXwA5^bq|j}m5Ng|?$csA)ZZ!3VUFC*ZyWr4NQ7@d5OSlBA>kj}1x4|6;Qj z+d_DGTCpWUT!L*_Bth(=cnH3ST_`Nq2HvX_6GYo5PW3+&uHvmwJ1j&qK#f7-oKlAJ ze0kc`cq0K#x5bHmXl0iPPcD=#h#5b25ep*4>s*lhP_^g22G`ZI?|IULEB=K_=R+9S zP;nw*F!tGdM!y1CP@v0m5ThM~6>u~LOPdGDEcDm_wlthh9y*6QTm4FV zNgNSo+Iq=MtzHtZ+A9eSJR;1%Cf36cWKSy7BFJmfj~b)>%9^3UUmDG|k$UlhOc!sH z`OJQE1UV(3Hgb~TLRQ#1=UYM!?kPdmLgAA`5{3K28U~}@(A5-6tJ($RhQjg}oiSxA zROqAd4|=h`V-l9TS0-vHsSRTz(a2{>;*qI*^YPV_5Hj zSYbSx^4*md3OwwHC0D;~Q^1V*5W)XGoAgeAAPwjbu&sz`-nHv?Vmln|5<=~nwy<7U zfwBm8N=!zf$ZD~Z{6Fe?|pMm|T&BbjaTq7sWj4K+{fZ*Iyjx!~y_uz5n}b4OpuqAx>S z(Ht#`qJa0lge*d@o9-%We$Vds4=;5_BH&%ZB=-(WX`qdKDu2UyagpVv>;-3zUEPNG zN;yP~k39-lda(sWGP3NWWb6&R;5C9i>IzeFSVp%2dV*@_ZhC?xw-wr1pKq;ut;5g? z8S}o47T_fBjn#L?ICFiL=z9lX6f7&*7|M>t`M4Yt6xi-J}TL?WG>oseOJ`Wpba0yfQs@GrB3Jwu!t_&xad8vRi>G+l?9A#vkdEH@OxiPL}+0K8i7exkrjo z_vZRtG;_y78H?v5H^ea-n|UCWAdPnrAqLEP|Ix%xUlg3n*f4W#Jj?ilFKC)_ExrGo zy+{a>&M`Kg{RuT_Zqu>!Na|k6R zYdgZ{npl8kU&!5P+~=gzQv)-}te7&EM2=?w@O1%0pTRiW{k@0CBAiF+sGZgjt7NQ@ zv+HgyaWZPQl=f@XY$Yud&rYYU_;vk<$+3L_7J~OqTgdBrxQQ`tc9x|MI)VU=GkzsK z8<4?(P}W$~|GP0<)c>1VWo<()NlKhAx@-QZ4A4~q=&Arr9Q5geuZOW*zQI(c2Y=Tc zAUuI%*au13V0?BX`If76#(Q#mOp))Hv0W68lifow_^93FpXVY7W9(nnkJ{g@$Jw$* zRh0ncW$c_csjkBpd(E6j@*3Wwviz;At2I6O+G7G zu<9#Yq%)eI`Bu6dXpEi2S>b8MUybL07Ve{=DOva^Hd>Wk?J8A!KPmT!b;9>a3w@F5 zlLq>vLa&ruD2hUb4y~*gQF;otUCbRi6kV;Mi#ks`9`RsPL?fB~m1F=aOZz#SzSZt6$7bGwjn}qlzrd+k>3{4EH zfLFR2zc@aC*l5jc`HM=O4uYj#9?vsFd-PlS=#M@xy1ry;DZDTj4Fu1ga$FrjiE+Kn1?pPj3Zd?z|1r{YQ%p;RH- zO0Ipa{>E$--t7IS$Fp%_96Y!fzw^j_A>((0aHpOO=Pp@&0Eme*wGEI&kgeAo41dF!~Tw?IPhle z(eq5$)}WcIX@f@cgF51v}OCttzXT@`MMjOVPW*~ z+bG;3Uk^1!K2|6bsI`%w2Gs6o0A=L|KycxY8pT^S?k?os&NSB${U0P^@u z6-f2#2vKWcG}#H7pjLim$V->Y!CIr1vYno@i<`yp@n72;d%0Mbj6~-ld1w24!YsKD zTvL}`Y#{4oLF&fxlU3?$flE(~Lt0__71TUg^4->h<_XNrfRwc?F3y-Zs~`4RGulI&?e$QlSVIm~E3& zW|X7Y6gJj>+_l`ZB6K~qQrt5o;eU|zZLBRI^1vEv65E+l-qAa#_^ zTYGbVTDC{AOC#K{WbdaKR1AA?h8$(}FEOfnFby5vJ~G?iPQoj`#Kp_3K)lrqv!?H} zC5K?zAH^%x55$h&LmXox6|`tI0J6P5t-2dC?-Yv2TY<*qF%O2e*d5gHyDm_|(k6Z4 zhHhKNGewPOiW3uU&L*OO;uFw@cXl7g+`We56Tp%7@;US{wqJYB@dZ1oH3C|Jx_R86 zM>>6$h2~s&Bu=j<+kM(@aoFlh68oG+D--+P6~jCq@dKfqHFP1_}BA$jR1K@rI!iZ@g2U%FZz!6Jjlf^S^FUicuMj)s>K z<4_fm62lS^!PxamU9XROsX8t3>@7I1M2{q_`V4<^tv;W7V&h+?Zj}6(;?cV+c_$w# zbtgaI7e~qhx=JnfW@^p2ZEgfn^#jA!!A980bkGs(1CA^zqmdxuV5pEr>_j2=X8tjL zEh!OZ1$+2bi+uQWFi8Uu9ntLS&D)D#xZ8E@%`?R(R_wuy5X6jMw;CA~5-=uPVQo`dZ}_{U)V&J*{I*+JS271>|zQfa?w*zDCkI*n87MNn3N zXq9W-`g%49qiCxVd^3El%eszsJy^w~{o(<{=0Cfk9$nTf-f3IYusZ$U|?|?ib`o;$;;Cw`_Eie0&ZRoqM|B`~XZ;mho zPh6%V1(ADqy^o1=fgVQY|5Ess!xz@?^MxJVC-;{F(y|MBz}E1{O4oLs?M{eJXQz0(*UK-sJo-_H%7xGXJ}XaMJIaU@)j zn6yll?_s=p@5J&tDR-sQ@F#WiUWU)mqp~OHo*Kil&Q!xkKR#N6svt3C7|J?@Uq%C2 zAkf9zNOb?1BWmzO@jauqz)=aNLP(duuKrv5_}YsL1em+Qc-<-LW}*AX$f7w34H| z>u3(NRK{c}2l?eOgQ-$JXW)FjDs(ey9Em*aO^G~P?-KB>b362t;!9W`^)*sO zUBNL2ac=%ZJ>+Z1pwCR{Nsvn??H_5Hz{b2Z==l+}E0JAvOB371;$I%K=$06@uEBI3 ze6x-67~iIZTDIdg+}qGr$f4*bZfU=xW#pBgO#$A* zB6-h!Zo>}O{CzG<5iq4^UU-)F+S-+0M)rn?d}TOYM$eU7ksuNc#18PfhA#kNwd}q* zTN(Ou>1mB`KaS4lF0Zs;7a3%CvT=(KUcYzbtlbs*A5f8<$Pkn99^GoJg~Ik08u)AW z`^`e5A=!bqp~;e^M1?7YlBQjIagNZt(o$9c{xwBJ@>9Uu)Ui`8p}Saf+FSdY5prHE z@Qp%B)_|D6t2o(wFk1auZcqs72V!MvPk8)+x3Dowc7tlnor_ADJMcMetV^{%F0Y*2 zptCnB1mW7{)42^$|-8XFhj>HDY_Tn z{Zc{f+qgYdC}eFk;Ese&KHO!BEJ4RTR)q3u^iAQb=+vx*O#t^PVH4pWx_P98`)0=?t<9bR(d5vZt<~T>S~90}d35 z%P-O%hU=3LJrJi=BwNR?r}HFJr{4tq*O9M>1UMGYCvc4o@;Qi6mdIG7TFUy0IL^0g z+wW{LZ?w!shnC@U%NzgTlhuDQ`Ta(}^ zd7%rC_;du&37x*Zw-LeUp z@GCWM^d@IUzV=ghhKXn2PGeP;&gPnnET#9I@sccl*Bvf zv%vds%3&u~6Qor`e1q7{G>ny2xMmml6H6xWCy8`TC+vEl>2ADQxWO!eeOcexW7GPW zbXN?TpH7=62_#veu`S(EPu$zJ*P0Lsi*rMUd?5rj6$e`30lm~*as~eH0tsy0DVF*l z-nR2_&S929na7ZAsg1+|Zb8{w2^tJXA#V)XqPvP)zGJfcrry^^exbXahboAe)|~2T zLsm-yqgWvNN=VV#iLk5=%X|*rh?!_qS#Et45@En^hmJAkc!Vjx%G+0BM(=#&8u^nQ z%lqofAj&NSx-Jw|?wIjW?BH*qPin2Emx~aPOc**c8?HaJQ-0SW)W~=<^3kZ%z^WBb z5*5nkXiFyW?}U@Pv7<{J3sOZUQ*cLKX_d-MxDQUt-i-fkri;)cLEXhNo)%Cdih6I0^TU~&Ckia>kj=u|f-dS_SJIB7fiDg%^QZQHJV1a7J)fDzXFZVs8RDJv@mw%;k zCX8xGR;9fLuN}S-_-Ci_$P&XM~tUzkt`|5rTenZV^eG!L~5MESUiq4f6G2DY!At4 z>9=YkG|kbxRSI?k!=FyO$4fBL48>;!mbpA(s68ltAyDLfC4+lhFNgME!;XC} zg9cd9t~Qp2BFrQmJ1HWZ!(z&a9`imgtK&lK41WoVo{jUa0$91a;{YLK>mK;8s;BxH^^t9d`!|5hwGfVKnOFY5|qi(!B_(EPVBlhN_4kuL*DNez%-$h#+6n&3y{@|9!_Hs}o;Cw%+u%$%k=FgA&c8GZX^t0Xo{evmAlVYF zly`D1+mGCy@Dtbg##7mPe!}Y=WFmb+2z%*unMED5DYv#Q;pmeZN+Y+Zk44qY^zaCG zQ9(iyle7c{&018Lv@)>|7pL>pa1}^6WQe5K2U%p8Xh_TL{w0LUkfWelC6lV;>-9rE z8w!-Yr>Rf(eK6|q5Juh}yfZ{^UvZVuOUMTt)jl;eG-ozWPG@H!&g_J=s9!0-vz4_s zaWw@N%1XRPK)9e;Nge?0BFxe4xVdJtr%$cn=V>E2lKy~_LP z#l4ZCLq~RSaw_ip_w z-Af~A`lD0$^m7x<$`buYm6cznouR31UD_Gi^_O-1+uSJ(NCuyTns=~PS4zwTTr#e5h`MwW09 z4{k3Ib@{<;bJ6fwan6r6u&fMXz^MHj%gB&|w{z!7MfxPEZ(N5kk+QL8XrYtAV{CdD zI(y_iEU?~N1^RYjClq>wlMh1(q#!O2-mcN+bZ+F11n|W zX<+6l8uOY6>A8{3tgs`zrFER&2@fWgy36o$io4t<-Wjmm8EBx8A_PwLVQp54rRp&tW)uc_VfN>5>-I>w%wJ=D?tN4U8skPB*C*v z^!$9}iNJ)->QIY%Y4t^v6TSTdhuF?^CM&W2UJlWBzC81OAPWvlTT7V}R*-lqA5CF$ z0$8Nv*q7-uIs%wGFt6Msp5hT!CU*csv^N@UG%D@5(#sZdmtEM#_5@4fbZsZT(EWGT zYMAc{CwowBB2fs`LdNN#gktsNtkf=zvxRjB)-wi+V@yFKqu(n9_vq9X5w%h#zg;DY zX&q6mvqJKxKse_Q{wkJI9ngfVN|OItPv10J$lga^HCt8vm#=c3zDoV?oA)R=`1jxD%&WI#2hVsC^?38Q4GR^u$hD&8 zmw!8uwA?rQ{H}!nYB2NfnZ#LUW zR_J-ZZ7atoavoq?byUkR+l()Ni&8Hhx=O?*r_@yZOzB!9`qI_+lX0k?#o_K)LYzG-2 z>E)$4L#^M;2p=g(#E>EBCvJsiGa(5crB$;;LaKAK6v%ORiE2#Vc}<#i=1AgIlBf8^ z0S=O$`H(a1`P!4ss!uA>f*eaakU#$`-)^478{cQ2*lrlRDX}$|={v$3E}%cZrJ3yP zG``Dcq%ObAWIMR8Gom(})A&e@(hz@RSKVMbdRwHm z-swhuIeXr*>Q?EqiXFnG+T^zH(NKe>pzTBm$MVp|%w({gKd{K}C|)_T808^&Y(44bMC&1Bw$Je$ zMk9xH{1_8K5Dc4I_Lf4xXd{+1{Z~i)|Th zUC=b%jxRJ=;mSSleKNIj>e3 zJtjk;7}c9SR(KoViNE$cj%kmurP!YSWJ`%CdPIn}1bsAoY~zvvDh{2wT>;TZE$CJnD-XAmc7G(l-q%=yoLCI8CJS3y0Nrg*>Od zI0Z)HiN9D!@<|0PFOnhGxnLIL#I>SCG!uzh-JS0uIGY8l^7`MG2J^y`e(i}J98xq1*U63$$Gnu}` zX~_Kx$?y525Lp-w*Sc)!n?Fk~Ohb-U_bZ1{v&6Z82$U6XH)qw`Me@@9RdU){W~*1Qxj8VZp*iq2}b z%(Kc|HT3#f7qOCqh#v%u<{Vo5j-Kr@U%q_lOUm1%>p)z8h(C$0z2w9DX=K9%t2ZKN z=xm>sy`2wz_ltbS$mkSqal`afZPCc`G^3_5Sl}G#J-74R1jh@{bKgz?v=|=t+F6Wq zstR~<6rFHL32|fP^mWbp20H>uvg!8Br2D-=!d?umm?dt~(=>w37p z--?&k;l$U!gy;<8=aWC8HBxQABKUh6wf09v zBZSuZd@fWr?HWtaJw*JlqX#u44U{qp`gH>hQAM>!AsJ%`QJf7v7ASf;4(7&N%MP*b z#@dOTZfv%`FcSkijtm=TUo9G7Te2y|nfdYX(Q_G5{A2&E$vMAhI;OHt1Z>1Si|2&gywRiv~= zHMq0(0BcscV{XM3wE2FmYqgz97tUoWdU+^P4K_bxewCd6Zg=9e-SX~`yQkkwh~o}^ z4bS`i%cCb%hDM1Rlfn%VN&TpB8&z^doX^N_%w#-%LRtNgcs8Yvt^4;%;)-~>2X`CtcknZGFFV;?EXUSA5c2BWo$(|qx^JIT-%(+%4R=gT z8Q#ctsS30=x`X3!%4A`&RoPfLg8i0waVgqE6-o}@``B0lJh|%3-)X=kBWlKtU#h!&&fygLgU@>qJKTMj@T7kFKWV#@v>!ROaKo07M2WeGz6;0;g3t`ehl!jxCpT zz@cL*D-0D!)@W&vb@egsdqJJFYWS67-{bHtoD-w=)s^hb=gWvDw4t5#e8E?80YRviZM+MB$J0g9)KUW! zGbGHB&*gpo>3Ap&gBB#XG?*^1ogby`9iW>eJ1LpyorK5>H;507`)XmhNi`-UFp>+6)At7P1R{^AkFE*v0n0q2y3nZy+E}YO*LAwmaxzkTdSJN6V?Aw2iuI~-?kIe&L6Cou`g;IF^X=?-n=jt-kTWtufu&E&VX|V`aK|l<^x@ z5|!2>YysAUTO>ACb$id3;P={KWiY=o99UTn*}~S-c&x)8cj567kWmGO*u+br1#)$# zFN*Qa>$uyd{k<=SL#;2(XiR7^nR0zHU!og~$ylX~Ewfi<<8eHE&~So5%RDf)g!f-A ziX&a+1Q{5tReT9yC8hn)cCg)+fcA%Ip*df*3K4Kj4xA8c6c1>mCd zKGw2ORIGepd^AH00c)b}c9(l;QZ!444s$X|hY1Tbp)k{` zt1`0;o~$AVV-*LJSTm=$E8KnU&Xxz@G6p%pmz!}cQ?}vD1D*^EXmG*UOJ@bB*le;B zm&6QvMa#uCFYa--!FO2iMLn)PAg@a*H1A$RcEw0#(YwiaZcE9vS$q58$sU>dNamtm zwb^3pl9}5jiigzW*s_D+VunuRIP@z9Zq{7hy-a?~KtFR>rVF)GJYNL6CHi+57(b<* zUCHJ#1+2RnU)CPQwcK&+83}g@yREtWW}Y`fb|6_hEjXo}ct?5eJ(zQA5o$Br62pK% zepkbOazL9MTWGJ`C(t)p{JrPhesNhQ^6cm_I`Q4nAiQf(9-=D7YB5B>uU+Po!EIZep%>#+|Ls}dtdK< zR|$AM?SA9w#se(|XVlXDv_L`gzJFQU{g~zO_9WtaUHi5o@;HOye-SM5dNFib+x6J8 z`SQer((U1{xpX|;?K{y>(~S&dA$URwA-Peh`bE| zQTn>)JCk>CM4m5p)<9!pE|QG>ANX3{ZktZqKbhTK$n*gWN>Tb8d@2t zR{G+A2Rp(je z{uVIcZA%5B%lA49*iBduWheA9W9;uKt0e8sPv+l=*+U!B#A{7VdW^e#q%s!Mb5n;dQr85-kARvkF!=|bN1SBRH z7#J*Ai=LgbOzP>>D+CzW1IQwPq!OxHe5O{eF3g_xA08lJUUY3ewb^*3#7X}gyqsqL zq8tEL%%rd%`H%OSge!WxXe%mxj^wy=q!U;EKvEyQy`L+&OGRDkQOWzbPgdag+m33hzGjW)W{#_Ao=j8a#n#{V`A&pc zp&)+2MlgfF;HLZ_dRla!AnbS~6qiP$H)S@9VLGdzyp0YFpbB2HZ^L&vWHWb*>&*_r zaH}w@+UZ5qxhiH3vah&aQ&% zch;9(iZ@Crt^i1Bai$C>`28@fw`46{UtYO%2sT;vR5D}qIX7zutJJ~SCm;Y5DxWhS zf?vBTC67t;!~AUDr#EbwmOSOqC4OSGBS?IGC%QCIKLUgw=V?YLM1F1S1bXgHxvr(1x%a}fOTQpoH$%dY%e-EEb$ zhA+zwt`+H^n#jJMa3*opV%y1&W*U4E_TxBe>qJq%*Rs`~9umh<<_sn5nr zhhO=+OEOLC{UU#@^jFZvrIxckcV6W+%zSPx&_k5PBawu9d@&HKx+YsP?n1N(pYGPyPLJ?>~oDUa&PShtg{8aX85r*WOT*vF!J5m2e-xY3v!CdVu$4>!#TJwf^EdM zoW+C5!N$z60PI9>+p;1{3iv7E22i+EAbEDR_daU6KVKsgsP$&1-tV8i>r`zU6Lt=} zNSg!(SR?uwRbU@rPG7qU^Gz>^4oC$+Pn|o&(IP}PZ{eMvFn#)h7^zBI@31)+w$5@2wcl4d};iRPLx?WE( z1Q<#d#;wOsVSo#!M&=l1m6%a3q^dG8XLHcQv;Bbytg;l}TWG#hVT6@W7G2D0I=MH# z*&Z(GsIccOy~1P8dv`BfHH;YO2PjT0%rx*gf*G(96p^cY?sT z-YkHw?$Rza#bp@~Y$79m3AEM1bc<<;g>pS}>*rhULG#xWxFMrwY?_oTA{0c?nU5_!^#h90&& z%f)QRx~SY=9P{Jh=e8og2ZzqU>DBF>U!7$N%w1ufq+*_R#A1&8@~g1J>4LS{(rORv$?HZtddQzC~DaG zGsFI}Xo{}9>5=O7S4Xw%-pt{#ymlgqh%ySxmhBrVe@=)OWCDeVGd|!4LVDyQKR#%upnH?Q6-=j0H_1VT|LSQWZPR zklJ_N6QxPs)>{^7kxxX^LV{P!mvR>#K6Y5d731S)gR2BXKD!keVelnGIPqu7AGh;q z&Jwp7>Q`ymB{J+1>iDf|3q=hW98bG0&D)`EW}OW>?gSAJBhjO&yM0z(jd*}kRrml7 z2KJvvkfIkp)1Mm)wDScR3@GRR93U@PbKoD&+{}^L)6VvvpkO4{LLrtGj79+ek`@OF8hlT8P`|YMQyJS!+2fsXFSgX|k$HX^1 - Max violations: - Violations found: 9 -+-----------+----------+-----------------------------+------------+ -| Rule | Severity | Description | Violations | -+-----------+----------+-----------------------------+------------+ -| TIMING-17 | Warning | Non-clocked sequential cell | 9 | -+-----------+----------+-----------------------------+------------+ - -2. REPORT DETAILS ------------------ -TIMING-17#1 Warning -Non-clocked sequential cell -The clock pin PC/Dout_reg[0]/C is not reached by a timing clock -Related violations: - -TIMING-17#2 Warning -Non-clocked sequential cell -The clock pin PC/Dout_reg[1]/C is not reached by a timing clock -Related violations: - -TIMING-17#3 Warning -Non-clocked sequential cell -The clock pin PC/Dout_reg[2]/C is not reached by a timing clock -Related violations: - -TIMING-17#4 Warning -Non-clocked sequential cell -The clock pin PC/Dout_reg[3]/C is not reached by a timing clock -Related violations: - -TIMING-17#5 Warning -Non-clocked sequential cell -The clock pin PC/Dout_reg[4]/C is not reached by a timing clock -Related violations: - -TIMING-17#6 Warning -Non-clocked sequential cell -The clock pin PC/Dout_reg[5]/C is not reached by a timing clock -Related violations: - -TIMING-17#7 Warning -Non-clocked sequential cell -The clock pin PC/Dout_reg[6]/C is not reached by a timing clock -Related violations: - -TIMING-17#8 Warning -Non-clocked sequential cell -The clock pin PC/Dout_reg[7]/C is not reached by a timing clock -Related violations: - -TIMING-17#9 Warning -Non-clocked sequential cell -The clock pin PC/Dout_reg[8]/C is not reached by a timing clock -Related violations: - - diff --git a/lab2CA.runs/impl_1/FetchUnit_opt.dcp b/lab2CA.runs/impl_1/FetchUnit_opt.dcp deleted file mode 100644 index 2be5b028bc7d367883c133349517c3dc09762877..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 14290 zcmZ{r1yCeS^QUolcZbDgad&t3#ogUuarXfhcXwahWpH<0++lHBj`#i-@qO>!T~Ac> zL}x!)F=YB zB!nTcd{1Mq)eMh{f*LD`3PlGSB|LM9(62;AX5yIla8a4kVr7M}{+fLyXKruKEI^D# z?dVR%M(9k&--R8tlODF9~%V}lDi1RMmi$i1ifp_p+(lTj+DY>Q2HL?Y)h zHIAL;EkVR-@|lB=*4agJMK$sTm#)oaW-~gfSE|V;MZJwin{%*uB8KFzns!`<(U5JGkO%-jFWuJU8)%8OVa zTUhhGOJDBvS9t5=JJ~Z=@Rdz8NsjL$lBDE7SXys<|3OMCuV+UgYgf;=O^JC!e)~(NV24+g%-SoEI_Hzak~Duo?eKZz%GC=# zt>{Xh`)t6bvL~v10rA#7J;AovE?LY#2MI3d)lq$LJxs~+_@b}d{Xivy12(p>D~eti ztf`WF#lDz9pYLVtRxWW={7rmj@2i<7A0Hv&pnB3SY_P-D*NCHC9xO?#%Dvsy+2eWb zC0Z+N#yyhuEIDu{!4!lC+Ndt{S`PR^!;A+XXa9$rH@Ul-Cfss6i4mRTbI})BVZYz> z%EZ~Z?74Vug=n?`nMOx;8DSi3%}Xw&sjWANc9t&}AJ8venW_1R)df=qv~%lCpBLHP zKU500hKq(fL%X zr5AwBQLaP!RWBtmwioA7TKLvx(_VY>X0tZ0wc&xhsW0GOnd!v!%IIw$)1`m#B+KKP zD%E1Of^tj1FvMK4luo!a+;&fK5WeP`0>fmIXdkV*>rAMa3M7o)%mjkO=l5|DaLPVf z?I}JZ=7n>U!ZAj-p&g=ektPkb{ixd+Y)*Yzrl~00o7K+e4Y+ETwhnDLao>~IY_>F8 z;og!@@|e$Q?cu(9*m6tTe?Qs3wtcgio4pAaG45)Q8M$45GDnlpCWJ%v14(%<8uRr@ zY39(>7F1s4P2#F-lw@zjDsN2fnp(>|t6JUcxFmeQr|{6QYdQumtCp{*wySNKI<&TV zHnjN_zTcx?ah6bVwo+Ns(RSL-+-O!Y9zdjutgnKs@58?_pAGFz($;vmmt26H*Fsd@ zlGC-;ayaFqwsb(2zJ>if-F!DojX6kzv=ZZ5uD?ved~36eQKCu2}R~uhr=Zm zY`-OS`6c4CS=zhG+;Y}u0b%f1g%u_BHlyuJ;|Y;FCv&ExGLqPy3OCC4+p)G*tLN*w zSNr7G(|pluHDMHQSxDigNxI`4Dg&4%_Hq)xpdL@LF?OHl)!6kLnp&7Zz9)Kaw}6eQW=6=4Jej0}ioOp;XWjobjo4SZnsJ8q*{KimrC?a*jlw&&2je9NHiIUL_R zs(gD(8>ub+ZPUf)ZG_rYXs;NTFrSyT;irQd{ISmjM{ARFf zK4WNQ4**JxLTf9iilg!6L&|)#s!>%1Oc#UA0~xDW3GS&ewx+iHJRp&i1^S@UnG$NWb2uoAIcA9`vgpPZ1dAHeC;8n-C`LP!W@iw^MmvYj zxJOv%GOI$YdppwxiN)`;tQevVS6G6I^$wL;F5g4TfKtzaOAg6Vk@J5XwtBOY%GHmPOmiN{js7ps*S!M5##iI-#FV(fj-r z9n@^74m?mMPW~a?heP_iiyal%Si3$nSjt=0Ije_j63Ees9K^~Tl1yIGda~c4zarWW zL2e|7uD%GY+V7x-=?z2~uq(M~?EW@eA!cA|n;a}& z#%%g;axqL=i!>IKcp=m%}9$1MM7A7;K`Ac5M+Lm3`h!Ifoi>~Y> zd40WWO7&_B5{gWT4!Q=4xZG@<0iF)13}Zook_sjx0;TasDmAG;7(j1DEWhZ~8b@ZI zs6h-?7%gw#m>iB$eR4pFtTlyFCL#oc5*tLBx*U%z3@yEvURmTPICUF!xQMhmBMV@Z z!ZH%g7*7Zo`V~W_;#*X{*rB*A0Oco$4CA+aB^7lY1j=8;pNuOQbz5GDi1cC@3m{^= zPRI+rx&~@beHU{d7JHi{R0WwdUP};^x4BHL$ueegEOyRTMlV$%C4!5v5#;L!Hdrx? z?7)b5r-T*9CcUhxeJ}m@iP6ySC&H|m@_5DNl0BhKC*`b}Fsa4S>*2?j9!30UQ$IKC ztrAo=sP)QJTKFYRdg+(BTBz3duIQ859B_Y-;yJJ;)7-S;rWWBiU?gAMr0PaJ5Hh4q zU`AY0k28e+R7iynv@vSad(vs)ADQj@qulAuyDmy1HV4a_L&!tjUCFvx+qJSFi+B@jI;o{xMLaiO@~&T z)6<=7wcpH603b{>lp8q8Xm9hQ8TJKY$w4mR9%_-+chMM#P>~jF&j?C~{DW zqfqlll?a9N&CKeX{>p_h0m{7Tc${GIwu;-hbdORa?cKO~%^05ZfI+JHqBtre<`{GT zJz;U6#cfM;%eKi2-8++Ca`BTW{I4Gy7;09~BXJ!;3P%QZoGL}0Y<@kpjOsK3k&JV0 zCCHo7RVE-uDEKL+BKy@ecW zY#m+u-@*3+xzElWRXEkPZR74w5QFv1<>KN84FZLTg-i_FdCk8 zOB3vppX8`bNoy2Heezw$X-1j#Z|$D7>5iZfTSGz)Hz{E8A6vvJ*gmMFSGayMkmY-E zN%s#A*`dNtj!2_%DA-3!S$!$Wl23W6lCPAxD>M3Y9p?`%7}Ggf~|<3vX)Xi`cFvjdIa zvCj(^46gov*N+%pc^t# zjI@Uf)sHVOroY-bk%x;KxzQ))xxYGXHbR@sZDqk8!V;$cygUeIv9gV7WL_tjV=U>r6x@TGAcZ%%n8rBcJWbS+Yoq7uacvSDtSTys&(lasM&feVWyg&niF7;i3iA zfR0c9-F#JoUqAiBeft0N=|A(jPGIEIKf$MeZoRF=`Ac!l0y30UDrfRwFqZIxGTcQ% zSdJ`3k<}F{7b=b)qAq58ow9^u!yNgn^?d|6bofUMXG$C`(%F%Shba21TvrC+4fXQp za<E+I{Xy6-8*j5ZI& z0`iAJCqdqOv_9`vd{1s%Pe-LL2M!x8CH}SBCv6{Ix^`ZoFYtdVuNF!whsd;sw=S(5 z({~hleJtp%&Uvn(K2x@AJ@1|{&E-Cxhq#~)!|qJun#mwH&u03qO_^G{WpnCU*Y_q@ zsV0D@AVGGFAhM!htU}z#$Pw`tvLbwgf8lDtCSDH^n5yN^$Ny~S%d7$ci zuM4c4Usb3T7{=r#fK@W|z=`R`1{r#psZn}ab!IkoW+i4&W0HQTSY=O~IjIErzchyt zUy%csPZj7Z*x#Civ#C+2^0+m=FiK2_kaDBKHA!6~g)p=MI)SKwP~=K}cEKXguB-Eqj zuMQ#vwEZeA&5S*m@AhZ!mPAr zH0&L3gwWK}98i!g(nL!jBTlYZCU!*Iebs;6cHJW8&O?5K3#BGwk~)=e{O5^sN?6I$ zfejm;Vn2XmSvwkH73jQ0wjANMhv5q!%P4O?NkIY^sp^$HI_7OJIK(_bvAf{>D>i)% z_D|XtK|K?5){C<~_XIl&kDANb54>{p<15#0oYIlvpfQZLW`%piio!nBS11d$5Qqnq zV?>h)(zPkpmTO2~CbtM2I7xO5>l2Sgylm4m4jrf2s6jdq-CLjP9)<_?Tc&9=10kkG zKxFN8c?+~_V@4*g58Cw?dS1bwhA%Mxo*W4@*-@JaARsqnARwQ@(0?gHE|x})W+~c! zF?#BlxsUDwQ=QN4E*9>Omo3HXzt!_*HZNNAAp%#^SF`gQS;r@APlA{kFGMt#TAB`I z-^!x2K;2-6L&TE9EF%^T5hL&d)kyO|T}g%aH0Q)9g%Q-qX`)oFc#pchuDUOY302&$ zo;O{luQq(9`Hwo!?IMMCvMru5*N?CdJ+|4EvO8Sz>TTSwHiV2Gde7w>UyqiM_aD!@ ze74^hI*MeSl+o0EtD1Fdw*3elBRq z2X6>2&E=Vf?C!5mXT?;9s7?hyDPlTL>QyQ+InZh7nhZrkKzKIgWZGV=u=Dn02KMdE zQ%_xPvvjyHf>Om};i!UYAtZB|Hs7bcFcOrK+)=a`w^vyQ>D(jtu) z@nT{~wSmyl#?7T*v zQ%we?>Bu$GT~oj0R;*L}T?cIHKs$pWKHi7wt78s6O_Fy*4pYgFZxk1$i`H@+45Zl( zm|Gb7i_UEVotgcfmF>Y@=)ogo!Rw;6o6Pm6bD2yw@H)gNM0 zbe>gebQm;re&>8maM><(1`KGuvb1m}k4!cVk4;JxXEA-HzWzzRBAgydW??79Alv^F zVZ+%CpJ!HXAfy}hc~tgAfT;Jp=3t~S9(m>3xZ~4%EWo!mIR8GPo<{0MKNXnwM(H^ zV91&jZuwTptesncC^UYjj0d;_MKq#ZIa`%>J9iw*mpZ+8<|%YwjiJNW)TJ>!Ew)?8 z$Hv{|=gS7y$hL+SnrZ231>t^OBhiHxoXwY1E4My7W{4EKPHA7IGR{u!$a<9o$%xeI zm~cFEcp)0gb#4vs{CFG3Om87@2t%&&a}iadxbA!Zuo2t!O$!O)xjV#qFOg&&;<;PM zY#3zg4KrM_(@LqM6))1O@(N#27;+P&)swp=APWkNPJ^P} zWjHJ-@SbU3XJ9KeY$X$Fy|3G(4yUDF7nX|KVM7TbDRYGAE(Lr0!|oXPc{eOQ0EtfZzE#)7ch=6~V|n ziqR#TRP#}1JiHJXV=uv*6sL7IA#B3tn4%3QwsReg2aHV9OEQV?py*SO?v-^uPvZf; zeu<>O2`+ARw2dIqj@JU{0rA2znwFZU5|)BYF9ydmnu|;I(vSnD-MDG=k+LJlaZHjz zYR{bY1)k_)_n!(LGC5g#LqW&p(f7V-*y+i}VkY{KsT-JiYoUPfh_8 zzbLt1Du@6**XR2~s;-Pl6!SFFwOCBGC!D?$l-l0Co6;?+XuFi$H|-M6=K|u!&SFJzNMx8DNF7=PNEk$NgCvdeFJd*j{lI3f7|wU|E-@! zu}C95EbDwX?TyoQ7`Zs2hgPYYRFr0VQv2e>zqbl()lX6?^+3Dd0^V@mWq1A})pnI` z=cPQE&w0?r1I`k+Q$Q2DN|R+L4K|g&d%XYJc2(V~56A%qGj}5YI_}754*qbeCVXE{ z*Mj$~CJa#Q8PnuX{HXH*nN>h0$u|oLIHTyffjDT@LtC;^GVc=hy7tT7H&g8NgtISD zO=?Orz3K5*5;#gCxa~A*oZo}95q=ir|K|T|6^N{t5owHE}1msSyDzXC@nV{k_32zt|2V>6SDXHu2kL={SwHK$RqLCORx~~!yxt>XTjYi zkfPh=voHS)yb>ERn#5#jPN~nnV0-ZV%1LClnIq;nqvJ9X?kbguB}O6$@mM;L3u7}9 zKE2V9Cu{Aa6c>~fmYgg3Sp!y3ivfxgKYqC_gftn%qHz=e#!!nFstc*E=NGQef|TAa zNdm5UMJ44&pB9fRL6u)}NHBVcT^B;C3}V-~cqDAEEvHvzPRd|61$u|Uj6CW^rM8|5%;X_`Ao*7R&i*ZTKPEFl+h{Hgj=mz#W;0w5sd(orPL%^ z1+V3}P+jPls<8^bjP*7b1Fy$>UHdv=I7y<-##Z*8+nO_I)S~^(r44%F665&rbHO^I zx@PLxmS%}!jaKiujfTFp3us3>jm7+yQ0Rn&Sg8l_7l0{38QE-HrkFh($kit&aRx#)`?l+ z#-hec$YJm;w0!TTe5Z}u4)NxLpdiIdPs8|o(pH6)#wo`^!fWIQSL^l| z;}Mo@wD1RjhkkfyNWf>RAco6eYNm3&YdosCn?0`C-YL#OBAf9H75hbB$z#fUs4iek zn{seCCM97NG!}K~3)I^wEr(W*)>WZq;)q6s+aK|%1sRqFyJBVwPc4l|b^+D64vR>5 zUsj}dYp0gnLGA`nmS4Hfmv*r~2L%uG9(3wS?S)PL^y=MScDc}FG=WV3VBSI7xF*^Q zQr}BA@|De{ess4`5HwUV{~@$Uf>hP8HTqNXw$Tr^1`~|glxHbLNj0tv z?x;sq*Im54#1yQVgVQqTMBRVP1zP+_@rLTUTGhQ&V|}WuW25s`xbX0nFHSbs=%#TZ z%2qvjI87AJy%J2j*@Gle8jWscPkKP-UuY)sFS6r*)7tj7N3qfq6dZ2z_m6RVf5W+Y zd1g7hzctx7+m&2f?)$jAS}B=BZDyZW@%k${CrIHVXFvr3q5f>2S>S)Af1dWB)Tqms zTDG1VEL`FuB%cQ>H#_@3XBHL~X0;hU2G49+0X032GRL}y)f23nyFcD_lyw5cE(A4t z!Eq&|ilsJZ7x%SEvJMo`0#0KbwifCPp2id75x0woSl6lK^ZTpf#?8vm`Wi)88sbG) zrB`a!f0|UER)wx^9V?Dc+?#=Gj)8e5G4wPgueVZt55ZfRz%z7zNy|&lD7;w7Bkl?4 z1Oy+1bBbF6JaWOTs+f(14etcbO(H^;64T)60iZBLf}J+sXr&`M=enL3tpv9FT!jgw zC};M9scQO&v!s3S>oMkHlGs!CA!U{r{jQgfqUu$Vzpi<=Gg-Z$SqYnKoPnmEXT_PV zlyUP&OatE>6eH4D4`c8bx`#G1>gG2oPc63%#&i?k%NpSmZwR65XqjFg_FrX5SdTTg zVC={Q%HL0=JG4)@Qho!K>Lr#lIMx}AuNb$lhfxjVG6JX9X0`WyhCetFOTmxEQ}R{v zqs9wvhXP*NLOHY)1K-G0@uH4Pd$!YB5;ovNL8>%(tll;YIh(%a#k8@X4uMj;QKq|A zzDx%b%q`jz^1Xbs^g*@qB6X5bSrm;Ldjf~Cal$zNXuT&rIc@)7V9_A5j~0^Q#`EP{ zR|{^BZthib5fV0h#|uxmt(p|HTI4Y~ul(KBVwu6lxJ&)qLhtI?(b5&E7dde@|1rSg zxU&3nUdDYUuf-Bvf_*zkr*HQ>6JhVym$fQn%DgMn?pjy9Wr?Ix}k;6XJJ+~~~>{w5eNaZF96KS#_Fw%)7!ieHr} zdd1ama(Caq*jutWj58(>1JY9JirsHjI-KsuNn%p9od11-5wf00Tyq!1gy4M z>F~j3n0kchD5$+Rgfw=rOUbk&JZ+lGvS95q;E~u$T2Z=B>1|oIt#qnR9igJ%EUNfR8TChO~C}Ijd@JKsu zzgkDGa0F}5-dwgTzOo3;$6^r(pmDE{xFEsU+7vlJIU&UP)3+_d+A&431J#g-N}&>0 zcH2sl8{o_?(zsM1(iWhE3fmY4C=Sm88xMjV(Y1tirM(|5UC7>ErqUL7@8&&~h6wUh zz7mbn+3fvh)e%Ky18Q_uC})HE1MvCob`Pa9b~~g5CkH zP*}J*ztr^;zK4Xv(s6OruPMZ-8Sn7H@UqK<-&)XUTV=M=cqTLFVrLZc3ZxZewUgv9 z`)A+q>nHH)BE|%H{0LDP%@!h&ewF)gH=xK6saRd_!-@u`I(S>kF4M>c(~rr+2Atu_ zK%mO0!oUQJqbQ@NbJ@8?NN;!QTFRbem|(HMwUn=r$}Y|MZ@&J}8A1Kd%n(!4`&tdm zdTrdcWRVdMo&+e>=M-Gi$JA2De$#TziqCCh9X1f`hRN#pD-7b#Sltwhm9#URX_cc* zjWJi2vzRNx#5M4+utI+k@_8Kun<=b^29otbJa;3J3vH#6m4*@5SNbQ1TSxc(#-SnD4Hg0Iv6x2DO}jsTPmTi)T80;Uo+> z`EfmlK9k_7R-G)vX_p7h$cc=`|EM73z@Z{p8g9Pa^vySTCmV7zepS8LamT^-K#HMw zKjqi2Wxj(py9|3h>7zOg6*{3NC1Sa9pZ z>x?R|m?`TH30QurPpUM(*wcn3B1uDEk9f?d)wFE9%@W#Jk8?HB$B~bw!|Js5Nkg-# zX;^shQ0-EG^dIch8;RgjlsWpjvU{RF4=;PgF^HgTO)1lYsE7G(E(uogAh~Vtw~rv* z#@1BK3}es$RnYmQ^T`+}zq|&Z&ZE^RGI&6?jPLZZ!k++N8%?8D)0pQt;rm>Es_{h3 zMBEd}#+dvx(W8|9&S z`(Ux2wQCe4*pjvHS%6BPE$f%b@J`Rp0gW(x#k7Zw;ML_ha7O1V_`H%@;Z>-J@9hW! zs{lEpj0!^PMgsA}cHA0smuY`4*qQ9X6jA+ZN?t;d?B^KM;+Dj{pow!P4kfA1^0=AoS_;;dt?I#Ja;ATV{KwKnU0cxfyd_<2 zNKi{LZwE&T;cMG|_P0wCT91p582qJY&q&0L4^r{o%RLp)H13N@lXe1zmHkUW_744N zeqaM1bj?Yw+^Hr6(}Og`x7M=v3(pV_!JKR9s(FM<)+E9xk4hu?eohlysb7K}Kk@4P z#$<6;p9JyaT!ggRcT!`1tx}C>^%B}m&PPG5(D5JL zxNtxzZ~K~!IVgh{@T7lf43HR0NCn$WuS9B*c4gx8Gl8aeUA4n>!D{>wBDGLlsireL zv)Zp5Mt(WJc%0C{EvgBme%bhw*>;k?dRT9dhP37G6hp&tl0jiiM2%!-SeECr!b8zB zb?Z9Gs~BeZYIPhNYfD^bR*s3b9K?RW4Sx#|9hk+tLQojjIv_v>+XKIhieMT4UNHFJ zd@DZKF%faIETnmbQU^QI|hl|6SW`qK4V1KI}LrjZ;;* zU)MM~m3>bq_DRr3zJJM}dGFmy>;bm}3nHZbkjrMh?b*n!4Xm;rlhhYwlW>{`*B`Hk zrbsV9M!KU_Y0rJOZEzx?Sf0EPSV-z}7+%gZH|=1%@me8*Q(h?Wq_mE!)b{am4LIuk zMT_Vc1jJjN#xqzWZ;u>&{=t*0mIy^q9aE^0fh42UXB~*&rPawpPjp9KL0#!;9>!Hw zegZhPrWz`sWs0DtXMed3vOb+0EJDUEcF(TNzfiMZ2|8;2T{1YM**K1GsihoLqR3N#)tKqwTw)rv=d7A^A2u$Cemgp&4`U` z+@;~6#5;B*vxC0leeCAsx=GF*G1S$Yf=|H0G za{zUSU{&nGmq69c$PUi&t!~FR)ojtK62eGCjvzg?Pk&J=286zCiP(1b440s98nh4I z`bB>mkAYhKh5u~}fWa(^C*fsyw8+p?dBr)0NvaZGx9ac!JdNE#fDHQ~^StXlZ^JC* z3q?eC^>vs`D)Wxmn0!J>QfxMxZ~1(WI}R;j^lb3uEaF=!l~p}CF7nuL2FTl>dgh3q zxhw|9sLL?uy!Fq#lj~vEQxX=<-;(T{+@w#I@kpcGGv!Xe!7CNYF>P!!vezqfUFcdA z&SIdPkTW}MVQA^xtVr&$Btkxi61kCmWRj#&>ezgdhv?ZZ%T;csn{pRBHirn?>jGyR zGmvAxV3nE>K3Gw-A6~f1zFS$@jLEiSob#f`lq==AJcmHgqKlwf9@rMZ6mPu+g&78ws$|7X}prCfpijlYsHyM%!J;63 zzHy^Sibkc$YM^@Hx$1wEzgh*~!p=|$#5iP--8hH+uGky@vrlvsbBXpv#D9*om!{Jb zx`*(~9UZsb(GssCp0hyi)pVmk0?a%;m4t8sAD95BD##>#Z}4x9Npc;(7hu5Oke0Z_2<;MV_fuU(D8Ys`SuF_ zkuh*P=VG`Mm`L9w>abf0w{k5ZL$iRYK*a83f#|nt5r9b&Mo6T&$Aq%gjgB^pEP4YJ z;KQ|1@i3#v4|SFYk;mYZtK$>W*M~MC3-UFiJiYWcqoEPMo>|0tg_|{6F8f?5ul4?J z0^o?KJhGrTez?D9?2)#f-zVuln2*VFOfLpAh#QWg|AzIxfVxWiUOa#ZF&3#*v%u}kTA#!e6&vvRoLWnjK(cg4Dn zu~s^_j!iPw?GZVaUM9JD!}&B=<{$d*{-T)>Tc^s>T^pT#-PL9EZ?49$i&Tb~0E(-z zX@i)2zH`CO$?x_`Y$y>cvrtn9P|KfXQjkMn;7>{jvg%#0J zqq^SY%)CQ}H|ZaXeUxQty#*`pJcE?>1O2iL=|7cIVqh{T*r2fgBTagH95Zw72$GvM zPW=t^-!bzEZQ{WGj2Gf(oe28>BW9MSwxJ2LvIER0!`ru-8-?JS8i~rwr7D#eP{}+) ztut&+yjV#|dT#Gm@^lmGRNW!6-LIP-28S-AcI&(w7qGuNOu2is2Vpz&C0shg=Gah8 zbwt_OJj(!@m!iN3D~uXRibYg58L%n-P|S!gX#Jg%FQr^_H>eKp&0WugG-x8~8-Oj@~E;Wb53EG*_%sIN5o zR(~LpqI*m5H|)4Rguz(wlgDy@?0)Mok1xVI%>q-*26+{D56>9QKc*h-+7(%z420)} zKwq*}&%CQTptx;G?HnSFLoQg&_K=5$9GLZlOg5VVZ&N6iDx&ioo#u4;0X8-?v zSD(QI`3x*bOW;4>{?9I~zoEak2K{sE|3FEfr+;k}`WyYb!010{_@}J+AM~Gcqrcg| ztAqYw|2bL$q0s)D{j3%G8~wX<<$u6`(4x=z^Pg`2cOlE)@ZW0hKk)zj+!~VqhCj96 zztO)n)Bp5aNc!KXr~TjL-;ag=bo%o3zsUddkocSadx89iW@P)n>Cfe&Bnt`k&rPtO zADqt_;?52N!U!VH>doP<<)rHDD#@W_ZRYZo!%2Zv+R{vv?W?s3vk51+wgjg-i>#8F Krn83!|NjCvVcu{6 diff --git a/lab2CA.runs/impl_1/FetchUnit_placed.dcp b/lab2CA.runs/impl_1/FetchUnit_placed.dcp deleted file mode 100644 index 90ff8ee59b0195b912110417ff9ce2419a01e75a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 131977 zcmZ5`19T-(wsvfE)Uln8?Hk)hM;+U?)g9Zm?R4y<)3I&)#{Zpp@6A6mYn`>ev-Ry= zx9V2a*>#j;A)zqAz`)?Zvc9V+R5)h4cz}a}wLyY`!Gg3V#tw`gwl=>KC+vcRFvFG} zXce@Y5z&-x(3#L+zQIQcE4hbFC{d9aJJj7@R3^7rvV>hc_g>|uW8nO}6Gbx5%7K#) z%Nj*o_fW$xLwkIZltG6%%az+VR6x%_;R(cF$bpF}#J6a5IE*su>lfH2rEU&!tEG1O zWi9B6Wc&n;bz%i6Be4sHfMfyTmIU4}7=WbGxOM(mj54XoDHXKby+F@^!Be5IZ3Z43z-A#k|Gh|b@a;-vk7`gtWo}?u0IX>}=8{!w9cKx5! zxP=|%CPp5f?!pLM{gY|973@abpMu3wW)`@e^hGB-S3WX7kRC^FSZCt|4?c1y_ei?v zlVLd9r-$5h<2r-r-DF;@IJK=zKQY@SzgHVoYj+s+TxzrwCPleXr+B`#>&&?+-#+t~ zAJ&+UdU%A=L{xIv#=Dv4dj@>7Q!^;jSfP&0)wp9|r#-XW)@bB9o<7(j_CXFFz5?)6 zEC_-t{m03vbKB4#M+F1pNCN{y2Av!UQx{_kbvsKJMpF~B3q4!=HE#5e90St*fuWB- z!zl*31Sl4S=1bm|>+*>|0)Nan*igk?e{=1L^dsATWNIq7Gu!&n%P5!`r& zkxxg{lzg2WK)hm(FxNDnVBWmx!pmw$EO2ep@#S9ZXrZ`iBti?y$`@Du2c z&&6I@ddObo%^7YzBdmR7K-lBf3KApGht1tRdH8k2m0{l%mizXYAz!=jz}tPF!8Loz znxXIAnLE&V;?sP#;6%_FDfQ`U-I~*qSzS{bYRB#7!wnQ%oIo*Iw5QCe(m;YlP$E2 zYS#C|s|P@Uq?@h3x1fB>!}ADxrC(dP;^p7n@yo2w>+iY&%+_Nur@V&1Jv4< zu5Llpg5Yg3Ig;Q8RZ8j?gs+J9_aEm1> zbO})vVR#pl8Kk{>1w6msTPZ)*vt(0Q`x(!cd=U;ez~^ zAwXBH4B7pKRWsbQ{n97G(dYBtF)(&)_Sl0vFzK*&Ox(KCej?;IqYt?X`@WMqa^D`S z<;rOb--TOZ3cVnFj&dE!ZlsjN_+E^AY2jPDbw};WuJ!7i*t$FFhMu5XWu`RuC!?30 zT$kPfP?pyvMXJSe`O6&v!w_@HVmjgWaQg$rLHMdoG8~g}yj`^FjuW9`>KI}4M&=lp zVqPCb7Q5_|<(}d*a$YzODFS0uJNh9yH)+C9XjoWNJfsdEoLzeu&P z*rKUx?%dq$Q^#>5db`EA;3~D?dak~-@!eG~ZH0B=01#Uq&R8B^(@k`FG7TvZx2}D6 zBRvbNq#LWIJEL!_>wF|oar#np`tn%e4eqX=51Tt5Jae*>bJ^urC_K|s^~~?$;aVrd z4vEICg+x#!%wP;vkaMLo64?L?)=!@G;c4u-p+tM6^Z|L}AvMN0!TE zZxgt{Y7@A6`kCu`qz@u(k!3n|L^HIo*Z4lwhVgPGpwnNKjicW*4l;P!q>UlZh|ux3dfdhQfF zdpY*oE}=Q~-|koz?FdbJ(7ZJedYXYbl|s2+fMu*%*+E#e9Hf6(T}m#leoX|3WV zB6q?twCU6xL&OVyH3}tDIca>)6VnZ}o@i=nh@@f@>R?p}5c78WAYV?vIa_3buEb!c7Fym zVaLaM37o!fVf(4M#BP1AB05S7Qy(cpwuWAuo+y8X{L(fE=ah!-hq4R{i5+>wkTZk# z;D-DcQByH-`Y3XkK|-i{k3S}{ZH@3h%3RAL#M=h~a2gR*yTVEB?1OMButAZ(nMsDL z`Y|1TL^RXSV6n`Ts|^-V7zzE<4y8RE{GvOefb*k_85A1d7l1QYPb)F_{~~ zoPHbzW-u*AUYITnXA_PoLx+rLD=I?YRpOaP(>`fJ+C3@P@I9V(L21$9t|QKcj)!{1 z{4$#Yd|DtKjwZUE5Kiq@yU1g33GxyXenc zQx@WRri`jVVP-BRZ*W-5q0<&sw&UhX;HqWO2x+^V?0?uO>B|CWQ^cX=0@DSLYg*j# zj~umbXU7&*?xbw!^-Qf>sv$!X$`?bh#L!fm_EN(%0urLjXp+=I5k(`Hdnsiia=|FE zIhAS3JMhBL+r#OVMdF}n+Lt3lq}A`3lSXY#Bhkr7g~p(TFl8#jBJ#zkm}Qf`T!P6k zj^`_>B+DXEvcl^WgrvbJIprgTCRC*Upo#aKMW=*f1m)2L* z@RgjPbcQbA8+Vc=tw}r(+1Alw#O_J4opE&eM|2D*dX^;}-9IzE=(&CfY1Sk02LzQd zvks%Tfl7(mxM-Ffd_#19QX4^`4N{D;bpw*0OPzLkLxZPk|M#R>9JxE0gL(#2dj(@e z9&MJE?`CEMx?laZc_{-Zto9wOHtIu{8(dZi6DHzX>5SS_{#v?A1xf0}E)wb%GXQ8^ zx|Bzceh&T;S<$DZc5e_EZ9-hzyS-?5;6@*|nc?P53y>Byb#^9DNMyM#iIEqRQ00;r zt14F=R-*Ie#)ZUWM=;(V$2*vHRKwmwtk&jtnI2C zA{)y3)4^BLa(kugqTu2w?L+2McFTnJ<4+Jb6&JMnYG3_cOE^75@J5TundAD0%Yt4u+qw>+CAbqz5=Z3_l7@dswY2-3HdPw44kk z!Y{a+OIJe|Q!?KSyJyUM))~J^oLOHs5DJ2`Ja_26By9nE@D*kr73R!b$V02_N>xWW z&wQvb8@%EbNZ0u9(v?P4{If+`QV-bjhd0Z-8A% zyxB@5mdcSPXL3xAgCb#es1Rgqw_0*iS3#2arZemVRFohXQP9ziX=a!jh#WZ786!ReX&UtJ>PHh zl*vF|O=Y znKYg1nJ*pt&a9_o{~Z~2Z)|&MXFE4{I;q!wE#tY{uk`4n)V8@8`Gg5r+oSq_Ys?%d zZ1_W{HusKOg<1&vFZ5qGf&H%>U0n!^_3oJK(9s*1_O?V-0~4kPRuG$F!oO~i^5Ht zsOS`Pckerf%cu8vwJ{|}0E0a<1g7i#f-Upz+9^A76sBpWwfDzwpHfou?x%d8kpH>p zN9vz>7yvEyxj|hSl>b=tTiO{rnJV^K4KO2hUww07;%LYkE?x?4&`k@nIp z>jkwNei@lWjWSS1yZ_zwbw&w!M0Kr8FbDO5P0JV_uG)aSx|->(ctJSR<GJyrk}Wl1By0jKMZ3!toAkAB`mrK`IQgyvzD6_ub%N@J-;RY zOXtAL%H8#U9qs{igzYD&`DBXp-#rc|6Qe1O3A;53%xX2rNPCl!^wIkMB9!PhhCc=1 z<>BNE8)bAs5-lW@*D5&C7>;0qP)-&+@2NE%w14FN^9^PJCmrr#E$lutv>j4s$vp0d z>&`Pgq7{nJ*(m)MyqCF1T@IdO1=DPZE#hs=0&Zjcd$BM!sA*~K_7IFe@r2$Ozg&tb zq2c?h=a&$bqC`LQlW??gC_wc2O3;06N7iM}7}>{YfLud~U6#9+4U28N7$R$5aYMDL z-H1@8=1ffEDf-LqS0kbaoAV+k=JPeNvySj}{*EdhJMpxY(-JK5=F^#Roh3Tm18e$O zPW?*@0JnTR_9uf0_4%38S7cc8+ejf~YNEfD;K==AWuh?i=Imyr`8X6g?s$(Yx)~mp z7o_uw7@zWA>Umorw7_P>Ikf|zY9qkCE zD@rsoYP_r$BKtL)A>^JX65c1=L~;Ty6R&qEzvcS)=SGvi{Xo{8JkSMqa6eOH&B`sa z`tioGa?R4MGh2VDC0EzBbIrAY!ek!?by#&XY;nxu|x=c8ZLSigYMBFy$p zC-6O<0w>e;T0@XS6)sz&MW;F;>_ZO^9K_XuEwOG z$Mn4K#^putpUS?7uqEkE-7#SLdGXPjys!ue_gmNBo=&fFl|dz354yb8ZNEZfyhbn#621=n1C9Sr=fuH%Wn?XBxgtWEUd@1>IBn-@ zz^hI!R;Y|3U5R=_Y0hua>Y)T!g*NuB9aS8jqScf5+U@w7O{+}YF{GlNQNY_dKkY_@ zM?%zKWz&MYe5QM~+8h*iAv!2I)S zGkO0TpMnW>4AuxhM>_=zLP4YRn`M1d<#`P{UwMPNO?;W7tuemTxFU2BduS84Xepaz zU+;6B>_`t9I5ecNsA!KRNe8m?(Um@ARVfby?6r`iQFL|CWUh79a*rqQ9wgj$x zj&i&&1&*Y>ym>KShL-jNMJGm5Vgc-0x?W3$cY#BRvcU&KY|&2)Ir^(STD*?8JE{{; z00%P%lQw2vEq*tfxWT#L(i8=zY_Frgvm2QgN;FN#pQsn7eX;%DG9S1xJ4`*lp+?2p zAN4h1g~|f_KU8k<+Roq1$86e{=~^a!on|NNco(oHhsFKmifX(dN2O;Z#x@+A=Z}mo zjio2jlL={d3Ib_zXW-3RN^@Kz4%9?8olN?)%Waj!I`8zUf+X! zV#4fiyBHXEi3f*j)-Os3oKhq<6`?^10b3Wm5D+jXe&Q>Zr9?>5a%E{k(&5)ydpkQlk6 zc6K=~)jdx)y>Apg*+Di$h0Jv(Wiix#_@Tc{8+vB$StRJ_VF!7h;Aud`vWePRd{3*W zK*kT|ZDOF5ZN~Y#ZnE>6HJ=ADrpa_{;xiii72BWTKT^xl*3~<#w0ttv!*YP1GTOsD zfUHno%;FW!8B?R5`z7(v=OglNhi7*T;CoPY5D<=S;u2rda@WD^6Fdbhn$4r9G>VOE z?gy$>SU0n1H?>(DP&R+!nkKkwQJbM`?vg=Epp3HnwLa_>r3SCPNs*$0n)8`E(O^ymOEzW*r{-!!-`s87nM};vp zFty?(4@eK}kdxzzHqD}NRERz(Am7}H;H|y;^Jl*CLc+9x-8oL}_RqbMPi)hDbKnZI z0mY{ZbF5~}wEg`zX!nM^?8$z;H0fboB;l_pV-zu2&=fm$Kh@7JM4jh6{H`7~b7iUt z!o5vQ%z8L@aKpFqlx;PB2g%KrZP;*BP_lc~%B4j8f`Km6i5AtBKv}>q9&iN87HwD$D zCn23C-$xr~_)F=1jF96VBV((kCP_r0UA1aq- z7y3v+lohMP%4xu-Rk@|!m~1+*NW=fJugOGd8*v%h2=IBq?p>vY86;2f82_7FtGgS^ zaAS8-PE!2ke9BNkexpwj~H$|WfK9mJD2|B=-z|gg9*TIh@y2QKUR-xrz%GA&9Tf}F!lXTJ>QQ@ zaH@RY5fyt{*bo%?gNG9w9#@tG{X9!!4AG|n&A0Fby5lh-YReTPL=D#`$dM1I-3(QN zef6T|=%SBx97G)(IVeNh>(PP<(OZtv8o6%L{Tm4ZsU{C=ehEuVEYjTY)_~&r;(i-} zlx_6k#bcqu<{VPQ>d=+dp;Vv6#T;in!6(n91EdaucD&RR3i3#TQ%61hXFlSw&`$?F z{dOM?#x?!a8NV6xi*<~e32c5{OIQ4Z2>WkKZ_4_2`x9)8(u%DVEKFn1SFee;x;e>R z9_f2Q6wh|joWR=y*bhQ|SLsE;-I}6SUGxLWc3?xS$%AN^81;erg~M{^z|qI7{e`_= zDwE%`D{hA?&w;DwMeaKVQwN24uB&vyhMC`D<}>!kQ10{Rw)WW3_XL|(3Qtfn|EJPf zRQ~oC?No|c2I*nhhDUP$ww$M`eNa6`YXXtpPy79`@86zl!uP9W|1L3%1zcHt&PzId zL^FeWRcP8ap1Bi!~%D&B)WuT3_#>lJa-4$|*1zEi@_R(~k)~(t7=B4S;GRT)$ z2!GG-5TjWl1z3sFmks|yE1YMoUz;a;R(@9@P};N3Rbqte!l486n-2qGSFSLn$86OH(V*Khi591k%*FD25W6yhz+Pwl)#=jNj@KYpB+A_o`=K2aM z&0gsjz9b5Hb5fU?73q5!vac`)Bi_%BrQLCAoP}a=_>bsXC31no?9R5`WX892O&#A} zP2MNv+>)8Y)6#Bd>sqfPjhy=_f!(`vwi>ZBMDd*wrrB6whvM-2ke(LX4v_(uZ1EE- zaNa|BUME_aPo(04yr#G&ah&6xrg9y+s?PDIa`%@J7E!m=d9in68iA1o=^>?4=}f$` z07{hns6tGI%%Mss*{<)&Ch|2sbfGE~wx@YAY>-Pb$wu-uHgprtU1&0OgZY{~h0?;R z3D0f%75uee-70CP}v;|4CMeHh&W-%>twkDBWnzlb8 zTjlhODd?!J*w`F=m6jR?HRC!^D5WwJt6{Wv@k&~7njMw0*8^o?y zHLLowQDtWmsaUrN2z>jRylAx#ER?-NHL%2r0FC z3SD3ZIjY|W7$Z^5C&A8#p~+R8@>W5kTFXpT7v|gY;#qQZ#|)QAk{6B>S=kcyRaJNk zRmbEhQKGiR_GMLg2obVF74sg0hvQCG@_n!KwL0cv{F-#HG^IE@| z2%#>P%v_BPhi!t|G;G(>j`f&cc6m7nvRG*;VHRov1dj3-3OAlOje8C`wj2H{uiIPkKZ9&KY+3A3Cyy|pf+Df8NW z%*r3G$0*U@sYUuFLO!#KS;@S1;ork4LmiDsCjrSq+zC=*C#~a+WLfeQu?$<9I=pT_ zZNMn{6AvWB#T@gxu~`TvCtI&+iOz?F9^`9Gj+)d1;QibgxNs+{#1f~M&_1fge1Loz)n^k7E>`SWu(nVv=1|&d34qu6$ z*N%C6`g#lYQaq6q&_ffaWUVsONhf7j!aVF2ZEF|(=!%{TXr>Eov`aG*+vuW>lw=Nw z{JzTRb0v#iZ>oT4{%6n8D=YgA$xmZ3AUfCi33fxVST)1*judUC@J@}lLf`DqndZ#Y zu?H4uJ}Fi#&);k67p2;ZomiJOq7SX!3uWfNj<3(g{H?iwVOP+^=N}VK(4?z19Prnd z57_&TJ+Y4NDLcO&`L|hmdRZ&px^?}>9WGDwGk~m>DM;m(PlVW!D1n+^<_nu1Y%;E< ze*p%-$iQ|So&fP@L=BIl4>V-LEbvf!BN?=!7MYM>HLi&Jl3#D~!a#J%DxZmDv zO|?2pgQ1>m=>mRUh*IlgSBo970+i6_9G1u7mh=`6iOJ4Z2zV)3^GD0wA_w%)Aud{T?RA$H%L#9=hHA z?=NFNhc-@7KX*3(uQwYjy}&uZ=SS@4dANJ5|LvUr<9qJI`;Nieb?KGgr{vW~>elB< zC*b*p_^t2B|E1Lb>$x=xpf5hZ-Uj{7@mxPAAl=GJGQBFbllflH=6O1Oc+uiDSC zPQdF%YVDRF|HlL#dP{n|5dv>QeZb|7!JDfH#-PE4BZv`JNflFc zd_LW4HDP{p50!&iL}+uwjNyK>wW;}ox7Waz{bO3xAIBZ_XV{qoc388WfF2$%CwI?> z`zlo2;en-Bzs?P$GgD&pk=EE;0u|_5_sprf6_jXo|28AwzL`5(*}{%lD<2erUx`{K8NVK zXss9J3$onK{B!!_d+o5&?*8%0)J2T!eR!??YrTQ};y~2lIfcu~+v(-;{{Hz(1}l;g zYnahm^@#kJ(UUQrKw}zio1nPzSn#!Mz1)p2!p2t}Lr%@hNbHYurIPM5HExrkmU=%y zFFm}I?#FW{mn(W4JZDNS-KU+77{f|;j!_*XKZO`mGN+tmgSor?IPIo+3tHw+E3Q(F zaULJ9&u=asRyHm;es!bvZ-n(B513)R+yUQi)UjnBHg>$$-73|4i*wM~s>m=xSm~Dr zI7dyfPQAPMI`}*J_>AOnD`=7WJ)N9x4mYM&9;WoZaNY>BXBrW-`hL-+dEMVV1s?Cd zWMLMf4xDxlwIL&nBJPh(;hVp2jNwZv=H|qE-B&UXU$}MaxMwmsjB1jo?8vR~V|Kd^==)8|7(!AltQ`I^_!cnv1Esvb9rd0i>_n;v5AYJhQ zR;5_Ibsgp1OFr>_|Bx8!<8=QpLKb$+_N%o@V;v);FHw<`m+#Yl*ph7A=$R2u=riJH zTu=_IJVn-56{U1>E*J(x9Unh0H^=+wCX28ghIFI!_LN5)gNJNDo!i@fG*OAW?tC%gSys_JZLM8sxcw>(*vLV^6iAs zQrfWJ3du>|4KYK374t~5>E}p{i%X}L&1>GYtcYkV1W}bJpeE>$aTJExN7^H9qy%4M z;(-b?8&1b{4@bkJPJPYvn0SdzDSWuCOC!3zl;byaSfI5|X!%N25Gh_sR9N7*0`+FR zpg-Y)RUPp-)4lM?$Y(=TQv3y3nP2}hR}H(ierNkQKW5*SCAyUIB2)udJUlyWz0e!MHSe^%iA01_B z{$kRM5`}eWS9Gcpvw^!Z%)#*IzzXj5t1YLVVQ|fNf$kN(SzZJ8J}Rb`3B&fFim*O| z?b~?{mE#l7Ff<&15&Coc( zc4LA)sp--Z>g-|GTHrJ4$f#;g4UhFvH^IkTrTN51{rE3Ptyduzg^4zPkPL{-H$_%i zGK4~$k=$N{$aC^CjlZ`}Dmx@TL0j*xBiO4o5}#c(E6JeCipA+1Y6%_We}!2hI)5 zU45Rv`rp3y0(ZDj6#Z^zKBIGcUd{bK*ql~|2MUSbkK+>k9f5PiFy=S4e!yURzmHI* zg`GZGrtbb^B7&~R9V6gb@AI)g20o9+K{3f)=M}@IHe=5%Ny|(B04$T>o59DyC(z#? z7#dCt2e0sX_30}G@B!+^68TW6J?#$oKiQ}G1Mc!i5qC!H1$?e$fX_X*cdh+1sbzn@ zp-!Ws{&=MI6+clrHLT#%#I+W>wl@O4)Yc?%J};7d2FGG=w_qtI2 zKLa}-whNhhUG9U4-|pW=J{@jiiQd0X-4xM$o|3C5clcr+yw4QUTwzD`pLmOV5{Y}V z(2m3EhcGj#4L>Xtw1 zHou65*beJJ^eVgHXLZ5bfTFCp_9ZA*Ahw{3;$L3zMKrw|bmNkneB#028iq7sHmXoNjV8s5LB8H4MOP^)&a=h8057{H$L(Y zuGXkqe;<9iaWi7^=RbEe(B5rdWsjOc_KJ$NrV@3WyjX$e$8vy;A& zJR)YVTG;kfX;c(l0boHjZ1_wy>~7g9`Bt3Qc9zgTGds$n3Zgs&%z6uqcFTEP7i*yU zjVuN;34jhJu}sld^)%4=cfjDvaUV6k01#>(QsU}?Pbuf%Hq%06IZ2tS^i&>_nGO&_C^vDeB$i4 zbI!+J6EeE87WSDrA@^l2Akugt3{P|q>=m)267K^bMAr3+|xR-(g;i#H{*!Z z=0#ciW?h57gE3?h#Y*pKi;0u(WBw8|oLs5Au(<~3df$JP^r6-vi3A6F9E9FMV*x#& zU7+F`DDtQSzK1{|LHSfbNI+O5!E2T1cMS@Ccgh|5>sfqxUMXzpYVM2*ncYF)jRSt^ zbZ!LIHc=mrw#_&~BoWX_;4LL|zH{V&YEbPB^pwrYP2K$|L^%zo_HUp7@xvSt%lE3Y zv9c7T{wMpFz~lx(y2^-gE7@K`x0+MN(mzM8J$nc=#xM`msJW|2Pm6i8l{HIU}a#6*oEuqqvm+#8R@ZjLMc?P+tV&sB0 zS_}SDur7~W0C4J@rDS+8aNLB>4`Qoe7)Z(ckp5F3dp3%etSutWl?CYk0(2ym zDgwgpjpYC-2P3kx-ns%WK4KcN`q6;JpZs~m7!A^%obW4DCYf=7?GKPb%~|MUvyiEX zShdjqbJl3`?upo!g>1|3zW9Ex@n*!G=w0E3h14H-JaIA-@}`V;OH8?cJgfOPwzh=W zKo-!G|)aO#=xa!0XnCz0{Qr6OQoO7I9O_za0v0YY233n2|6I} zCs2$1ezwN>iOAt5#=k38H}-$e$#;{XF^*4+%;h49)^dFRIJ|f7H*#)8|J(^bKJ|y} z`#sdTSbz*51}Ir}mlmCMy`}o$J*;MN!14)K&A&sOpUef6v^d~jxEnbc$^8BplxQ@c zn&mU@UkkiqE9rOfN%e=aJjdxh?B#lUO8M*=^50UZ_GjXFQ8--&NWDLYXWap$jh`j6 z-V~p&2tVIP-kx6Ho)m#sxg{u{0sbM+FGi<#fE{9^h7bCKXXg*JkWV|254L}xBE~KG z2U^KVZZU#?R#|Ql@h9kNpP7rzEg|-g_j{v%2TlMB33?S~ud(gb*t>L+EP#-`cC*Yu znQ0Fb8gUP%xF+Ix2n}RP(AsEaK~->S!WvK&B+&uy#*4KP9+HDq2DB{lpgT@Q8V7{> zBwiq95Uu})R@Q+YC<6yIsK7pZf7L$_ zsnmBht{|58cN|Vl2RBgW8+lw4>qM~O7voQ&pY_hKz0^nK5DPwHdFUcgZKBF>P;c3T z%WxkEVZBjCs}^?KsZw8(ehNUiVr z2p|XW=zXm};`g@qY}^Zbb5WV)LzpwZt zwp--_4N@U`1)=>!5#EL|f!zTRy;nuQuhwXT0K ziqRDkCa@mT-uc%1?TPT?6%}Y7^N!;8@%t*Eum=+58HIb3Z`<%HfV>+LTI{UKi@zf9J;a8xQ<`H(hvD$aX%KGd1IOsJRbAr0KMr&Zg3qgA zy#M2Y0&?rKa)|qPx0j`NynWdx$KzUG08t%Qkkj=<|p- zZnz+DXjkduMt?XT)o*C`5A5qmK5B(^+?GQAFWwNo|9rxTH zpf7$O_5h6=OJ9(&m-L1<=qVCwM3O0(+Z<2A^}_a4HL6CW9@A@ZIW*v1w67Qofzgx( zCy=fvQV2^=JTIz-Oer)7txQB1;t>^EQ^Q=dp%JZ&7eBD#-#}I<20_^zmE}zw>2rM+ zKj`z*&-KjrNqNX#LCw+M_1inJSPbiJPm`u%o48j*8T!-Xqb$h3D-|?bW~$(pA{|N- ziMlF^+g+nA(gJ}lZPD>s+z&a@A$K*YdXTwQMQRqGbVzs|zF4=ut*ciq$040v*JZWE{limJK|3CU>_!baTXIeD()E z54YYPwtQ}fz6{2je?ah@%~1eGaR+KYuZjQG`oCZ8HD(Y$gNm?KPv}uwW;kJUyvNP! zyes9pzgoGxQI5c5I`)>lSLOhBzN)daueoCEct8SZ`kmbg_|H%6bmI0rdA{`IPRu0d zTK4YMF0Q;SmU0PpKb|BjGWmMlpYZhkVtaE6GkEp^05{HjJMJt${u;>My|i_=ZwPer z^>)2)a!;G*qgqUxoE$Z}+-W}^UpfgQ`ik09O=JLAmd!kmRuBqEuEvHkZ^%Q@FOJDm zAi7sBSda(Y1SiY{C%gnFx?I9MaZ&Gd*e0!~m}@x(x)zb{{$3mvug%D|=BC>97AQKm zXrDfKxOe4DFzXIDF%EF28l_GAnK3;+WWA=A=|;+IN78)>D^JbetIg`*=~y9nw}~<0 z9O2G1=E*eX$TSwnG-k^*)+l+el6%!ohtU*IA0ClZG%pt0`U`yk?EK9jLxp9reKWK$ zmf6mm7ib%{g7=%wf(>lp%OhBX>ORfDBeiIUcgCY!qBgZ9lDb zzUk7u;r@@=F56PP91f5$aYbvI3V~U#*_R&)hM3)f59D%4vTpkmUEcy z|3E%bJh!V^1_s@=)ryk3y02* zh?b9Cz1YZ)X`0zB*RMtz99n}Bk$qM^b$G;8!+e!u=FRnWUd?sG^>wRSO8CD+T;`z$ zVIi-Oy9g3mxb566n>yK7_3$njf4QYfbQaEDS~T(DUBvuzYS_ewbJ6fsn4opS3&BCd zCSuRc^F)ZC)tXU(2#16>g@&r`(v4nKtWJr=h~2Jwbz0{r+Z2~~V$>x?`;=bWHRa@t z9`cViOkN|BkU1`x9mKAd@Yk>)hk-9#F!IyU!Z^ljI-p@|Q^m=dGPtA>*}PEMtd~5y z(Y&ycyZ{`x@-c0$Z}@+V{RMO!JGU(g+c7i63^6ms%*@Qp%+RJ7V~UyE%*@Q}n324@9cU8Q(L@ zDL4B6f@ACkKwulT1w__t{2bJDFq$WApwT57R{ zGl+(W16R(>KGe0aOT!PV*#cyh_zq|Y^yf?4ov_fSvmMPbyN-6k&LqJFdUHFEi1vbz9Y3(=uD-Ek$(H~g8d?aD|siizv7MS2u&c8T_UrM{+13) z@PkgT)A)b}>u#t+Aw-wIdI2)`@<`L36zr0iR!pDaD`cee{v49T8Ls-P*48L~ZJW&2qU#o(ijfbAv7ouF(1_L)J+Ony=5F7upLsD#>Ng z>upOC3tnV#xjQL^vO2k&{IS|pq|O-c&NEi}^Z_i24>whL^`qk*ea7ELjHImVnhue$ zLURYO(0|6}Rl>z856fym?f`&1gtrd1#Rl@|K!!fYVm{SSIr~I}ad1>GzB|hLFT_Zi zp}VQtliWJq9h#|HXU3sp)v%SVUD3AeX-l+TO;_ds{Oc-Ptc|B&!499uzuvy?&h7wJ zkC80&DvuTq{8WXv&@s2Nb?`Cd$_Dk*Va&t z?XiS;G^O(^tLcgNT*lKWhR_pLM)q-G_)I6Buh~58ZMg5=xiVuUnHB!8rjGvKb0nKkjrs8LCU0@;c5|& zT}IjfE>6LEnk)v$lH&RsE_$EC#rEHD{}z5E=xp@|BlI7*UzvTkM4$Xl34*ya@$B!5 ztBnz}Vid^oYI`&PLT7hwxQt%guSkwOT2u%td}9q`9HI4*Cip(u#)w|9wPp=*BQSg2 zioF{6D&*r2oA4KSqkR>RQsvCq2w1MY?V>TH(E3vOd@OubjLbg;`oJ2a#qX58@sG_~ zk*rwk)aF7o$YS0;x`gs~&^Y2c`{KNi0~#9tN&6c%9sH4bJozzF7W-l37v(-Rs)yJR zfx~Y+j``?*zNxm|w+H;}sFrUT1;1|R*r?A)+H9ugy&|cwS9aeJm=FPskCmq^3;-q>U2%3woc>Ze^o%45)wM&=mra=6|t4 z$tbvTE=NDvERp{Q?9$?%ZIz!Y^F+hNRvSW)DbNoeX0q7<-pld%s!yobEE#O60~fPh ziYNm7Zx4Z6Oh$9>b8x^zY>iaW)md|xluLckvj)^>a}CjrDwzO6 zEKa?$y8b1`ku~NGif@0Jf>8+eJJ25$ro0|lal4k1yW2kkJir7w?l6c5 zdZmRh{M=tJj?Aq92d_dI{rXIs_SjsUh{C0@*GvuZDoSh0``ANYk=;j1mR{q{al(;3NXF$~RwMA3xD%ah%Le8fLE1 zH<}2G!?!|6y2kLNc)Zq|Bi&xjd;T-WF{vr$$l&T zqc1{sCLixw)^=wDM_SS-y}SimTCbTG@Kg?Zb>4LR6RUBOKUQiuI(+E&)4;V;_1cNU zfE_#r6v$;OZuIKeJ0Yj&WMBy%!?6Ur&Qz*RW2WTd3F)|#NGu~`O|@e_CyTfi5^LFb zp<^5EE-q%Knfj7`B=)pbpYF8PCwvgr2b$`+i8172F(FhBj(@Vhtsf;R1= z3c%z|y)}K3BGGeihGyi|g}{yk-8V@&nP-l`QbMNrvjED)=59JzQPPt)^C%W?CORXNqPv zMv7)J>N@#=+eSkL;L1fxQb9u5NwhROci@-;9Yns+YOK~OU9?HfAuRVb8Z-$;uLQ-| zVr(tok*reh_x$LhBJitQ$3fxTj8uFWzkJMyO{C z#ijTI>qZ4T_O;4494pkVJX0#;6@!aqGr<+Ag>1|ahEjE>J5>{DSi0sFJM?sQG^SGD zW+gD`htXr7<3frsIc@p-+h~A)^0QQz~ z8;O0_HCaF(Cr>t(qwQ%VNs^Ht@G#O#<{j%g+%~ezMvJcZSJwB#1Z~4s=X_n5yjO#y=B(Y^JWhLv1<=8f|97>)SaXKYW&;(K z<#3zS4}(tJVsGp}Kus6XGnQq}-DTzXSlWJSdY7l*@l1YU^QmltabR4_bj(=1K`)R0 zBbaLZ!@A|LV@_FWqB5p5Yk9tdgmV{dx}}=2Srpl=ASJ}H}6_Y`ifK_z5$(vIo( zV5^jMv<+$E-XPtlg8PDb7eXDha#1=FH!JZ{0ZC%D95U4MX*AfyW7yy`7f6vu?oWAd z1ObwH(`YzLCG3dj^LQbT)=2$tjUmR&eWuih|04-3O3#I4P7LI8>uKk|NK2MrvyP&nV!IN;gYUVlVKV=k%@H6^{T=9i&Eewuive@|eQ)Poks$MLxazP;$?7h_mypLY?*6xhOk-c0sagScOD#`o#B*DW;4@I5-Hug0bYm{(OB}r;R`V3-%U>+L zE5(6Q$)2DEjaXga=1-xqOZOR2>|6p)9e0P8_`is>c4lS$vERUVmw+Q9n7I~)VHCzh^vXxB`trRkiZ3P-b1I|WNThgY#Z#-O~w=BP2RgNpopb5gZTFYS) zGqR?wUe&7lf;pXz7kD%lQ_St?BTfl)n$Ctkx;U*G>d$t4YV1O(-)R}?%~&gqfi6Lo zi?&KVzbn7n6ZOeXl424Vu(S$)02vM`{}?~Qg9V(iKJitJ(MK(@AShO_AngB~h`H;= zFuSCRXo0ESob!X8t)HT56pKvfn!7zzq7N!s#MVAgm1nCwV8Vg|H&bR1!YYC^yH#e_ zRsd&%VTirMW&enkLGko}@HngU%w1tGub%Upt-=ok|6mHYTyQBp78}m&zhZr_isTM1 zq`U8mh3&YBEylj!LB_uNbbV*=vcZd($*>i4^k^EITBMcLk78Q--@ChDRklW9lOa6vO>cR|y*ConcAAgyF$?2{2BtGrGMJJ&&C-4l9+ z(@2uka---g-$&EvkE%tN2~L6~tArGYrs}Z6(EGuSjaTA}8|^cq#u!o6?Nk9T*BJ=4i8awV9|Fv96X_G^F- z4W*YS?=BLzws1xbksx#x@NW@E3Y_N9_r67oue&xbX-?$oeer5=k}4Dg(n%nLh1W_i zxWE~0%V0J7Wk0fj>R2)3zF#VH{x}4DE!&Q=k$+Xr%DX;o=E;mT-{_9=S`W^-FKLEK zPkt`ALOSaP`DFfTVS#E+nA~GY9zs)I@tf@s4-Xf&Lp2_olkFzx5%?1$DaM8jaQ77b z^Uykp`1{I;A{PK|e>bK+c5yer8C%=pRtz47!e&;ho6-n2b9`_+bweK{}>DYwhX`7l4~(E(0Vd52I8|g@fU@6G7a$rg>w9j!NzniZ0IfY#6LH*VX8Pp3gE=I_}_2ciav3NN| z6r8$o`K?I@_^N8;pg~ z7}+GywyIx?Yd1IeG}JiJV>S1fj4^NTY0xe26(5SxsqfIOfNWnM76_Erc4$}NFmK!C zp}}fwYzT5qddb*9$~`l(q!q7{R7_eeszKs}D%@wjc_z%|Shy7j3Qv|SP$lJMj5C+8 ztm7K!ppDAXk-jFeo%G2o0}@qPOMe^i+y<44+6Mr_shb4g~sg-t7T5C0Uzw zvIsPR;eEeoodvhvLI+;&=yJQ{M>#} zG(*8;-*`}^Pkjr(mI3nEem;xdo;K2s&g`wnYq|$#XEI-b&#`6f$#fkZmrm*Y-vJ7J6q&F7CQydpSf9)jSes~zdA=ANIPC#p80#tKX#tj{f_EM9? zVOb+GYh?}OS#x%3sc3ABC}_MW*a(!sWr>n$Wl6v{N8}lC7~!`$XozVKhR-#lW>slT zRd|@A%C1(J-rk!JlKyL>=NkUI^z?<*68MYASGEiMWuoLV`O^W%JjcfT^C1*5UVPul z!DrVdP8l#utGTLbXQm9-^)dybeWWuv1tN_omCx_t#NdrpcS0GB)VX*`lA?r)4h7Ts z+f9aw{tMT_A-_ZkX;78CpT2I6sf-!ee5XQ*Iq;dl_~YUl+a*GPqO#l8y4H1x#C_H_g7VHU5{; zr6d_6weF^~vPRGx;ZQzayh1)N?pA|p@jqgQ5F^=7Duz7VYX;evkC_GOwriiLfbF~& z$>(=FVoks2krcr$NqB@@gQ*>=^c>4(@8}hylOqM#bsTz2XU{^Sp|6-XOuzkPCLrFl zf>okc0IY$*4@z_TfPIbtis<-@IM2z`g8kU5y#8)&M6GalM}j!0Z8&Dv!@i2_bH`Z0 zX}DxXcNE-gqVNHGOaD7JtNZm>xzS|GiS7uo+$w87&uT`-2zyJqjk5YMnT4q*yrPu!->v-D6E|)jw*ih6Pd))Q^j8U~bTj9b?iw>D^MG5E_S`c^!TF7u9iSS|MpaX`748=mY zi14Q&25wg-Vma@VkmPpioM9bMCGhPQzZzR%teeW=beTj(!4-7a0nvITa6xUg^ZRPt z*b9w=F*teH>IvTS%H?{K5sS;X<@gUf`wwUN)cPMv_CFLVIA5_FY5o z&6j>pngg+Ux0*6A|E+nyqs<_;B$=(07G8RUtouDL!dRivr&kIHM}jJNL|enF?iTq+ zCDZ|tzT=#Ps!oGW)@ZpD7a(HxY0(PqmyOzqh|ZM=Hafj4Nw3In^o?dM1{D9jPWx+# zjSj??u5qVz5bRBZ2vB?wO-WgoH~x=`_P{Dc?-bZ-$aVblHEU8N7jLRSmBVAYu#usMGofoH{Fh zl!s>gU|e^+>_Ah%|36s$KXki%d5ni=~|x@)V>>#9CH|B*%+t&F9cvPF$LzX-+Zi1yUI!(I9-5GU#z&-*nmzdL7qt>kLs8$E%oMP4QgLMTwnalRiTL#h-# zJa{Zosx%}#m=!2h`W7DCH?49brHFvUMA_i9#e#6m@E@pi+CqmrW{3xYwgH!#uJ#uH zrO2U!P<}ZVO0NBclDH?By=e&TP{j=G0EE@L4(84W4GVuw+sM=X31qu{gPLh>Iy)&B zsp!}DZ>~}Yr~II0Z|X%VPS8O#t-2lD3{(h zx|e_&&TXCu=<5GhBJ-Z={Kqgavj!zL~43@}QbAWKR(*}Uf&Lp~`IGX|Uwpk=#8+}9nQSc}2{qYFG}5ngTb%xtKnMi+{{ zot(EIan!QXUTkN#Cem+#w;$~WYX-c6>9QlNjHP65A;oCT*~AhN>)UeV+6IB0=sae{ z{Q5*Ez;D9aW88BSHQ=<_L<8YQ;3k8~APD4KG+cYmEU5GilI{Hj*Oi z2b6eP3Ea6waUGw^=AVeQF%Qw~tp9L~^J#L9EJ356?^6wsJs&T)-epCHgVjIzG+UD@ zg_BhvQC6bYB2lV^KWx65h(YC*_ZgNm1$zZFJr=#eX)>*3IX#MO!7-t5HN9Bv1O61^#wXShZpjjMl0nktXU`kX{j$H9>13y&VOA^E$_$*&Hto$ zw>Bz*e`S5b(NtyMwJE36lV1$3C`-RV?__WF)8y%Y(?3N#_b6hm+)kQej^pVSI)j8L z!$2&Y`iGtM;&vGTFTL2 z>yRH3L*G;j0u9L){xemaEz>6qw~-q0`mSo2FSRD?B}V|lyB{+YE_A(tE$B?&ctxQc zEgN^1{ADurP-w&HL}TzIR3IALFYAkkUk*PmT|siEd?iAX4$IsXl2ao zsWr0DusGh5-eWmxx|Zuxe-BwN%Gh+$)Q@OeU5a6z zU^L=&fzs6BZ!4k}+@<)wPM8ZW*(iku@A@3(hMjvj(jYN@YZXnaEAfT3^@pJgTrJBn zn#K`mYs*YY5Y4@5-L=C)y_`g>HhtJ}y8dpXE%)uVNmuW5CYUa3yjUtV-dZh5BC)B4 zz^AB{p_;&_iCIVQ(%+`4rF9LQ3Z!AgT++?(>KLFxSp>T_J~FZ(+M)ISj6y|>Lhk)3 zhK4u<5Yzaa_j8X(_}oWL_fB6eu?+gQEv6uHyy6@m2T`1MNFlepsxl(~&*_r0b$En| zuJ{^?SR&~lQssKJh9LwtE~iskJha9Ux$oL+1sHsO){MRhY4mpYQ*wzMvtekqMk|Lf^M`#A3$=m+u?cy9(AzTugx@_7k#Ve%hLg)+pWSFiMVV zMQ;QT&k6TCM9}09qpI@g_3QUiuy#`~mZxT>k^N1a0SLy=s@fM3;` zJb7!hsO1c>d1vH-0;kVUe+(rYWx}9eSlbTEFM>6K=5B8nC>q4vEVXxw>1Yd)0Kt=? zMQ094wuS9z#APouv`Vp@mPSM|*2!oQzhZ2E{+{=Poc7XwK&tfWE$B6+e~y&1VwdRp z)aC`TG@fdFX~lwwX`F7?+D?f)H9FAi3EClLmnT$LsOUieujl&s$PEZwsaR)n6V^K~ zTo00bB7&1lkmAzBXq$wZCql4=GCNh2FEXX)MaA4!7{`n>$hX1;!h)qE8%{Qa|tOiPj<5kfP{=uoG=q6GO1jyf_`!OygUh$KvT zS&fDGB~BsXn?d*fI>3yoRAEc~Zn7q~WBX2{k8u$O4F8NBW1<&PUD;>%B>B}kja!W& zB*bJz(C&Spc!7~{n@~O=hojw6apfeNADosT1r29;6=+%uKO+-W0k(fRiR-Kt$24I2srr=jM(Fim(tey1H)K2ZWn}ex0m`#wAjmJA4KivMC;Bv~uv*fkN@c@?+d)rr z|3XWPQVKep8%_MbfB=4`V$qfM^?hSPM1PF_v+5j0)BQGNzq^-PmHQt!P2Ru36MdBDAl1gZGgki*lhsZq@iF}iU)Jdb4@$kX7dXvgioG{w=8#1{3}f?V(#k0D;3VttS{5-hjdVO?^8<%( z<0?sFTI%S=1?c9QWSwy(LEyz^uw+F}B_k>{_rZozhBa`ij`|u5nKXAl?4bynllo7T z$_Z`y4Q^)2=>!tqU`se>v!mt2-@}F&|~Oy(#b7dpmecVH@oL`<3@ko|6PN}_Y^djf$#f82O98T zF!dBu6^XcqhkpzuBlba>HD2^{d{^8ToG+NfJ<nh-O? zU68~PBN{LJ!JkmMPkv0Y&&-D+L*+)m`gfzQfZZWny!-Y*E9KOtjIGUcPC*g_W>S_w z@l8gqjnGqKPaCbjM)AAx0(4x^{&g0IKLJ4xW(T+yzfSI=@KOjRgJ&KA;PGA-i0`lI zfe=RU;K>ILijjE18-JTvv!7~Y2EFlgWxfP#5iEkul{>X#WfRya<{*An50f~tP5C&b zj0{kGAcG5%LSz&ba~PUk;qnV&OY5Cp^*tl+rNVU@qv-67fqjqculfmm0jex^)|DP^ z^|nCM47~;EKiP+k&vWK8_o(E86|r$I1#519j2Zvhg%k@u{&5F1bQtN|&TZ=Xf?WH$ z`e)>mDbON-{j|CNu{`#ac=l9@l;fLE(H8LF4q1U(0bFBD9}OrG3+qvN--1~G9xA*~BTxuL3j4bYO2!rw z(7Wv1b!anrAV9@JFJ7IF-#8x4rGAMgCdpM2tgeZTGI60os!msUmtkW_r$@!UYe z6uf;tq6R2>yj&S6(;<4dvgNZM^Rqvf+toQa!GqJXBkufE9&Gdi>f&IpRiRUxf{{j7 zGK8^Ep(bZjKkT8}%nxwSkB;55%T0NmZE)$ZwUWhuB$~EvIX&f$wF&jT`13r^9f>W%H;0qEuYuhYi&4k z9OKD#nJ|Z68}jPxlH)#x1%=oJo7FbI#YR{jIX4-h0_*C&MzFKvG&n|hu+*VeF4K~vp1}kseY%S z+EO1~jFPFgPy-Coo+3@N!Uk;dxR!3Jk0jBp33b4aT5=IxPV#IE@6KfpLDlr*Yvt7=q=Po3JMo_^(y!War15%~_<3}& zCy#LJaD1|`R{RzZR9%RE`{hOJpl=!~o1MK~roxNAV2+|4TUUHm*K#h0?!Mat3@PW&wlA!_basO|QxM-M2j`89m)02D@uzdyl=n#49FtV*jF(N5 zFo%qn(HYlwuGWgBgy+GM-s9vm)l7AdoThvH(PUfmT>lNbH&qafmy`I0=}y*)mR{X1 za|K=5_r9HsmyelD(Ca1zNk`#H-)dZZcz?)t$kb)8UM~Axhj}Df@E5qA;}+>pUp%>v z4i<|#J08O|b`UTB0T}$!5ycMHUz=ZvVdPwQoq?~p6!PXrY2>{6xK@oFh92Enq+1z) zJ^iHBiP8%T33EfS+Y<3J2X8#t$BE3LK>9N_ti$+{I_g-yXmQUF{<{mNk;N_U6z}7W zo=4;B%xw1kjC#GbO(WlBf2PNd#)>=E>VPjeZUi`K#s1LEJ(awcBypB)mi)iBT$W-T zA|t!bS9R~-{H`-Mx454TNPhoe*;w~^T=#WB_8w=|>U`QupVHRXvuy&_XAAJRd%HJv z?D9%kOdkJHtxv6)*cNzug@3AjzLOyyA+3Q7r2kOrouT_X8vC!R5?$q&D=jHio(f#M zaYu{v`%k=cv#tZ&yJ5yS4ci)zjg_sJMI%X4^t-;O-0JHyUdm+ED5v=r^y^N0Q*1r@ z?I($*JfBf*oqxHl_8_Qv_v+6<>#9)yL(#5am=7G1i_yPRaZgCG^h-eDa8kaH^^i=l zeE9v`aqf|weO1kP8p-B>v}uPVYmBC#IOSovY{@B%Mdg^CHMPJLUAeQ()6SJsW)c@E zdk1hHV;s3rzPrPbyK@2Z&8RXb!)|x4WicMJL_Ner()PcO&Nd8gF4_%3FfN<6_TQLP zC;2goa;A`!TDwSwY1B)jyxSZIlvm`1Sux6T=9v3w+grNWS-41b*#HP~<}*wYJu@#9 zrrbL!veHQ2@mUdN1^XXg=RupLJVa2YUf#|+?8aw-eoS+JJ2;7#jn(r!SR>;kqUp+a z&zuu!Ce>-JL~PJ$_Rv1vYOX{q(OJ5_A2TniS*@Q_wAVIFsIK(V)aat4W0^zdZBVS> zC8Q8+_z^dt=Vy_kA&`7<{Kn)_}z3zHwq{Ve~Y}c;NZBU@dwK3@YB@w_B?aXcg6nqKm17d zznya0WYC_Ys@ln^8ukuubnLaElT6=v)t-H=?5b2Fdnw@@W@BwE#D^W?io zVAfDb97Y13gu?Iv#61$bY{k=9?=J8ah5vZC0=kL` zME+Gwn$2E+o8Z#HA!jp7Umu}H;465$SxxS#^q@&f00*NPXu^m@s(WJ<*LrTwnO0VH z^ThF5P4!OH1<E!q1J?|F>afG|PHH_qD zm`72|=)1;M_Y%kJm`#Fd2Svqqf)vdXTE97Nv-d)mkqR5q;aNAZP5NWSX~&r9=d@Je zcHe2nZJr*U?hbSfs@?}YqzpW$B&=Tzo2woup&@}?t5-nl2F2n>fi#U@W;!4PwD71_ zvDhz1Zc`xbV76$)Ovmsf0zGCg94f{R>od%$&PZ>w9Ty-Kj`JyStF&Z&-0wQgmWgir z(){qWI*T9hfyfE}VxwQIp0~EAi$nAd1Q8Od10<&_ht#}2* z8|zX%7iVUIOFs>F=rMZm_z3IcNa;4}P#gM|n&P_z%t#NL#QTAlh_;uW*vT$qAw(oycMOW?Nw;_ep`FL*iWNl6^?NwQ_+Q{fAA@S;GwMgf+ zgXgur7bBlUaaPY`DtXZn?4fZu7a$xsg6W#_mIDWeEr^ltho9Aj^rTsq3MBB2DMn%G z+A#RKbd>vBW8XhPXdzu7^k4`r_bG<@U%2v;1{;6bpHD&!ZJO8LL6vBlw}nx@3@%vn z_9{p1t9^QThaWJ$d>DZVo-KURg7Qj70-Mhe1CyH;w&k)S%e2;m>)~(V&nV0=qpK(s z6RKen&nF=Ww_tu7t+5qnuH+M#KyhB7?pgxtt5x>P)Yl|(oDb%*OT4NGW>)#CV^-?^ zhJ(t&8ccUjE2-t*_KprvVq5wM$ha{P^&hC)n8XX0DjCT8Hb5e76*|PqzZ{ z10r*9%EN09{LwRFHCn6i4tzkZLeq9U8zLbU0aC0JTW7pM$|qxTwYN1)JNB(0MCTRS zt~KaBr=#0+V!}{en&tus{y`Yy1j_?Y<&>IC8raK2;0}+^!H&d`E|CI$gDhdV{=oGH>W!nhQ8;UUa^A~ zS-X4rX~#=@;2YZfMaz-BeB~FXB+QX*I&Ct16WkNsyVbUdeQM|UOVG01^lCZ6pZCOy zg8j;LnC|Hy*o{6^pkFu99W#W9Ab3k-Z+_{qCT_VUcq|ys^BjTjcQkG5JHVnQW!L%^EWwM8N>Kv~}r@BkZ>*?j`Ey|Jmz;JiihGQ7O5!z|2aU91vaYk?#STjY!^zyGF zjGcB=KeC(%y3NPKWtkh?+~vV%neuMO^Gnd@pn+>204a$heViFaNWU;cX&*oo6OYSi z#l4SQo+f#1S1}4q#JZ=Z;BI!Zq?jAXzIo~wcxxQhPmD)hX?!f8{O+1FrkCt_3XQF! zmtFcLjiYq_zGSKLdMZX?uu3s4k~1hkNG_{jh#GPbg-k$o2e!m_Dz%wK=4`y_F2IYo z#D{caipG%L=s2-PxYp%Lkn}aGDNFUrH?hH2#;+&BYWFHlRp8R`sL;IAQsGtO6Kjdr z?}#jyze~?wX17%G7dtJdy40gDCbGGoHQ4L@y^r+1K+AVI=If z%_52AReJqV3KTK^?!98zZZ1>MdpFxFC&oXD7`mQ$878n7hp}B$!zWj!C7$%yO3_~} zl;byQ&aHpO^V?xEyV(vbeL-2(ZDK=RXr0NS{NcQDRMwQbi_6-p7ovQ=o@C6xt%C5@ zdHq6K^{&-AS)~Ya^9CFrObY7ovUXR{y z&ihwPN$#8Tfd4WdHrmWt z_<7O9#!Hk|xhQ>W<`;Ci+I+@*F=vT9!g7a%tD1F|-Jrta(x}FTnsZWNx-4&Do_aYh z+^Kt#jf6xTu0QH5M z((E$^M+6+Ti6pzaw2%bNS!9PQEjZN4|N_`Z4{Y`o%QF z8Fq`3|2^nryLKY`_G*h&<8@>UtTFVY%aQt)^%^%tZQl@NJZIl_ zS!Svv`Cs$zCv-nYtv{Ln9el}NXYDRz-Jhgv6DOhB$d^4)W4A(?Mb2NXtC-z`e;i^oo@qd$srK!bI0hrK}KB6bopiZQWq3i?R^ zxoFgk%?1FF7ic)2)wgz}0Ere)*M1*9cu;A^qYtyYsH7^{C!IIG`KqK)SF@Ff$%6iU zM6KUI1KBBkl2X1+)=|MWW}QZFu|W1pS$dJbwQ|!8OH6&(nN0X=%$~C|ts{Bn7(QId zokU+*XZ+2J?y4HA&h<^E%^7_*c*z=1MmDBnkg7b071~vs7rAxdT5MG6B*juyA2(+W ziQ{opxzyuqS^%`!nYpiy+}FoHnjba*&MKv|N}`#H>tV)6_j&>Kr@FUB+=~xY-)b{$ z-Zc2M6xe-v?ah?mwPi4CfGUof1+7*R-;_NMGs}kuh$Mh5X}`SWnlz`%ESk^1E*fx` zq;D-0l=@oYiC0iGLF;p@xvg4lnHMCbL=T0ik@f4eFyVLg*ewm1HyAKhyj-(f{j^u9 zT}_D@RnM4k(2;XkGjk_2sUNTIPrMT2=|EQfpTGgq{|#Pbpq??9l0BCsW&1Z(_21aO z?cxYfp1!qn)U3z88c=bDSXSN@SN?{sVxOhX&?Goz+ig?hUcdjX0mvU-BL76e-8So1 zU(Utz)xN# zAF=qa!Qt#TGEk?~{3!hPZ;WjccA$89&Df0C>*t zOy#&W+u=v$(GA55`2-v676Y5P@rtEK;siL>1@%`NJdCUyQqBN()PH9STKPz@=QRzy zepLZBSjG$V$xNeCICwn2yudj_Zy2`@-}vvM^~)mGFn12!K=}HrhKu;YE`58gypo4%b4BfsexKr4W+OQul{b%58y99WYuj+tr2G#^H*^ z-LZve32rCNyzF zCTM75q>F{SW-4YIGOU#kryU>lMy*Pm!NR7=Yk{|beCo{J(Chq8BgOlwUR=A2Fmnst z^3gr@)PApO3)$TfrlX}`UU#o@OT*^!PNM^%M1QZ+9h>!%aw}o3FL^GoC>UvEK|kZ7 zl0wgIW!gx(JW`*7yI~sWTr1r$y~y&+n(7-xul-#eL(2eSJ_&A8A)LE-GOUp$2;476S0=n5zY@*Ex-aSA(2m zGVTORkhTTec$7t2k+#LCxxY{WEOUUY7`V}=l(7C`NJcc6SU5dWWI~MAfic>;dYQ^9 zblZx7A=e^G^QZsgQ_CJlT&icm#=FjdR zVV_?g(CyOa?xFzs>0yas?93ihxV3X}oyPAgcSXzWWD9l0!cbAApPi|o+!Wrg=BUlX zssOvoEr&Y2SO5;x&)nV6u+#ul9+QmNi=sL^2br6)97<_EQFVckROC))Y7|fdSA>=!k0U zpo&K1X3j^@V9&_M7prvQG$Z;xmRHR`-r8uSR>xij^||`mIe#ubH^nbz*8id_{^Rs* zWr|jAS~?UF@Q<+cWMYF()+~Oy#>&GeJz2oA2$RZ-u=d#=C`c7o#+ktWG%zIrzk$Wv)4uCIX)AIdluhEERC{t{{b!O4ZMFwzM z{jjdPORfRAl@|>jIa88n}m6 z2`btMdYv;zK^)A93EkumLgisS%>GKQb}p@w_*YsJLzSW|e6whS%q^jDR&K7BS;iTnn2p2shS= z1!mp}ZEKW<27w711;L7(-<5t(4eg85XBvVP+`lW29+V6HV%m5t%-1B-pmU;CdIMT{ zea9pfJV3k2_yipouOx(%c?=q#9{j#~O6#2~8Mvr_z-4Wq7dLb7a6JfKi{6Jr#@i|O z3d*r;aQ#{TRXf5W>(=f{#2Ki+{liy&nZTRC2jZuE6*PKX-NCmOw)H{?(}AIc@s8O_ zH@6n(!(yEv46_uy?bQOr?oMqw!i?N*1$&2UFK>er9GFKd+K#sKEKluCw<)bm;Zjn>!gv|! zW0Yp0!GIWYe4>s<(tIEj`OMFVg`slNra$V19D$f+mzLr-i z42e36KU9kImB#u$%cND=n60U36mrxTshEpb0M=?#05(0;hd(*Q3NLRekMxS28T&05 zMFl(l4%2257`IKcp|f(SyWVqK@UDp)f8);Q>mq0fy0r9On|^A{xwQV`ts{BW3zy-U ze8qXB-Ej5?SZG2hh7EhU+o1m&I)6Tc1F}8v1U>&|wVEh~v`btp*U65bK-ViWOz7jb z-CWIoVxQAdcdTmQ=D_VOV3~9H6?E6~{3|oQdg(d+^>teC19d&8tzV}-K2+fEVm?`f zFR!{rt^H21#syb~R~S)8WI?E3kbIb(ObdHfFcKa@9X1-WdG}#fz#RAuE%+rZ__>Ft zQvx!4c<*uf&hz;FM36Ce@<{sdAOuxI;kEi|+XqnA^CGll7JoznAk01fBEn4=J-seJ zqP2IY}cLNB^MTV{E(lb_4q57Hen=Pm+`$A5%3*G5kchZ(E1d^|HIc?2DcG( z+oEP>W@ZMNnc0qG$IQ&kEIVdqW{4eA%*@Qp%*+&HuD)~5J@?0}dR1#__inXDJvF1A z*7TmW$A3TZJij7pHMvUkJT%@sJ_e0xcU6EM7V}m>yEBH5uJ;iT$~1P-aIE|#rnh}= z?}s*2RJEvND0O>4%^b9}>!9_~Q zMGEi-Jp={y^Yw4#=cE%>Z6BWbrKR`PN#K=b*wsnQm1XkPN#>Phfj$1}jLwYUd@Q{G z1(`?{q^N&-!4p_Q_XC=U`p;{R5!9argt*n=ilP#yz_54PNdVlrBr0H4C8H^>PcFda z^b3B<@E0wBxsbV2ThFVZ_1409Z;C=+<5|`hC(u7{5hQ|RfQugD$=hYKll z`JDico8c&Rj7)y~%(OBp?wNEKkB6q?LaeV_@piom&tL2mtYk($zINd@gpN(UxL1CV z`ct%z%#?`FsBuVZqYBOWZUuTb5*8m{yQwW{;xs3rH`|sLEXO`H1^7Usx;!$IiRd#Z_)A`%_w{>7U zj5V$Ld;8d_gL6}PlZoIFw9`@RS(C$N%QOufnWbYfG@Kcru-jKVeRf1OL9uA1aTY0( zYAJg=8~gw0d8{v5sf8##ahofIRHccjLYb*H1L*ea>2wLO_Ow%&k)!CC$J1b>{#1*H zroO?HddfR<7Gv>nf{bZPLji4@`pNVGCl!@mxPHgwxOqB@K>Ot`DCWw8C0jyj@R$rU z@l}B$QZUfRb)=9i7#Hq11F6rU)@3$kl*oaS1NJ;(l3bYLVGoSrp$>xL0SHBr3QqS{ zIVoMZ_?%4N^l2t-FOsRcp<)4YGyQ7a&8EH9`;R*~K=KPGzHQLmN-LJo$`T`z;Wh|$ z7yZ4}A6>wcjv?s08x~yNlyAL-KOs=4v7G1%gCyYRS_^(guwkF~7c1&_u(@CLFE$~n zt+0TcZ#Bvmlf(!8o9rzAV+v59OB1k|p9j{K~7BYisWsquDAJ$iD`y zhn~&-0xj9ypEapC`uSYUcd>Ha?+dP{>)a^B`YFdhmr4iJ$h?22+*vR7uSgWRnX`Ei zn7Lc};a6gisldmR5)NFRz`mh zinTUzuEmI!Nzr189W;@%XmZgO?1~)vov5W*Et^e@!wOwg?lVwhL7*F+7{0MQx5 z7!ZGf2)Zy4Z()t6QNa4qgtutzTb+Y>t0qpLvvtNPzT;H9C@J4$6AjRhH9ERjFx3g? zG4Wep5QoCzn9ndk3*wmDGKRAL=M`EWr?jYYV&jIrcm|rISecAd;>}7`A2YxO&Rx0? zF%k#UB66DoCK`{~#2TG3giJRWzOuutflQ1)C^A;6vCy5lGIY%CSn_v)0B5sKIp~$) zbUFs1owGRjx`>s=^c-)M7h!4qSJ|Sol*J@Y(H(|f~ z{3wl)S>`Kq&bOf`!)8f}h$U4G2eu(W%6=vwJVD&o5ZSo6!rw7z?Mlnv`+%vznZCKO+57OQ1r;DLF@~Mu_nw7;NGF7H_+^B0 zXEjfS+sjMD#+R|v7TVL6+EbQeEea=JKU$bIS4oK1CoR{eEzX*H-)38fk3;d8`t8yJ zgrn1>-6=gnrxeO}C?`Iuo*x}KrJUe^$CN><;Zs+K1Y?~k;a-(#8iA_=vPA_&3Yh}Lff;rL6 z?odee^QMOYh*1t6<1%jHiKLqc)OkE8bZ4huY<`YmNsqE?puVcFZhj76RZkvebi}`Y zoNf9~V%aRIFy2CPel&{v3wQ;@(4=Fw+=d`+>4mM)gtZ|Z=!G5Wg)QlYJ?Vu_>4j;S zioz7S)5-W+3XRXzsHPO_pU}xvCW5F$276V>$!GDO8CweXL?+RLko^h@sF6rYu|E#@ zQAX~kvBz_`cjol6*!GfQ+*+Mm!#$j|a(bW{y9=-cl9{&Gj2QhYur8M+r=>|=4jb4g}oj0lneUv`)I1Z!KC{8Jh z0j|8hBvVTJqZXa6_GwUWk)8EpGvM^FA5{3Z=>WQh#2{jxEHK;r#Sk^QE4&$W5W*lW zR;CgT%9ZtV6G;3!>q&9vd?&ddavV9B&Yy(khhqpQ$JC9Mq!s9J3tA%HMsnva=dq``HERwa*UT-NaOEM z(jDaDtGNiMxx6KLStyRp{UB!|aT1X%yj{wbBh|y4>+8@uMPO~sV^KGkZOX zv~k~b`<_>;>hT~5E2nv<>la+*uv=S8$@Xd>+^7ZZS=w<``YX64{Wrq4feC|`Z)C;p z6?GY?BIAE6Fj|1wx;@uP&~1@3?Zyz(bI2mfu+F?PJkJL{PH_&G zBj9^PTdVPe{d`40eBKnaDQnsp46UNZ$JGr^zN2$2Wj@)^??CNBbt4Tr>>Wg4c<^qr10x~6uOnazybYbG>3>qVDhmzRafw)U#c8J^FFmzVUP9qr)V z-6Q&mPg{3JuHy>_^vCH)eFp`Tw}n2TxpEa2g!3Ti1%F zEdmRxSJRlGLI;ZPkd!?0#dQmL)|dB|K4m9gt+7Vb3*D3NnfMPwCQ#P@xr9MQWO}&e z)b9CKH9-6w?_v{4f0cGK*FY>K%2l*ilFp?xlgUGv*9`%KZTzo{5Wxr+qYUH z{kb!n{PsBo)XQ}eeloEm?F}~`y=PEi8uhaNhu&oa0AKls`z+3l-@THq6;PKquaz>r#ut zw?z!nWo@0oaf)S}y1IyEz>lA7mRt+?sfl`Q#%ZU_{TK+^9Ew`=6uy!03OYBh%>SP2 zxmoO~mbq#;xmZh7bQ^TzH@!gN7Mdj1N(rBWd8JU#oPlXYiFFOW$4LxMR*LPQrvx)a z40C1cPi_NV-)Rv6ts!I#-4e)G-_kwG9|QoC#2BL}ktiZ52_iJxZX_aNK#Mh>VDYQI zktP3sFvZWMljU7ZoHqXyVxA#5Oq+|lVkeoh4j8&&!_v@RW8rAy(f6ImW*tI4qfNnmTUwIBs4!B9CWaAqfX5 zQMFoTUwb^?#8U1^Z$s*Jsdx833e7L8;bJu82_|LPu`?AV94*oI(bdoYg0ADi0R zlAWH_i)-sp9%Ig$U>6y2^~@a?XjTQ)FpZ(-sp+(vyRj&|uR@QD^VCk8XWl662s=SE zG{zyGNqyGE5^N4|G#XH+bLMwtR95Xs$UoFypD?TT$QT}vY~L8`Zpi#JN_)k>cvM3< zZ6kQZg7b-h5h6Dhn#O!7o5(&G5z1v%0xmU7v|O{)AnEha>sZLvVgP#d{qOn0CB71TqDQK@>x!VQ9%2F$0w$q7NE7q>Ma0 z{5@P(hm>VbFRgWtAN9cYG_wQU}-vvj*b91r8dy0 z{w_p)JFPOUI~df<&FkTkFUSYPErjbgPNk61r!3B`mW0tP@l=>6pJ$~I_&zPALLo2B z%W8eR<)Kjv;Mu#UJ|q>`yiZe)9YrMS1^M`h!^tn}S$5_WjK03p-)Uw1R_4H!W&V>l zm`517u1PJ|`kx?W`GSv6;S$$}c6@hU)XJWYfQw@T?j}2zk?Gnvx$ob=?7pM-?J!D7 zK2=m|d1A!&zu5%fRi<&4MxSMYCCMSuRosq5>qH1pX==Q|%}%XdCkaDf{dD$reF0_a zTG|@gv#Hy4ZHZZgkh_WD8TDGWOt`C>Av@5{7yGX%l2?IE!X4c**#UWt*f63hj9g;p z06)jR%Bv0)W3dwd(tJxT(LA~kv^dIHME8s2&ijFzcyF3!DV)o{)r#VS&u;lmeByjzY zj*`QH)15r}u`Gbw+ID6hbHnFN2XMShBePS}0J`__mX!+I2aew|t`p*V*uB1BYGtzBK4SZluXp7< zok|5-=bwnyr(Ht@W+JZzU$T!kD0Ay1QTx8x#ThD2YrNrgt(Gikqcl&_Ts2MX<&N>W zJVEe&u{2BY9~iyNQFM)#9{5jWvb4xN=eS)Ot(PnmPwN6N7uHLPug~nRlN<&kKRicN zCCD^8c{fDwaYFX_13JT?gn z7e^1YtkqrjG*3VM6-Qb;g+anpjef8}(~uq?sY;!~T^VU-P>B~6giQ@d>)J3^Od%f4 z2Oepb0vpc%jofNY%L^%ie8AXpWy90CT^GM@?eubG%hS1imyd4k_Wu-58LMeZ(GqLL zF|>rN31a0XF2Jf`0qO=iZ`Ibmq5@z9<(#p~5|B^Dch*(~0RSsx+-C{k!OVk;j1CpW2Y6BwB5%5SjfmuAuz>-;108B9Dr{m$P^NgM~SC|1a$E~cV^#5d*<3Sdrysf2j?v&wZDIRm`TtOFS(d>#91lj4BM~Tn4415cmduVgxhYhm;e7&kM`xgkrHvG}+6S0d!@9 zJTao#nVaox=zXmFz$36CWb^0rP6&fpUk1JLWJm2Gtx414>^E^Gs(G&cR!zP4MB!OAO7iw*8^|e^@?87m4}l^ z)vZBNH>d%pgOSwM!c2IFEFL=`RzS__6== z^dIO5i|m$6_R3|B@%*RP_W;l-GV@lwNu0I#kO?KW{&VpVs)RUTm7bF|+|G=AWK1YU z6#d|>T0ZLHN8*nNOjd+MGp(hq8Y-~{XbZc`d%UbCwW|Cnj&ixQ>g5>=@_DNFf~R3` zEczsOCGEB47(I8>snHUGC&K6BwZ__|D6lhmQJNpt@?3fBx|mA!f`2YJWXz&v3VU zWdsD#xQIt8E6!XG7^r_Z++U-4!%hVJTy#sD>PE*FA}U{x_y`(1`^X_L_GB@8Ylk@d z!_rs?orGTdSAvGBI4l0K3pEK=k%Zkleyp5^(myTOY;Yh^M1{~G_G|!9LTQ0coNP>g zc0gW2nHT?l~issm=b0qJAF1>Q3K^_f(AOz|V?mRJ$-^79W zEr*GKI_igC0kYEjL%IlLYZdS|Z*bYd{pZMj5g3WN=Y`Izi8ghPhr};IQ9r|)QOFsp zh_3WP%u05{8V!->Rh6_ZAQ|?zN8c~e@tet- zM$3Z{3{>GG=Kz^!_aciTvB8lFC3K=5t};m{2w>wZxt?0SUiX*BlL>!xJ&lZd5kSI|H17;7h34Q|Nijx49$&X(K|Y zkmOxS=(*nKGWp4iVDe$2lTFB>%%FNHu>NY*Ak zB4p?6tT#LQUc-ufo`5{1#^wFOh9e11%o8D*yr#fapWpGZ%B3%Q|~`eYQ1b?xj>T2%qV z(`CAR0v*>b?|tIkwX87>e+K65iyP-rlGZ-!7qswXuN6>%vZ`@Y`lq>ujsxZYV_d}Gcc}`1c#*mfWuj<}ydxC`-c@4xy|txhOvzHj?w+t@ zG5VlP5hQ>>%#Vp*v{Y#%?CnR`@FFELxwd{a+XPD+1#zE1hN$;SI6M_mr;mTz#|f?MGl&AGnb3iaYF zmq63Zi9R1$Q?Aa-*2g%9S-|@#_XuCj3o? zio4fo5}JQ7vPDpH>lzLHt`R1|H~@kv<3RFC1eZi*@w+~wgaXFfF{94>YRh4pqjNj! z1S-S8hkQn0HJPCIcQ4;(K_1m729dpN2=*x{k_X^_VDyhswcJi^9h=nM2Pz~ZM{t5$vF%M5rvtCWYb}t z1Awq9(!|kdH`6^7=HGy#WK0Cfx_9v~a!ma*^s(CKxjOBc8EF0VGFx9SQP~roHi$IE z-&l1ydmdZ3lrIsz@ZYGGj$u}g|6feWH}eYpH{NhwFSGwPIPTAg0ll{*Bn0KH<-^_q zZEwGDXEHbq$`aw2)xPOh9taxh(+1D|)qd%UISvJj_`f943$!l!WL754+15MND{F?i z&)sz0CCZ09`Aft*a?;Bu3_E?t=M*>7?dtcOd(d!0l&}9UK5Y5*iam_fWc5i1bJMHk z!j~qaN;cwVe_wwG(C1&CgDd!$jq_0mBqjLU4dhu}Xjxz3xrOnyW+AR?QS}o{H~f#o zMLy`?_3t1>a|+At)u=iI_V_4d5hdW{=-`8U>etwUdphaLYj|a24QW0*D`Azxqk5-L z({A;NuKrpAVBVd4dlphf*OOYKOdsr|g&NbJe`7ps*YC^!0wa=@WgrPC-02txEq&(v zT6&$@+_0SgH=}Vw`O6&R#+0j3s310o8;{^-1OU(_A>CyMsOMS3kMQ^z^;@wKnBUF1(p22#6lTWDb5CO>!I?woZQE41c5n5b zSGsoJ>Jw%$QB2m8X0am%^ktsv4tY6YAV`Qpmz{wtv4fiDy_XBidcqpfO7w!2P}+}O z=8Bw=KNVZP(7dOHWFX8Bnx{&bz~a8u#+Bgw!26pLU5Fomq=zY0oJ*cHtjGaa_y-B? zxePciD_pb89Euti)Bp%O{I>E7lSHK#1P+KmVQxc@T;vB0Lz+yRlcS5NxvlC_453p7Tc%=YpG@yzqn&FjrTG#s=^p#M{=0ao8y48%A>EZpQ8B( zI@ux_cQecnfKf~6?a9at;96TvDnf+z9Lhq@+z1{+n|4UI*LZ6%xn z)o2|7o+6wkj~GejqK6Q4f+jk_v4u?o%%*grH@Mh!1?7OB(pRNdl18|cn>TQt()~gy zPA$}%>F}OT{R~H$CpJ_&-ZoM)8)Qx#?__X$|=CU{?9>ZmHh4@kPyz@ z>=q+$|0oZ={Bi%iiK6yv>cGi2dte;aimI?$X*w~bjuNLpAdt4Sl^hx9vC_U}@8DUo zp?~3F*|GKMuw(8y=NVQn(T{{Gs}5;K+0RM11hy6-;Md7wl;7$w5gf}I{0?_X`6s$p z6vHd92vu0pXclX^Qy9-F;;9Ce19MfEnw>1X%H!3K%`a)~1h|A0VFs2nTmP%|QjXPk zx`Y5lu_6po?NU3%hL^3B044jhe-BIrr;k%M6?r`ZIAoXi1L@J|A;#=uyZ8HxU%XNf z3_pMv-+gZR>!MuB&r&u>x(S0PRZCrO0N5L(Hl_3_X1ibvansb8-pM z0wh4!gePaSifZkK0|KLP(wWKGjDlOmVSr-xgeUeP>ruL4Xn4qo!YMg`k#ng#8<8RH zzfEnHsevv1B_rIOF8z44tsC7lvPN8Ay0Xy7YrlyOexninI2!%2w)Q(>h*m$&UGxw?rw+%H<*In>R&7uILz z|GeBd<~M$G&j}Rij%hI?a2Q+MNwN-9C>vnTY}e%w+DxMFNM%@{0TV8NPw9Ql?BVLJ z70&Yq)VjmO4?|npmm_SSABHH~-WNGSvz!-ns)=X=_mbP2vCF+vdNIz*=5Y{P)jhgo z#hk5%gnQgq7FujQP|32kAR{bJP>a@n1fQ1Ed_epE`*iz!yZ%)#%BwtbB zs?CM3AW4R=kVuBF+cXnrST_@oTKaGDFPMq*Cv!;oyG2h+?l+AZQ5)FCyz|T43r*T= zL{0umKTuh}wL5PzCtDe;Izn&#$X6lltQ}qi1tI&({U|JIsNvY1H>(=e_>*F5(^OEe zQGU8fHIRV3iD%-~W&zfuv#u5+7F0ySQobz4mG$zk%BmhVM{A~bah%$kO(-muQ4y(B zx{37KVRT_9!LpTHWxIs*aLmxNa@eR%euj1Z`r`XwmGpO*^pou#gLuasrvydc6iLYZ zX;2e{lVN4^$C7D}evlaUW2`3p(FyAPrj#s3_Uw&OVLATOA1k$dB4=KG^*jxFchG}d zIlW`IUQeGoxP<}eS>^%dg0!g)gy8v6(5r-PWf1!Fbo*IPRgm>YW*a;H62X1z)xJJS zO(ZXcjYF@|z+cGUd{}+b;BIB%0>*Ys+n~;E(aq1h=evMIxdg003dwf!s?DB(vClS# z6S%_Dx+5%u9dp20@DR`@z4u+5DgOKUvQ5mc#NPLa3;qOa<1b$_LsRuwDDe4AY%(1a z-TJa*4nEd)DC8PFT-bx(>eDuT<7b&~u_G;kOeSuxSC%Wib;R1vb}|~@CITSLLCSQ7 zi=*Dc+A0l=)?MJRF)=4M6RznC8-4-rFrnfWS_I)8FMvSGE>uAP#74pLGKBg1V?}d>IuP=AtTxECg<2N1q3QXd?mkAK~PDamtTiP?*V zfxl zR!xJ1pjOFyZ`HY8nxet=DUW7`+QBxo_1V%BAqoM#Zmj4j`pt02UG5n1V9+?C1?xVG z<;{Xz2#uYhKzsD^WdaQS5|=Ifo?w9b)$RhuJp!e>0B&xzw&v#e(|Yo$_jNGHBIiCJ@v zh3;?+ubCYM#`AC*>YqGf-G(E~`Ksz|*MltwE@U7&djP#C{2f|)9^TGrI0$(f?@u2- zBsZ^VFN`J9>TV49a#efY+wdDVV{3JBkaESc&1?o5rcO1c#v*SgbbT}$bOYw)&#@sG zvS`7~c}%1t8AcfFIOMV(lW(GIO_>*=B!gmgS}<9R{wlo{f-;ivY1XI&O*Y$5K90F= zh0?E_{;{SWX=6pGMVTLm%Hlk33p=9`QLo%SIN8|n29 zPt`}ULUZ46sak&H?gpi86@^{1Bg*RUT$&d}OUH_k=;>z**sZjhDo#`74U4Y0hdVTZ zau$;A&R(6p6!=oq!|f*fKKB=_zHqHQ=v10AA{cOQUJx~WXNCltqu#)912#~)p*pVR zXFE1+vl?4ULZ~xoN*G-(?AVl}yi0M1Ws@fvWyn@3;c_2XsnWq}lAw#vYsu5?&l=avj zQPgqONJ!@wXM&A~fZ|M3f*X*<+mHu4kQ18?tj1QyT|%~BK3WhxK$#etOmY-Oa=dBc z`VXU1k1BtpDGz+Z_i8IQf`xg-2fnb#(zTa+>a8$~?G4H~$gNS_9(oO6-tP1=RdJHB zb{TDgXb^A{5_9Q6=p@WSX9?1L4zhH* zeWf+`RLiu>>!`Hx2H|+$x8J1G-pX#5A@>(pcLLIUSB68Nv4#<_G9hK zq7U_F%O%~P&6aA9#mv9PPL*@Fcaa{&_F7#Nr`ft+?u9qv0M$o(HFDCh_g%Zw3Xi{$ z$#3Ly+^ow;gFF?Jm!!vXkqGbZFW!&(^&cJ=muy`d?UKIcWWvDI2%`{(C!ElE?Mv^) z$948PqxMYO%D@h!awaAa^@_a>n zPQ_$k!!A+5a)?t}#12+{Ox>K+o_$_DynPjcBdH*_d4Hc#M|4noIQOnf4P}N5KW5`k)0XMrhzKbdKopYY0k#4Txpk7X zf&#GXGE(>`lvq;RtB(YWu~CQqJWCF+l`vc8Z7)NG8IBA&eKRu3`7+Z}i%0Yy4He!O zCv`4Fe*)}6_+ujU1|bGA7}$`}(`VTP!I&nAEJ<0h(Y(F~{scKM?2=X_$(5)g=8(Y@ zt~8C3#~`&(Wow-9{!AL#6oy~Z>a~dadXM*Sciuu>2Eu{MwaoY$-@4L}1?ar8>(v)X zh=(kWd-)`Y=iOL;&dTpZrfjHazo$1m`d{EO&JPdI8wBa7o84|7Q&yY@}E?3l^qRn4=ZdeS{|(g-gGiK(hIxb z;4R)jY!<1>U-B?g)4vscOZt#E1YSG6;V{B)9zTUw+O&+aPY(*B&knxJ!3@CBHfPH;T@N4LEI89Al_5q|@ay(q|E5$u)2RUl#I4ikBbwdE^SQ-JYIR|? z3zaF@3(w*Gcp1;Y5lx?D4VhW|?@*ic%#P|S*> zP)s&+6FgC@Hu#!svcPE#TZJxIicC%!Eu|qxc$<$pg*7xaM7@J-M%0q#AGx^pq$hgLs z3eCqhTx_3?`_mnZZYlLb?^vEeC_5p7|Gjp$MQnVZbO0knk3Y zUwI}J&#gltp`>ypc2v_$95iZ@7X7y{@DI1x`B;OG{aoReWouv12o?O<$X4B*rd-vV z-pG{&Pk>+iB!sp>v%;{AgZy=Fw~t5zOYn3hm0&&q?NJ*XGn~PBN7#a6hxo9s+v#g% zaPnDfGH5F8^}qh6;3c%j?A3O{L)J(SL)k|8Qa>iWll^fIE_kwx$(+ki$A$8mk4gmkt?Wzu}73Rl1(>HTUoRb=CoF6w~ zeFG~fLIop8XQJrq58?Ht6caXGv_3`P*whb5 zuRmHTeE5U(-Ugp%ck_;*Vl6{*MGm__pA(xbcEsk5{LfPo=il;3Uu0Kr+zj?(JtOW& zDNfSG8gpoyUyTq=0=hsS>tcw+%4D&_b2GFyetgLqWthZDwTvLW++YgLUj_VTOHs=g zX0w)a7k_xnqC}$8L!&&({x*0Su(gsWA0#ei?$7Mo(mYB{oB1HUBCp^rGnphsK|$|` zH3D1o;^u%V70_L~h=P?!KHu9d5-zgJ&%L3cgqfB#&~!UP8?lw?8@VO$k8igVf+?1< z7LAos!Rst)Zn(Lsw4EmjASjn7zhcK3_3DP8>87^?X*AwFeO$aZ=(0XioN&Esrw@V zvKYL`9$`dxNh&xRJ*UIh&b@aC?lz8;q(01IO)AmFt(317g^XZJ30Q#)f5_nxgkLQu z`YrZoZ})E&l=$h!aIr!P-Exi(mL6E*wb}fdo#$OBfIs_6%nvhVFAMYER)Q!Zl1Ltt zNZvP6iJ7qd4{L&#dLP~j7KKJ?2zyz`Ar2D#A5ab*PBp^ykkI9UC*#x$#8}ni38E~N z=Y9_nG?_I=mH0Mkv(y4*(Ggayikk0YMaG|^z+>hqr_6!oHNOZ8UQ|a!NzZfV)+d`! zhs?vpbot3@Buu)cQS|Wk??}JEG{ekbP~KU%B8jb)ID)}g8S9yLwL_*Y_z-H&z#d}3 zMQj8h7YEzs#*ku3+z$Wk($F)C8hr)VkX{0JpL?l;aQ_BfWchGY+1OEc{Nktwf>v|L zx+6z9!10_;V5kN%+={<*vF__?{AU5XxbQLTyBya{YXrUxhDD9r-UJ<&H6Uk*a+XfI zTSzV0E)ari8hznWH#wFQ-+pJyi<7QhY^)7`Oatw2_ALyxQ*?^!Mv8Z>YkUU%Ok4Wo zEww(m%{~5s5|9MBI9EV!Dthim`RP2DTP#ESAhLenIuJz~cc_$6|HnZRqGO~q*57kz z1jz3-MudB2i09}#is1(m6JtYNz3My8Q zs@WzB*V_ljb?|PCGu-U;jnACi7X!>(=PJrvJu-Z6b){kvjH|4}%MfgUGt@~e0oa!- zPn=}a*TglY^{@oW_PeHx;Bz~Wf`n)HqY$=NAfR2#VulUf3FJt+l*Rk7ND;1 zmRz{$5tvn`j>%G{{=G9)vu1c!`Xhda^4su`bk)c=P<%X{S|xi*MMUdEjTOFRyu&oH z{jJE;hyMG=GHcI_M>C5#qdu_S-~U+e`!|=GCqy6S0)lGTROz*)L`}O{+*h}O;o25d zmNlt1Gu&8(?YTDmKlnyYr(F`DnY=k~`NMhNKuX}l5UCVV#&_Lb$=^&y6l-DXI0^LL z4Md*Kr84~+*5ZMH3ZI*~Tkeb=OSXX8LVqi-FI~uMU+HLwYneZLY0Gp?Y!+^b3+5Ti z;>Eo>-?6nOLLqG1z8Nv&B2-D~0lo9-Av`xUwxC!BYiAJCebyh&$M*hxp|E;1Zpr-P z^z?#;Wa$Lwn)>LH@wPF%ic!Rc{rc@_@1-4os<*($J^#AHil2&_#4N)jMYY&QPcd|% zd6V*u-hFFWj=2z3cs^)hy0=u{bQ_Jpd|X$dSkXJ#CWYS7GnV5hwn4S56Pjl{g>pqI z(}B*)tDSn`fI0L2c%5#6xr<|So^e2_x}#JxOxGuLx}ceJYo4)1y`ra7^G(;soSosq z!6M^~YfEdc=4k=ho5XR{H9CRF!FX;j%|Kvt-Zos<=L)B7YiHi}UDwAx5Hb6iXXz}h zuCd3Q>B{je^^>`)9<-_FmdA1CSVB<6U77(tX-Rw;=hRI+`=(dB&aXNr;pyPLPC1eR z?`Wa0_p1o(uypwEG4aTLR=d?NCl975dGWNoUPw0-*nD^P6z?8sb-CtNmSeQvKbiCe z6nH(jYhPg^ZyZ(GKbedF^hgaowv=al;b1T96q&pwDCw3FBAh#@vTrdnlyykmdA2;t zdn(kr{g2_fJ4-V-b2v*SnqeAERv9S})aCsvTCI2H5+dse@TU+C+@8if&*xGRP$-8y zQ`G5=<&sxUFcqGD;Ns>Gxd+O@se$ti=42nBd5o!}yo+}dO@`d^9nSg1O-=G$(`i(m zBt&9D(~pm|ZxZoo6=okCJkFQfRMOWC3GpyJXEFs0j``)F4b=T|ixVn!y`;}IRXN)% z_XZVo{cIJy%F}pd=*V*@A2E{ny=*MUVD&$l?k*D>h}N~mZ|KeODKk~H!wB8zr+Rg3 z$M3{HnE?MBj;)nF(*;p^4*41%65Q>N+Y0r~ZnC{y{%(-XFuQjbUL9g`J#3PV@%;I9 zb;<1Qkih05lIG!LbXH z77}I~%FfEem5euNaHEZBsxzd;fu4Z$&sLn?g9#TaiCU1XfylPHK)*%1qN#o*%dJ4} z<_Xt@&4`ST2%qQ0tL-CHVEc5P!Z#G3U-rS)!u*smpzH{kBo}*p$#ajzt~52<6%wDL zJHG*(4#K}$v8*>>pHClN5I)Z(0h4u4FyY~+ot6F>!qhrhd))lrQsROu({I!HKiQJh z$>t~%{Dg~e{Q@#~9u2%^DH=`FTHfdh0{xenP1s+A$La<;PDIBcABIQcXACX8RW0K9D(OCY(eso?8E$iZa*9pTbJ4P3>t-84~Y43Db{hocpxc4%hw1^J!9sa*G zsZR;fuSG%xmUi>FTi=ndlS5JiUASx81aIgkMSl(pi0^Mv-6^LSZHT+VDt7voWwjFm zFgW;sq6hUYhYqt88}-hD7l9$cAd&L8l2Y>wLDS2Mfx)QUOCypZ<@rTyudU)HW$H5i zwqGdOUQgqR0zC`yP%@nt+BsdrBQFlP(E?ftV?xZC#3vc%USoZPHpd@c!0-T?YQ=Mv z=+8X9|624>8|Qa0F#M%?Q10J@*S*FZ}LRm)QLoWL)7 z4p-4^-!YY6>6^YPGZD|S46F5w4xV;2WU@wCH(QK)B&3GJ|95sfT$tsu>zVskze7r-isswlXYWBulj5b1sG)I>RmB7!RqU= zYIyIoCqOP@wpU|8ETVkA@M2z29fLi(+BB#?gujKfp~6y@5j_n)9=;D!>h=^}utc3N zjo9Y9e z-K^AEQ1uG@Vo`aY;E%i6zh;gP>Q1v%2BUGa1tH#boAzwXGBQd6zzSQ6CtTg6{hm^9&NEx8n5g64_;Mh}$)% zO30h z1I6>0LI$qyhw$+tkMV+{xn^59kgAbD;aT0Od$Z%Wv*WuQE`%`#;DmXst>O4->7c+a zKimJq*;_#6(Q^yixNC8@;_g};io3gODemqRcXxM}7T4nLP~4%#UH_ravG@Gvll8HZ zon$AInS{)pN#;t1cgWFa^|F!%eOD5^uZptg!N)MNZRcw+UQAn`$Ga>r1mwY*H1>pN z4pU|dIh#yIt}ay#sRmWeC%|d|))BDL8dRlTdf)04^t$R4)@cn$W?&f>l%N4ROhlSI ziav?0`IxC$WoAi9()i!PDjx_+!&n=+xSP2ERLo&x`=d8!BoO{5u=~0hb@B&7{rNdh zbwH#dE)+8ZNmiV*t$cp@Df#;~z5j`J*4^8R%T(wRWE|mgFwk&{WXSPRXq0hjWR!7uQk3xsYLuv7 zi_X>pKI!&%@Y> z1b&_;EOx*a$Kh_DUYiW8Jq(7op+#aKr?9&{xkiT0IVL7EiBaB}e!2Z4w3FiGY;a#|eZfFfxOvf#5_7f6mO~+5 zRs}uV3wm(e4$-@uMc4s6B^8bgb~JpZhrXYRh4FYcRaSdWLf8KAb;U_V^?`OPLis)au2vGA8} z=6mV&h&?O?L=-2W7SW*D!c`|HP_9%<>nl4~hl|?yx$5D(@?bf<3$Nu%K?BDFLU?V$ za-uNx(_i++8=yuBeU1=GOh4{y*JyY=SK9RR;c(`Hi{7kDD zi2ZOxhmJpRO#Mt!5g0QnZduO1uj5D^XaqN|P1eD=Gv=CO%uw|Gp~4ei1V*uTyPqO6 zvM_L>AK3h2>Uzm5Q-x+?8n;e3zha3$q5M%}!`Zy4+7E*(pKIRO7|i@xSpw%(xx(J4 zdVe)4qKPQ+a1=lG@G`iXUMTm))UBHFmkQ4JlyvUwBXSiRDHJSPcrk0FDui~s52l+% zDO4iKkVbJ2Mnrj4hzRb&NYi{Ip%&S(B5d+rperlYnDgOZG=jvNNO^Jc?6a;|Kt&7m z!dz*ao5jTFt!xIvwfgbU0W4I}`m^|4{(@X=shHuE=t>Q0J^)}n8Rg%`#}MTydJdlf z&}c^^GR$w=AYPKKY{~C!A5Qy%csaJ#ycK@cwPo&b-J2tI*o#q=Tw@K6JLEu)x7(a65KF3G!&C1wM z@+On`%MHBqb_P=Hz>onEx>%*ppL*VINfZkS+AR`|@V@fPlsE#N*;TtqE_B8L+s++S zGLIMn@|0jG$3#){t?Fm`ZTKL7y2UsXx)X=7CA;eZXshu@fVLt51!yb!f2FMqfp16V zQqz_2W0zVavk@Vr3UY!&87Qp&jN8a?6ytK@1l%Gqc=Ia+0J1Ab3WK}8#`pr?P+2sx>sy#{o?`Lz0YyT8@hgw*gF zcnIhT$Z^YG!_jlGmqV6_H&8-PXNbEanNhRQe*#*pTDfh;_uVO3Hiz%K7vx-lT1cEI zQg)D}&ObzWj*^YYiz;>pFI)nQy(8ZBJf1j89)#npr+h(RUTclgSRx=eAC zU8VOZa79>!_*{i%7{UPf@`-$W?`&~V+BVL>S=c_ZBdr03_dyLF z1-smm1*V^0p7cg0tu`kAgL9g4I-K@eJxJzK^whBfmQHd-h3L5&`T4|Lv|zM<293gI zF&z5$GO+QASd?+*(Td}0-M34hUBt(sYK6tD4GLQBn;l75vOcks`NdgvGns+k7`~r6 zzSbsZ?qNuOmK{vFqixy=;c==JIoh&8F2_8@C_bPE$EsDSd2z|@UD!@xa2vL-4gBDy zAGW6`wWbw?>P4jkXRiy*LOrbPv|pN7|PEt->B^jb8Zb3n8FG%+%?MI#`&MG@8w@1sVwrkN zBr6oA(O+L4IFg1|aiv4qr^4h&i9a=&c;u0GYZUs8w?+Dt5VN~B3SasB@#p<0ZJds zY|{}BQ8VcenFSUiAD}*weU(|eU5yjLt!~Z0X3AW zb`I|}>cHouJ(uz|Z-f{65i$}VUVvETgZgLRxQBNTH&vQEsKQ(EW^6=?izu|ie<|j!9qzfsZG3Qf{hbljVONZG?`}Vz&kBXpw7GD0oim{K?EaYBCKyE#u=rnTOYU zWNRWi;Dz@oVq6xI)You9>%#*)1=?lEKTMX#x}qw!>||l~g%I1P1Yk}wj?==5Kuu5 zkWLtx6Wk8Xj4Unq#e`=Xu!>~*D9uG(A=eV{t={mw5!L;V6PpNhKOPSW^GuP;JhbpH zb&pF%s}=tcFCNzHTs@spzPMxWStee2dAPq#Q-xkNuB>pLFI;)t1ap)yFqU+bbs#%~ z&}8ih^|XEY0*cNF8uSBO5Ckpy*q}ad3Za>+lS>K9yup7H7aXRV*{&ZWVN&OwlW*A% zh8RUmzNJSq-TjYn!@P(Poge~QD+St=j4o6w+t>_U#bLW&v3)<%nodU41c?UjA#p}j zpj>K;7H-&5yzrMpb?l#gqt1#Sk??B=RJe5y%PokF1|dFYw?qw$2D{->UD)r&vM(z5sg zzpsDhwEkDGGRyFVk=pYOFGlDB61?#)`Ri|D# z+GhI@+Gf?|RRB(13%~mYLU8ip3Q0QFD#(a>A(RC=7b%kc9NbH?`aTJ6N@feR5SuN1 zfW=&XT~Ac$M3wZ{0{%y3(40#wQiuiPoL!_f3Y{_ricdHrHvaj~YK_&9ksg0)5PYz1 z;Gs2u4Vv;o@9TR-sAMiWV%~=DT~KlB3ha9*HYRX#QF&iSYST~~wsX^W1;s7;E926d zs`}ra**m(t^)~e5lXAQy82(rf`5qq%IHU7ML3~8)T59>ddziu9AMHR1UHKyEJ29oO z5DD!6=kw5%I=gt{^vIso3Nt51sh%dLH|REZ{v6s&ouS^^*drs7d0x= z0oh2`FOAT|(795)6FUaVq=a8MV-e1&3JNtxAs&j^wR*}5H9_t-Ql-ov=S>3sL9=8{ z<4xbuEc|u=&5C$Ov#JjM8=BSDiP{h#ciH)P{;?e$l(IrNtXD{H93?lu)S+?IFI;%s z&X2=DmqSD>j`*#tYNt&cW`hC+*0k4lRcZ+#ZC zi!Ri_mp{dj2~TIogmq}?&L|8nyMi!*GY0v{6t=IAv&4$sVrbnq7#8Ep|J&RTN@ssk z0TtISO+Z%6qI)kI{LqtBa>J($8|h=SG1>1t5sQV8p-OuhSt5i;w9tv0o^Gy}VT)oy ztjAb*@BViXi)_KN8}ji3x*sUkt4iXWppp{8JP6{?kG{DPQwB1Z>TfVW;7l(}Vaxfd zJv#Ze1t;_qPdqUSNQm*o^{v0Vw_q39^aDX_W!fn29IGZ?z|ejkNko}Xlwoy=;PBFL61C=0mrelP=>hx^NYd$t~9jsWMWEfFO{ zV`C4V4vBZEamxgGF2UI8G_Ou1Nncna-txZqmMLew7A{St#qeiEjZLrAP?Rk%Z;Hc$LaI2bMqNN%YP^q1lE(AnqnbEeQ;akYYLW_ zm6tu@lC=s(K7Oqh%r%9Bfx7qzcJ?FC_dsN&8@@CYn$#49%cg#xhrg(4ZPNPuhim~1 zaYS3QW03cVV5C;_0>VdaEf0Xkl8>@FDpO=i2578!!5)ndhk569hcQj?65fCC?w0_} zYMt}E+W+7i&QCnM>=r|fA>x2gY(ired=gEAA=RsY%r4t6-RC>71{+Cpl86aUySM zt!bevM}t2d?~I;24IV6>F)~W5C}+=~tfv;g<5<%w z8WMGSvdoiwwdv4EU^@xcO{FH_(+h`1CQOkO;Z^n$?;Nt=MdOLL{fII@Y$EIo@K{+g z#Tm!wgE=E22O%<4a6!XC+mfj=6U?3ho4!y@2VDWHE1y9;Ekmdp;%L2HJi&Yk#r1^wBJ}B-uxj9_IeVCBl%To!2 z84y1?KJ!BCS>1so^P)0|6^Nk~xD|jQF5+2c9%$n6RaW;@8Q5o zuvt`nsmDyn_R1UVHj=C(?L_gW>Fb^YUXmA3G(6?atBP782pxR2DeHW45WY`R5&d!P zu-MlYU#-8-sLao*JTrLH(m)7)2(wYQL%SVyN+toic61k&b}64<7+rQFnRKC(JkON<)Ggh4dnb~V6fJ| zCr}~QW*iu6)uJ;0?WCQbwHta6W-j6x!!1mZz7pe*PSK>U97+x{IjRn2UaCU8skcJ0 z%F0@$;s|$**4%8ZLde_7#rWSSEF^DGQ7yv*(yWMU1~6A&o0&~wBmd9vTQNVdDj`b^ z=mjCIL2Um?`%!YwHX)m3nzh9pyI^A2p>I7O5jQx=GCfyB`-!`Mc}iwH_9N!Y2WdP0Qr%&= zIXMeRQY`YoA+cd%2St`JokWEH48ozsMkp!l=A)~8&ec+EiZ$m4o7Z$BKMRq$Xt`+j zuoo%5Uv<+YwlEha8kM2At{XFX_Y@DtZmhS7Vx?`loKcg8e~ zAp%s~J8i$f)GlvO2?JH!f# z)d`+dBuR)(Hgh-M)i2-DNa*JBAY7c&*2GP_Pn1X2moi{rZUaO%TGN-DjZumFJi<&% z5A&u8af17MkA#NyLiNP925$na=Ks#N#alMGEFj8lXWYg@Q#q}%!mYhV_EL+8=Nacr zQ?k{Jmv(Il^ymW2?eEh=d4RgDL}?d{to%lrYJJ+rTg1~f`$PEAbx&GeN5+>YNu#s0 zFpiAQxQ(qSu3(xEtpYt{3i^jkw<5O1n)*+N2W7}geU);>((`3nw z(2Q7ad%OoJxA;++G5WDavRKdSDS!f}Nn~Uu{9{n-}gs(2(tWNvBezU4Wj!u-J zYl%<`QLpxg9-aOl`BqGk;yzFtnWdNH30a*}Mu4gQ54TC#;r`(*c{Mt&7LHi_3!U=dE| z<-mtzGi@+&321Q`RfXA^@uYH+;j{+PRURf}c`PlfEUPa}bM2cw6Y( z_UEx7gu|MxtD*n`isQri`$?c z1}>s@>+YaiVMO?|yn@I*5qI{SFFz_I@~zhF6u+rk!ja%JC2abojmM4%b-W(u4u4H> z`L&(ThTax7%a48YraUC2WEto7>?*E`iJJ(XOo&i4Q!i7-#;gn_Qb!IfArD-%zK&fH zvijpzIk%z#48|PQ?NJnO7WG}t6;E6mEmROMN~H>x>7Q!aEKeERQs@`tA6H=`eE!(7 zmfg0bskjTtc(9;5ZKRh<-)amIwYDb~Jiz?2!Atz`9i@w(ar|)7w*D(V!H=&(q^k51 zh2@YkRU81CVgtKEvWD32ScXAJ{O~l8%`g46?#|-L0jK5{Ki%CK&cg<) zG5RDw6YN{n){Cx--3D0IT}yj46pHKHPtW#kcQGyAbGX;ZUzZq>@#k<%*_rB|M6-~A zUB}iadJD6Bi%!K+l_Pi3;=n!=s)xtHK|Jb=g?PksyyPlUx|~)@(v25+S^m;(Kik#W zM-p8-pwdE0fCb^}@ziHbz`Ki%asaJ50b=eZ#nUe3eo-l}&*GW32JiIIxxjPb_-sYT_|7dbvg<|M@yyue(HRU0i~9$+sR$sV|GN%l!*@zu*CXxw~X4l#LHgKa?Qy3Ci7} zy@^d$xlRd8e=UD&{QEo#Lrfk#mF88{7exK*9&E(D*T1V6s9O z$1uWcB92or`J*`7GyqbirxkU|vNr4j9&-8FF*^5jGqd4YHLjM%a?7w}K3S2*T}#}2 z@=3%`LRV*MgqOAH?w7;H0`iAi#dLXr>GeThzm7Oqn7dn;|Hu<-VcN%p+L%(@-Fe5a zxOSa6u7Vz|#vS`&HSv$dTo`UR2Tm7Pp^5KO`3x?jhfOasZefej$i*b9J)ut+;WAOP zP`9%*lFgb~igneGPDoX)bis<5V%p)_%PfP&okoAQZtHBi@)S(cPCj(AfjuY-N7Dy5 z*j*g99hAR$kG*A2@(5@c=4`M9W`YZb{AkaTzZv_ZoiZCoI=|eGCjE!AIL0KzgeGjF z>!OAG1@84Y|J!q&m^unRg*}oT-a@X(n#i_#7AnEYmiNa;!&hwhuzkxnm=+H=h75D;;#ZsL}{wP0mH^V;#p$UEC?L|tOj z-w9UymgL1r#{s)GY!uo1>e@MXb3mpVUVlXtN`A2F4AQt(wIgJ<U;oD9tK-w*brcc`ZWFSl@~|%XMCslT zm3OtMDsdpo<+sl3v>pV0c;Dgl1%39y^XL$zc;{}Wj#Tu(`&(@E#;7MM2|D_;zkCN4*Bf_%}Zrc#zbick{=6g2Rb?}ER7HLDebvke7 zj^~eT#wZCv*P=C}CbH;3R>6DLZDN2Xg-n|dhlj{sXcsiW69DUQIFe#i4SBxM5Y+(t zhs^~8=~frs(>0eHKhFG$J{mmA5_nJ_9^`pYphR|d(5D>a;hr1rlqvt-k0iD5ci z>NGJj@&=1S6U?Z@vHZArO*SQ#2q?-13p<(!sFM2sza#G9oiq}7&^uXXQtc+SZ&qaT z)k(@MxzNN+L(0sh*u>05YF{xu-W|cwq0*Xtp$YdyE6Ta*XR8{34Axc8-wU$hOLhAA zK0I^z?(oX^^IgDdiiQYFhU3IOa8Z+r_#c3ah9QjZ?=-#3hO4kl)8OTVy<}zfWK%k4 zgQSuA;WE0G%n_FHGP<+O5o3SlCK;!{CJ{6>zDXvrC*xiE=fpP^VtU5MJ6DC%OAWWG z4MZ22=vEkkE7w=6*5y!ZE|xE?Cwd954KsV9;Do~svJ0A&8gR1&kY8EaiG~Ebby7nY z2re6X-WxQMdm?e3jfFT_%v(6}&}8p>$0E>*G)M`$jO-Kpg(2u4*+r10ldyP^5Aq6{ z+)G7o7!2c^fW>XmayyAl?lOG45GrbLS$}za<)~5rxTr~}>VX3jD3h?V1T(D2W6`UJ zCN7K3mbVxrhvrhGC*!7Msc0)FP}*n!S#n47sr)*3CZMTIn5N??p2x&+)(CC%Ez|~q z?%U46!O`O_Uk<;#a&vfEXB_Qqgc;>+MdPWxqK#aHnLW?l_}B3q?k-f815V-7^CZF6 zIto6k-arZyoMo6+!ooId;pDieTx+T3Jc`3~m)2qvPpg1x%eZ|&r&Z`q2Iz#-(S#%# z5=0_lZjz&j#god9#HVaX%quAlf5x$@qdDyi;Z(x5;ti$!l{i&@{eUdqMOcK;Rdy)m zRMgkK=j+BiqItX9?!qqn*f@V>boga9n1-Hhgk!#RMwrZIHnFN}hE3RFM)XkBhH$|5 zst_ed6lFUBM%e3vP?$U^%!MR`?%P_*U=>v8elnrkltZ77Y@2KxIXVzhc z+_fy_qgk2u10&b$x4YZzj*i_zH%98D@+jdf2d!O#eov^2Y(*;%K=AQ~-$vzoo3`7i zi85~S$JwY9f>oU=`A)M&O{N58tcDC@0}^U-tO6| zzn&gGDLuZuKJ91oRVG@A|M;XtFP`|iViP@)zLP~?M&X=1ryYMdDs+8EY$~>o`tk|J zoiKtWYW&LHH=#z@KAs^`(08qs6de8Q{k4G_FvpFSV=Z4v6A z74cR_@2+JfG70Dx?Ve{Hs~Xxsdzi*D(fTT z`f9|T8G*!GaEIE60U1n24f=>U%7O-UQ4}$q2PsTO4oolY5L78em~ay6Dono72=Ec_ z>F?u1G{P=*>cZKYl}BF&7oD0Dta+Y50SgOQRBN8qT=p#8`zm3YEDUwjm&zhI>XUlm z55U5vGmfSoX2aIsRT(ZG=j3VV%O@?T`Q#$@9kpshX9K)5F@NthqC}_=ZOKstU~bC6 z*CqytPJz*pQ=>M5NL$K4(04ny^gwXGNkII$lXlF>R5LU~6B1COu)q_N#|WovN4-|b zbDPBz;=&jq+kj~;9;kSire?LMPO_uFjey3Tj58wcMg^rwr)grNCY!aG=0b6h=0f9; z5}tUO0ArNPBxC_12agI|h9Te_G-895q0-QYpp*=tNMk5jj(Z$=kO!R7A9enfU=;6u z@xL;hA|RR8vis+D|15ppp09oOA=K1m7ni(KoB0FdD775V+HBfe#4yNOkLD{->EM!Y zV%n2l;AsX1q%cqv0slC?-@RFACuqfJrB25BNmkM_bKJX1?WXboFp#Q(Z1Wc5|l8!p&Sziol`NV z5)`g9_v1r$1e=a!LNoQBCMjK&Bnbu@y>17>q+aDwe7O^@yrk^D%THNoqG_x|+bwghMp% z>U3B@c3r||{i0f6Wl3vNkV;OQRUFHV?mfpJ7(1dell#o<$P@mL&S>9hEF=i1{&3AF z#j%wbqXi%IhTDSFCZd7LmsCU&;7<9|?+N%d%>E3rSPQ7VHtpV~FSzaHjIQg-Ov^o%2qrkO*a;+zKmGt%u20fADa*!Q>S4F zNtv+&9qhNlT@6a;Dda|i zsUz4-xSM50%$H%d#r{(og%q=KxDWJlOG@TpYLv|l=gB1pR~Tz9^CTx#6$~k;HAkD8 zo@fA0QBL@V@Ed2BDdlsr?uB+~+vtXp)2+74fbB9ym#O++jmOc+xM_UUp`rNeY@{1?gWhiTs)nK~8TZ$>bn|jXWiB72n-Vn2 zv-*|(d`z|~ss9|EJVQeuG_2IyaCmAyy*8QOmLujW5OL~*x%NUAvedvdKRKWxkYfL+ zBYx(HGdpQoD3EgTrqkH#IE^tW7RYxt0`^3M90)8Oo52aEDjA!liC_qovD{Hv;Y#9= zD~eM7?_OB_fG6d9xJ=AWu#(`KZp$rNJFR~U4s7l<)^aBTG33EDf|BH3ycThE;6e%d7pyA`51p}{A2`k|86$~fHFCo`b&2R#h2@IWW$U#2l8NX( z{OTfOB3$(QB$=33C~^_*FeL+gL9<4Ly_U?#TTW@a@}?KW5$fte)ntlell5Z}t#(>F z`3#wu&RdP8@FfG8VY5bZjnQ@OTKR*f#k+MG)b3v9QkoF%3^o&11+*iDv^2%E;U%=k zrLL#^xspwS_Z$)$w&67#7V zG1N%Hm}fIxni#HPLat&qu3~Afh1-svVsG&Y%CGQ*Bd-`96R;Na$#)v7d<_A|ho_kQy{iOlGX>GE^iDO{mMvVtWOZl~Qe`XkIa`n~E`fc?a~5wC%Jm z)E_A_)t-|&5ld9xMxD{6Mx8O`DjtWOasNufzmoK?qyXgJupTAm)}b$sr|ER`tN8(q zrs!6mg3>+H7sivqbHnoB)I@ZC1oB{H5y^k_??H8ud!|fGeuR>w4PszZ4%#lEN2W|Q zACb6l_Nr&79}Ci!Kbl96wjuCc=ZAiv=ej|=4;0Xz5bJ6Z9F3$)s;epSXa$b>M%-{= z+DMJ2?)gq{n@T3XVvXx2n;iL0U%v-NS@zn3RAAwi0ace72* zDFcxzFAo+zS=FP;B2h7$qm8&$Ub~%gi+g2xKR=(CY9Tf`9XJ7*DT~mrIqLw)AAT7D z!GnZw)mDa>7`kw_9M#JAqVd$d7tN3+PHm?_{eNj#*PwOhP|Z_@rKZcq_%KcCm7MrK znACe*lwyCP5swLNOGmfPny_diP|<~7ud>ceK+vn%KmH2alVB7h-ce73LN_m6Sq zbvod3dn@)<=+s|ZB!-plZ%E0dx55w9>KeZ#?m|hYOGtjLP258tO$8z~Iz^?Dd+U>w z=`?{u;hjhQgezDWa^ImRANFc%)~`5jSALO z7*uO7l}s9xs9cXu@@ujmOB1dQiL~gab&)dHzE&2YN~`*j)Mxuj_B1t~Y~zY~pcadE zxSP{uXbCo`2`202kAe0#bAc~X1vIq(N#P%EvLa{aG82r_%1wSHAvgSM5x7Mhq!wzF zPN4^n%V(YElb(8*f0$_fAi@u7Q z@j%k1TB5!-k)mNkUKb;x9n_%OOsu5+DW-bVN@^89+EcOHcC4ZsQ;Ex!H2*XHLwPb# zwmdm*puFL(lCT)#)S7T7AL8PRVhJUQP^$G${aIKEy6y<691C_Xvjh|F1k=)@H%=M> z4Qt##(;7E~RLT@r2c3yy94zDwQ8L57S9ZZOS<<3x`9WwBoU2ACDibTM#fTnF>Z>ul zDH4H!w=S?!P+MG%U$tzICaG)L@mQhgR+VN|UC#7rr0k3S>`4WBGQ7N87KuA@B6Y&w z=?8HsvwxqP2m~}-Nn(M@|ARx;(J8gplQ7X;{(qW@hV3W}zwn zg_Y%@*2eS)^oWT=GKQIavbNm3MsnSpzbefrMM?cH2bxi~lKOT>nixpAd6wzFMiI(D zYJo)&%J#4Hky?n?`YkE7&_vTr1u$h8yvgs=4Tv&8se!snj$U;{PK4D5$~C;>to}a^ z_DfxY=5O|$nhGk-AWJF;EYC<&2hs^uv}!q2C5lEFssP#zFiEw}>9J;%q1dR$^msy% zG^(>vxvRnx8nRPSwEk8c0bg}sT^dlGI1~N2@q}FaJ}K;T$Syz9%8~_9$OhG)ToFLmB)O)$ZiLu>~ z6M@RpoH1G$KB`1sXw=Z${A*Lw99y*tlFawj(^is@lFgUS{8_lYkrT^i%6(X<5K2^E zOcbzzUUm)K^eZsh1s>xGsT!mz9x*KSfZpDetr8e0u%y5D|2R?BT9T{ESz{uf$Qwu{ zhASzQSZL!C@lQ;S*8bML%%dlAV%pmVkdvOKKX9I-E`wr?a-b4r&ZvA{DR%b^s50Xp8fvEnJkSA` z{Nn}4itha)DW8E8J_Gk!!YgpL@@o-$>OImOpqA3#A1k0sFno*7dM)SQ9I}FdLO5gv z|CJ$sWhfwl7MJ;d{g42h>QY}KuU6vys4+4c@+&v#;c_b=RNfB6wf+_F7xdScSv}eY z6nMD2^j)I|q{5&Ds^a7l_*Y9?p_c(#dS~DtmE-^jC3bxiY9I;Fm!1JX9F+f_up*Ie zZv&000k6~R>3L`IdiCPZpX=VBzMYg#%Hy&cFsoX^%h(<wZoju`bC9;{4mRumi)jcgNaYC|B#cfxCMNXc!mR+(x!WyY zZL)0jnDz!vLd+ClAN|5|z9tQ`6O@C#NS$hvIn<@Nu8zF^TIE@O^PM!K{QlWk2n#Dw z6tuqjPIIs)0l(jv23o-ZCB_+_U_pp)0g>SZD#bZ)w6!Oxvd0{m+k$YLJqi2|PuSwz zw6)b4r!xXd3~a$@(6lL-ry=2XEv1;FGfJp^$EquJa%CVFoi0jD5pRq={PtqEA^t_T zF>>fka2Rdmb(E2L=)Ed}y$12;D`%7%*=jf$<`9B@>-Y)|wa%tLV!{Ph1khKa%v1 z0pf(uwEWjw@bP1pg`Ukb@94q?XDCyOG&K!gw+$#dDc+pllk#C)2}s|OSc|MpT97Ta!Vl%EtxS{oV$8cO*a(x`h& z@)C5K?8`T%&AGej3PwCC1g;y?tDxhIN;Hq4C^V={ev{cC&=Tb3A%pHM*bHpo8Vtb$ zfrC5Ux7cA3xEQxEV6WpFiTw$;ZeS3&A`j;O%BH_E36OkS7;u1;JV84|%@!c>Tcl^& zJnz55Sc8S5&ppiyUD~ljnGAKLWIuw!fWCNUcHzbEKVr>ZGVDH*$zA~Dn4kIS7cSih z5LA~W;_Zoq*&+m_ZTs0QMAy?V-yILyvqt5!EeyN8dx4`sjks*)od)T$Du&*})i;{leg_-G+1xj4+ClauSma3A~8V`m2 zEHcB@f0#RltGjBDQ(e$sZD8N^mt2|XTAy9?8aEck7+Zc zI}67UKHc-_32b!vWWFAKqWGeN2!p2%-urXj&yL4R@H;g-F@6TFTYc2S^UlN5V$r9Z z4FMNS4E$qZ^m=MwH~VP)5CTB~y@`}xyjA$Rte-c=nAsd7)%qnsg2ObQ?0@k4(k)E8 z41>M2;+tQ~7_4!4F8haTFhs2XsYH5sHums+X+_A1*`RZa^2)BTOLh_~%$XmsBkDt> zM7jfpW3A2i*SeM7&j)2Sg9j(>!aMupxS26W5*IW6!`i3@ z6zUKvUQg%47>hTn-Az7vb=}D|Ftt?DzLfL%`nL~*EwdMo&z+HfcAjUCBdm)U!JN#s z-Q7PW<312u3^}<2e>ty)C(=VV*UpBMqfdk8Ds%>(p#G<{#Icj3wgSqm^vKEl?LN=^ z%6Z)csE643-K22n8p{3w*{D>rq^;3{I!yj~6N**qr&y1K@HXITBv+k8kD zPxrojI5?noW9``<*8&UvdPuG27B#Ur`i#^*`D4j=(;KeQam35%5EAks*Y zmPrm0?&o*Gop}GRsD~t?9nEL>t%IvQd1R^?NieD9nCB?|PcWhs`5^0@0^1i4UiT(troH+!7i9pI2C_-=5oAmW zZOT*d0Mw5lqS^gn@Y*M9J!}%h+jp<*>1ehU!t&=vn>A=h8}@u4B(~4u39|=UEQ!zY z&V5fz&+!}A>kc{wTrnuHONjRbQq&c(fupbsAqf5q21rL&=K#20M04_rqP(aG|tt@78yU|uez2}w=Se^P!!zRJ;SpR^D`+! zWRLp<)JB&!(%i-%;$dCX?r#{NzocQb)b&tX*cyF0NORvXcbz;sp3R;DP+I%tGg~vC?k4 zkU#P_EUZ-Fv0TuCMUIDm50KmN$M5(3=^LYsi<@n2`PEZmfIDneK!TtOkcH7q0q9rZ z-c{ZonSMqnp=P1qCR zwXU1kujKr*UfS(jWN)nIdzuzu?zIQp?0z&H!tL-T1o7Uw56 zp%M0&;m2PCO#6#ZquZ`Nuc9A-Zyic;@}w5g3S+9}ik(af@ca1CA(L}JQ>A{RI|P=> zbE-(c4vmglsI_8U-p#IxZfJR-L`NXvlcC6n!^32SBLDT$e! zU2V9sc=S5-JL}RnXF+YQhQD8Z8jTG4;(v&<8a7Hweq^dvL-*lUE}Gr#xTOknlX_hz z;PLblujx4u^9e;8TaVTlZ;;C6YH0A z!sG%Bon|Le*tF57xshIehX~Fqz;9by-GQ6Q79>O-%v4)2w-}yFt2*9`1%@k|d6U`% z!3`S+4-5f2Ly5vj4hq$gB`h^Ts6P{78*cjejuij9Fl`uZBk&;#S}u((cX!_|J|aqw z0%%aNR-sbP=F`ug+6_xX8nQQ0s24;_7AC^V>XOApdbi5#Xa=##%gJZ0-pRW(5YFRgL z5it&KY;g?#Ie|?d*L_PpU1aYg{E_Q_2BWzh>vSwmpe2|qvyo(^7sQY zt2??hx~NiXLlda|1G}@mx)`}PXHYr^Zqb&Z6$)_>qP}3)K*Qz>HpC7Kv_&)6`MT0a66N zvrftS(X`2EfjJ67%juY2-&U*S&d=3K4=3Dr6bNF@9 zGeRdXi*ELIx&H*X2QoIFffLQ%mjdpM&KlRdBQbTz9}JcQqBsiDcIQ)P!fMNd~$#?Z}{uc-CLhfTz}= zOt(9L9E55J0t7M*B$pTs1l{O-F5Pm{dfrtFY%-)}Tm4XJvh`|cU zo%QJfFA|1I{?uMN+Ig#@4{5)1G_`kZK}RQ^V;<7#^h<7{!i_g$Rlh@mkYT24cNihh zSFOU>*Y@1Q;*smd$um{$aYsr8gfYmCU_<0ap~G|GhiX#w8J()nRqb{+uGb_L0`+B; z&sc)K_By-_$3$w?KuR8dkrRpuMT{cpEaLtvNg{KOux2_)|4VA+vlCI z=eFdg6qnVw(FReNeHC22#kMA5B9d|flb`3KeSj@7+eipUNTa89vBjgQ6_{TA%%^;_11BtFoD@>G< zWqdS@KhlA2%`~cUPIxP7=5iR2y#o0^rRFz@!AtKcmR6o9>NAsgVgDFIjLmCVYMsxhb9Bg9 z`K0fWYHKP&WYx$Itoc4mId}Z$rB6Xleu+y}W*;~uhaYe4JK+aT>p!;Nmw6(n%=B4| zH3(ZpT)y`a6EDiWCmMWJmYzz5&@(#96N(&9=iSTT^n6|9AIme}sQRJqqbl<>vzb{X z5uI9GQRa`4PVYW*J_qMhPokQ#xqMl@^iC4b=xVyT4{`^jd3|J?l{=Il1s1!hE5%b5 zzc{j$=fy8tIsABL8E){CcnV^{Ovf4pTR@-R!-pEG zIr^@Qe1@@A@|%u^B~xqaHyvCSPw(gQe7OS zRrZ<~mm;#!AsQKowsC@UeffC>|9vE2ZR7x-ly!CA1{Fua#7$8aA1!lzXds)N#}Qx9 za;BVEh=SP&V{!=*FW|B;im}%e%^6q;PdSUEZl!&pzp64Fs(bQVm`iV(Yu$7`_;sHP%|I~CMs)3sY2rI4R)h7kIlb7q)y5ZTmEK&4 zOH$_feE#-2;r3WNAEMC%=4>jBc`eT94!-SMK}=&|Vv*YF50Tc6tmUk3?3~LX3X8Je z$olBzx@3OR8yT2re~-mTK?4qQyHQIMXY13Yj`=1OJG%k}Zu@4{stc>ecd3g(P_jG@ z6s0z1@*GV#7diYLC2<&Yrgox7S>OUV*BYl+gXl8qW9OBq5i}PyfYg%{)W!yd;Lp8tOq7h$DXfiAvhCQxrhlfycN(?I}YK>%tR~pAna)a>g z*c)cF?b*r$neFG*P7`4_VKIW@DGfFril55nu*GtSMShyb1vfN^ zxQDfuTd7dSJ$<&1$|pd~@EHVlU`w~vT-#!OBc2kGTT5)J*zByxs;OS5@7;;awyf}H*j!{?tFi~(itZagdnz`9~An2fif>(zq!DW^7vsx#A z_Z)_6Sv)UhI`VDM0us~0{!izHnKqg^&8B&ckDU14i^Is9fflxEUn(_tpFuX{h(?U` zDpxdizreT+4HbM%%E|Rpb6t{i?|8(nEIlvf|7rN9?})_ikdc8G#jbF~T?6o}%*={{ z<*Xfm-xZh4+4&8l)iH1(gnNydV=NumnkdE$WO1JWuM+neb z?or69x#7f>&Ze}%!Ih5n@AwQpiza|>6=x`%YWbZmt-Q>La_|rtnp;sehxN633mo-T z=OFFJjOXhG)Bz9O7xb|(d$y*jF`;monsgY)p_u?k*y&-$i>SH%IJnTU(XCS_?(^8< zSmsz$Jlib1nEpc)J2(oY3?Ac`DQ1pzB;r^C#Rl-`3Rt%odRjeu*;I4zh&|!F1461A} zB8vn`HkeP^1Nzo2KhKC%Lz4=03)UsVgiG}?_J)PBWWpXcNQ8@tlHWIt6GTV_!VXi0 zlcZ7;a^n1gP=Uw^+|V#l`g_T`!$MC_6x@MLND@Y8@UO$_8zGn7BnmpodIgMo^iUIe}0dYp^P<_@mXEL>1^4D!$0j+2r12S zVyA3g-wYW&#u@R>-dEdM(bmt!y3a87^a^CfUpORd-aKNgLXN-CPS#|5RI0lLbX3WT ze{@bx#^mhLl9i*W1<9jh`FGLdl5FvzF>7ejaVU0J%m5m_(0%F(gP|5NaKL&Ep=AVcyVC&X?PNg3ge5p+s=} zh4-Vk#md;1+4H6Qcrzt_xI^zF4aym-@3k1yQ9_x*Ro!HdNF870HLtXRxl^b*q$M>| zb`3ug%6?saZ{1g|;F>ddOh5~mE-S-k&ufzBJs&SE6(kZkFLvBwDIbjtb7Fz{pO8lA z1uYOMB3$l;YrpaYaAghS$l0Y$ld2&|eU`Zo#HtMrX)P?hJr>_}9`9f;40(zLebB~D zjdCXQxVvpq@A2x|y#5$*h{xDg6Q&@w^7(h2dE9eT+@`nuHUYB=#jMr-`KTCE}iF5rMrPHiy2gk21^eLIU zIAWmYh5PnhVU^U_$ti*?i2N*_oI{s_~k*9IG8`i(+(iCBQ znN+>l|68eQ{EILx(SCz`#cG}i^1XPWCHh6M3`F@D69);aO2Fla0#~9p=OojRMl2u9Mi}*K6-`hk__ze5) zv3{OoZGJzj<7>`a#YTST@d|htULvU^HW)FH$;kC;qcU-!Y$%`xO|E<~>lugk;meez zh62N1Y!~RI6BKV^P%&)jqO>#P2f&`ei@{LJ>dwXY5&>++7%__H-j;aTPzGx+rI-SG z)IKN|(%!v}8XB0Wx`Sg=9OuwX4XcvMAOYlw5yQcVYNP!+Yyz37x%Hg0NF+Y;4Y!IB zE)I{ySu#RVR!NB{<0t`g1w+IH*1Vy)UKs9@`YJwJNN+M?@;~s7%1ph~2S` ztkO~9_aO{?JUw+RbhEwUVAR6tOgaKx)&jP)9)*Awv(HbK4Zvqjo?N2uOl3hV*m5m~ zM>qH@{XvuHu`5cqZi@KPZpW6vmLN|l$*Sq5+20&9N3DsnFj>LmIU2l8A#+)pM@{Y3 z={B!5w|P1??gY?d&m%bPfQ;3idN`hD68++tZjkJNjc&5mge@(r%(|q9*xJI}38x#* z0s}j@OwVuYA8(=7e`3VfLAoR8So{46VF|UPd8sU$tP7WHDrTBy&9xZLhE1DTcWH;S zz@-x0;^&EgJCxQ^HSw4w|0|X`Tebi*2RdsF4PU;LUZy>Ef7~wAFt*Si{WGzuYcE;8 znAY;;^|m4d-V^TxhFC6loKn$r2y zr_|517PK}sOc;ADb6vJ*sfg#O@3iZ}zEaK0eE&@t8+$4d^D^yR15*1exb}Vc3cl~l zz(2o_?rClW$8Rn!Z-4#1zNDPLT)aI-B06@0>nGmy%aFC3$+3{i-tPvKecriO+Tti=;#um#5tiv_UdYQJ1tYD3!&CP^_c!+dV+`Kg zm|i=&p?iAP*-x=#XE#1d^72|3%dVZ&i0U54iV9U_^~%lmM@emj;xJD$lZbh5)H4ig zR&;VhN=l`OI+y}E$3u!g&V-{wRan3X!u+Uj|6(XvvRHaUZ6LZ%hT4Hld;k>Zq~e z93X9GbUN&}P@4(j2-Y_E!TqjTN|4eL!NE>LXK6qT5s~HC4cdnY{tnf4967&_KTo(Z*Vr;^ocHC$<0SbGD z)mU$pS6pl@?>%y}f%`0RDi#>(XIFS4mK!Z^S92ov1A5-B-Nc~3?8IOxl6Y3PXZrwd zI&v{oK!zB2Lx7JUX(v-avH4LIWbHy(2XMjYSz)F_5Wfl5ysHa|20WRa$M@U~aB8NT z-s0$4&O8fe0UAZwSH5NJN^KaHw5LK=9>2UoyF^Q1isi3zVUF(Q8xI5 z-RGMt9HCcVbtW20LW5ce5tR|uBlDqxqLy;+Ijdvrx9a;C^gGi^%!%Z$tuO-^tZq-= z%7eZMd&RP=hI_S7_RZ-p57`(g)~xks1&!FOLKsrlhRLW5Lf z;C%`$YqN@dPsMqyfo5!nl0J0679++i{TT|Ri&zAyyakyTffvf=iqsr2Tx^7R>^@$K zI~M0`2q98j^CtEdHKyPQ>I|LM{tEo{LX=vOTC=Q5+$m`0?)d4_U<;k5@$_13p0gh- zYDYu_ElVahQ;Shw5L>q=Tla4rc%?el2a19ww94X=pdmms4?T}tB>DUU_xlzm4uUdJ-9Inp- z_3RW1s-(6aSw%yc*hNF>8vU%?U9H7~zJ&|7$Mn-b6Pw+_$~jR;ZhjT4^U4Pr+L<^f zcBXwfV`rcz7Pu-lPiq3T-CI*rTf*0AajSZI;0ix9WeZ6xl#gxo`7suN>#4Kd5#0Bz zHYn#!L*iDY(LjM`Dl*4Pf;(Xr9?Eme)M+!#OeUggVg(q1yrbNt zC4FCnh%MXV&qVLm&6CUL(H*kQFC5U)r_dJkxg$Q}JQZqh(VX&B=Bo>{quq1xNy)b( z+jH;;^Q2IJ(yOZMm*q{jYDb2m`J?I|`bV>#=K$YBQ;^sMNblh=oS(b(<{KD=@klK#ND(-F#p7sMU(p24=;pJnhCS{-wd{Iu z%LpswGiQ!jnA!6Hz;9(fe*XaR;&PpypNAVhzfPaT#T_-b4vPU&X2d&R2T4Q|N<)fW zdkSZTBq^DTSJ-J0`8HP?B68ErY|~dHxJ_*C-8;l6zor}4*n5vSXOF1)br#*4W;3)& zbft{-2t(H%D&;!Do$xqrXZP>oZH2}0IP7gLg~bh&P7OKF?L;O=T#K{vs(@(x0RHsg za}sfsa|W|*DiIC3`pbGo9rrO-JMVd76gSrkcxtN}iyMhv;lxO_cfEzJeXj?T>wo@- z`}IX?nw$B3Lm;R|!woWQ8u|ZXKm195q3*AEaWX_BtY2EDKDmI#Y9p=7u>yNdR_+8! zRdzg&g+@IlUF_{e#>QN8^Oi&<+C6-9Uw@s`HY1>Lc+IiK881*g#C#_m#^4qNYiwIa@=hUQseyR<@#_ZXGC$?IUjuYv`tu~|RL)<5IoP(iuQLs%iWO^<{{RmqJRhbTpr*=Ms ze_F?xWXX}rS^}WQlm5ia%?e6ib=2#AdSHmsSD3_ACwXB&l`8F$MWEoMah)p87U*~k znbA+V#NW$%M;g0n=FBaec}HUrngYZ4LXM_+PI4_m<09KP-z6=xc0T!AjGQ~?zxF>m zke@egCh6wek*Tt6EB+*1LoQfBgnF0PO_LALNZyhSxKYkW@Bi<>57wWitq`KIa@k8AUs8?qdYsdihU z&5aS28hd_ku|Km*6Cuz1G5-z3!^G$tOLCm0;P8yvqI@1H(X*73Ql9L;-)XXQ|g1N$9U6DP?X;7jzO0q zl`&$Sf)~d0=PnQ68X#W)^bvti1Odv`Xhkffc0K?6^6A zya|y^22&75OGjI>$^oG9f(HPN_rv_%Apr4voJr2WXhoGCO@+l^0jp0+4)v%tqG$Xd z+aT>ktK%QZ?`hfc_k#)rj^N|DwNaBc})S z$NGT&gnGjNqCcVN`yX_BZtZf&Dc;u)ppfYcgFHj1r7Sq}L3hU|yPK5I$N$ zG!KCIHr8TSflc_aK9I^g79-NCLUN?f=nvR%2G*jG@?;eN%%sYp3I8R(csGTBc zzULetNx%9n%zHsdyQ6tYjmEa5ATqkS#7&E(?q_67m?Xkq{KdSG=26Y=*GyUQ zZ}!QW<^b#K#K3auq7No6)r|wFU#qM*iBqyB7bW8+ay+lzf8l?vr^WipoD}{f3=B1} z{{Vn>mB>Da`Z})W6t6q>I3F3v%568iHT}!~Vn`T?Wk?y{LW_{pSfPQruI;7L*h-e2 z1>?1&obFjfZF|+7kHqQfoI&_!mk}pWNz(`7m$M-_G3xPXHvu2d+b#}6yjKaexs$IY zrA+B()AKJy4lz2y`rb6f@t?`HwOVzHFUQJ=rxC<^u(%so93tKbZ@7!4g@{{Eo|txl zse%ifIxdj8)O0gtF5_<3%<26}!k-$RQ-i?mVzKP(L6rk;1z%8i z48y0%hcED4d9%&ur$2*y|IlZoB>X4j*JzDbj^}g|85L>rZ0E3m+@A= zS^+H7;z;hnk+NVhbk`g0*1LDbPxhU|rpfH7?^VSHDy2wGNKcByIlnqegvM)hI+B%3 zb!`vR&v=|a<1N&;&)~@vTaA%Ab&+|^IDwj#`ghT@Wad=9?~m_Vdz$La@C%%X!%OKF z6c;-?4^vO5c*R1vtOHSFkDQ<><5x8=oipf7XwoJiXF9M%?_GX{!GyUY8{tE(DFN!C zfb!yIhGe55&yry88*4;NnHe9<+R%CDF0j{qe&Q$kuOBF#pF?@bPHs^0xmTyrb2a(S zoTzheq*yDH1cH7I%<@j+v~H3W`^UIAZrw80;hm|Riyi+FrNv;vS$yNnR6C6~xblpn zx$ZM$|GaMWOW*Cm1e@Q^6PeHWyN1ZYv=f7@M~;g94$-N6%S9U^L`zdehVp`rcie@_ z9eJqYx*zpplgk`YYm`<)(CXRB;O8K!ne->PB#eY?BE6r#p;FTvK2@5hYh2>D5Fg?Z z9;*IP|J9rf*9p5n#OxXHFcx3gpl2b&=xjo(bOe&=t>Tb+s&wP+HOs4}eKHOi+U=!l zu61{DREh-HA(*&Ba=0bDWGQr;w=H)v!Y0A3`o0%B^|;{p?Y+)Ng0f0I+X-N4MT{6( z6QrrTvNRBs*~H&);05XT)-*x`u_|)NCN9`e1!JI?8MO#i&DCqiSk8?Uhr>L%mYme0 zW0NjE9-ffE%)LaxaD<3^pnvP)<)D<+-Gg9Qz<@%pS8UH32q(!Rxe&q1wn|*v#feTj z%b*0ah9h9W3LKOCb9&EflbQ#NY2PzlJ9dmP3mQho!Va`~25Edz$OkjF(B9l=aL) zBkp^@YRmTghP>#5lxN})&b*>PrLfMCV0t>B-E^p%+>+bkYK=Gd!FiDAl5L@e%fDxt zw1EWxhN{+Le`()8QGLGC9au|BuyxIc-@ikEuXk$!K2COd&94adsg1*?>7o^+JAa={ zKZ|}n*dV_{r)J5H3*x*=|X zTeM;F{4c!5y%#5fQDUEa;%mmOC23^Uz_crmgD(n@)ePu4ZWm5}W_=^f>2NRc-?JE8 zA*dm!pCt_UHgjI(M;U}QhJAfIC*zpt40U-K+t+tZ-6uWdUk;k9lQR0&?H1ks85p|b zDkLc=dnYI$Fc_ducdwiSU9FdWHRd{(s4W|6&0nqElRp4I?@Yl={MQ)M)>Et0PQYno z|GoS;F7~*n%LK8!w@OokfklwP@Oj`K`AS{MHI580A(gDsAo=6s%N)qvdy$cJ?Z46Q zSvCrt^TILf@?3Mr+LusJ9|)@@H@&sO?(`7C4!2jsxt2M%y^^GmJ5CB_#iQkt)6vM) zK$lxAl(3+j0xNT>c=jOn(*@^iqBzzcb&9~++#!kARsj5(|Q~t zXGBcxId1(}HFSRwo8O@l`nn05@W`24JeGqRR$9TX6!0Dv-+U=@uyqpt0fSh|yh}Hs zMt+TRE#T1kK`-=`-sKx~xr(UX*8D6jMbG(m{L-)G&+lKq@3+D`FHZN|uYM)7_*-ry zxC%7vY{y9l<)Af~<8UVaM(0gLW<0rT=KrZ})Kk2bv5=bc!Mc|(oHq?6q4E_TLyr+3 zeF6!P>JYvf-SC(q^vAJ?9U+D}<_;z;9ClzV+7i^r4GS<0%~Ou+tFCmEu|QNUP8kkz zKrF0ghua~ZV_D=V-;9~QP7+I$JS`!PxNgO+j{u$8kuXLM5YyYxjIh)`Uuo<$o+qYS zS8!nq+HslwsDnv(<;?WQ=Ol3BOxSMKEqb`L-3SlORG;#NI2Fx!%J@B9bRf$w9+flw zw7fHhd0(_of8x|pb?BkrVyVI3eia8Nudx>@@0DPWB0paLK|JA`mN?dQ zf0_9O>GTz81TRB$C<}EO@{}wElsYYp31uMP5949#`H?z~$}dCJUlyj2M-svM8V*L+ z1M@$}mLoQoy$tdGpdwXrgn4;xY^n3OFE*BECkVw*j$*lV37xLP6e}3iuUu?-GHI%vPl?qtZ%Xmi}CY;OvEySn<)F5q#PhN|pN-jdZB ziX4s;AVpnJfGBM&lPV}^-hb~h13*T)z?kXIcg;2n;`Yxu=IpC%mB4j%XeP$Bn%a}K zbmH5;JfirN_Y@4nG`%Tz70BS4-YmNcvp^Eq-Ryww+UOwVjaaps+h7d;KY`zWqJdpp zTOi5jX=8!6dGi>B=lLNS#@&gjzpN*FozgXVnkbTr3;Wx3NEpn+Ym%-X)r$TWlWCJi zZA?hYV3<~B`Isai6FVgOag?Fb0)1UVlTwb|*ubBv3mec4K~zwx_c#*x$;dhx-c3y5 zKNs00IG!~nQ6Er_pSZm?u@9ZQV6PR0m_{D+tQwNJuNMya{ceHw#mxQXeo6*YB&HbT z9(?)*BB{FcaT=Z=E>+#3aX^?!!~_yJ`STARq6=`O;) zZNZ#bNH0(f+hb&g4$-DeKq#-rRO9K~dNJx^+>$@-mSyU6YdE$ba# z$LBC|@w%KLXWEg)Yp-uS0<;)K|J>kHZk6c+xZo)FtssiJ1N@Mktmk`VMYg#ai$iNk z=A2AJ(uH8V%F~AUi^dk2)`YV1wNi!-jW)1*0}e9Fidz+l(ne+PpPORGjwA+V$31x4Cbyaeb+9r9oS(V*t| zmxAp^>J9N<1lu#80J|dC{#>geo?NK?t&RXp`yFHt)nJr+?Arm%dXyVfZm7tOU2X{G z<}Nq1wlKR8C4*8jJSEcj z3;t&3Kk+~hNER7cx3fpe7g92LXAizUAZhe`Z5PaknTE})G%Ts4>(a!>H??+M zYvG=g4z0_1a&w=x=SVG49en+|ZbwnkOltp4RIy34*ZK7IyIE6i_%2F`xwcFS&4Lj&c1 z;J@~Fs*VS&AEFZ)hgLnFAwa0`IO8}rD(ex>2jdU^*ZAuJj6Xcs57J5yQw7dBJ0$Fo z6G6JIN8fW~9JiN0XxyaJQDPLbnVif1KPi7Lexsn4=WI<6*Uwaur#&(T_e>j|tH&X75m*2f5_ejGQk^@@)GH zj}J=vUKSlxFJQy0-T|&3uOi_3!I^#-1J`AIV1c~T-~NBI{(3)Dt?QS2cMPEkkm?^? z0Pdf5b%;O_ZG5YlIb_Kp3#O;)*(B?E^!Wk*%@YvjE^8;8jd!!XptqNX_EnUTFGa;v zq{DKM1*WwCv`0;^?+rMBZduTP(lUSr4x>RhAN0R@_L$6l@2z&=AK!EUwCIGfW(Sbo@2z9tKyz&{WL_sI+lgUl z`Aha{jL>{lruY>XJ*#OPwW+Hml5CO7qo=233t^ih9B4I7#HRB0?sRAkWs8r}Uog}^ zg7O=DXnX2-%lgE~TtVgPRg7#pcu%TOK;>#OPqqyw372s8wkfZ&_HZ6O3w#7XKW6Ca zU+6dR7y1=e0E7;6jTF!UpW?RwQs4St=r{5g`n`Q1f|wp;e_cUN9p+8YgBQrA!-yLy zg_w-#wc2*)QB>)R;LQ}HGJz0&6UVoUUTsP9@W?#J3Ohn!ggKBu3dWW%dFt`}LNrdK z2MJT9PZSLWKUw92C#zL97Trr!Mn`to9frzRJ%uY<(2P8B*#Ch3B7$(Vc>dsL#tn+f zp_KRZ#Q+WtsUEo!gph=oU8ahUfzeUxtzg~QtK_%G za%Hg}eIgXNBBx3@U+FVQf*^!KLIz%-JxXZzg4GXYl`c_EcEIf;NM}xa%wL0X&|SdMm&t)Ux!jLkHrB78U46&JIgD`t)DhZ?i9~kbrOSf%ug_5WjA;2mSB#0;K>A z6E3%!Vijo9hHRHVh{rMqOhqNFPj6A1aVI(Xco^TAtXdaSKhqtAw%@yEda4 z+fySp;5h*Ze+j4x5W@LdI_bKv>oS=Py8>0nVqjd)DnTG-&pD9d8;kaff^m&Gv&Fz2 z*y}xEg>+yne6$g`3@_5KDgH<}!SynDakS=(iPnb8vy$G;*Ag`7&HWB6Jiu?#U2EQt ztf!IGQJrb5hLITJC*#cdI=6Cq)gzX8_l5498$&{eOVD0{N38$NDe7U;}Ezh zuM}#+_HiJn{&DBtKkA)w7cD2>@r8T8ABX0(393{C;StV+D&DfHR1o7$z4HwBW}kuP znFy;4ppsg@h0+liMHIm!B&{G(*q$4Tm5E0G()w94f4#oGO4gh@7S+b1AyyqxzCKp)*Ljz&gixm7m3X+B8_mTMig{ zfNJv)YfaX#>EE{B1N)<(ezZG6jOQKo3d1${nLC5}s~;|tiSpCnGUxZZk_u!m`HBlY zD-;g%)Y;18*`^T0=Lq6>vbsm1?0MT=&db_ES4UipcLRLS z#L-0LA6NJ8Y*bL^ex_{$cH=u8(eti{9uo5+W zY|GtfIN;oxe{}Tp3#PEE#eD>W@3w6^8YqhyM~=PI1+QeiDTLDK2id3eku7vOfguN+ zG8m488`)*9LFFYu4Nsfsk>1LcS0r%d6)LG&Rt#17rudfN;02~NF)PnsCOwxJgiEtB z^aaP3f9ALq8zotb7&goLsP-moV^S&VZBSF@_1O#S@D!1dWnhMApbIZ3fU=Zkbt#&SYK zs3&SrZlwxeYcGC_OPI!Ide$NT#f`bGOui=S`PWNxnIu06Oz(2XN6kP zNNOjrDfyOR`a+q9X&9;QdHzwQERhYP^BCw<*Cv#_6dn4>QY{t@$KUlnQQ`k43>B6qAb_yLU-g*t8PE+5)Ixz5jeJYP{-2&hqJO-Q} zp2dN&633QV%A+*|B{Nv55^GO((XmVtQc*OKaYl5kJRZXsw-rS%88-urFabyUk-(-k z_Le}TPNoV$#(wkQ0=C?;!3T7f7aX891zFJ5(BqZ0g-o6{=;?yWpX!0Nlf(PUV;tGB z=;#;UFTcM;e1AO4r@|S7LAX#7)=|zeAm8iL{4LdaYVcR2Z_vwfs7HP>!WqcchV}PZ zI{US*&T%}TEN5&&?6w0`bd_8dZk8ZQ%KR-C>p%qry2_li1~m!e0j;(&m33Sz)OsnC zFB#I*s(TheWxF7S9y=VnPdXENI0Tq}1@-seQ&|pN_ySQF4+@CWdoLq#2>*#qX@@vu zz*^?XE`R(uKK^pILH)tL*>1*v;ktG?g+!FvQ{`e~pFsMtk7$;pL|WCTxFDW(z22S{ ze-Ta_Vg!p1_EyjNi!ZDrKe$@;gvOIAfQD3+KDQZ3IjVl8_B+HcIQdgLdFciWH3OnTT7p-q zguu}8vO#sYQ)guEKZgF+9urXPO8nXV9zR54@&54suKg--b6Rp|dSUR zyz^Pl<>AqFcI2TWE}#nkOZEE~`8zhsNvg3lqz__R#H(fKad|F z{&mQ$--mQPvEu`fpNvHj%9w?p#q#p<@iC2&#snUHoOig9oAc6mfM2f>fngnmRaLY| zg6cvPq}d7)Lsv*LD_XA>Z5kQ;4-}aMPFG z`>UO+V;qf2ix~b|TVTvQG_mry;0g7>g@&zse)BG=hme%( z^J4mq7)Nl+;hYOAh%iX9taVY{t@-LHow_lbIA^ zL@J?d3guwBb1SJZ#k+Fqv7z!+FpH!5nuJ@dME z`H&m5_U*kgjr+kE*CM)qV6eErtN#tPN~mS^@%2f%KR)f z?>awAFXzQNRZxk-d%H$2&~eGlCeHV+e{nLGas9mc)nRVv(jZmv6K`HV_wvanriB?X zdDCvGXIujCm{&{_L+}@IaZz}ad^<@331Vt2ZP?z$3o5yPzP0(l=!>gTx2!9{&y}k#y{D?D<0p$I)n8?8%PFz zxS>j`NNZZ=a~`3%$!(<#TohG12J^-g4Z#OiD}GTgbH0kB_LUokA!6s)fj8UFZJ|V% z`u)J=Y3P*tI$<_U=6v<3ALjVGV&dPy`j8FloL1gk+wdH#8mVGjcw9kjQXAfvGSo$m z2Z$E9#URmVP(VG66R{Nj1Nl9Oe~X0N@i3CBuE($WMLFut6*Y(>r?n^6Y;3E5pY$}9 zwWI%S{k^IGQFUs3{8{=1%SM!_?UQI0pZc{T+!I2=kX);_v{e}7ybNu)4DAlk{Qv>b zF6cX9Rb1j(YcE@YL~fi_G!c!S;m(A98*^sE71xlo+f6Xs&7EWRP_tll>waN)dc~sn z35@s@RXO2csC@HPP#4Gb$JTGB@A}2Q1eSG>f{sFU`ICM=rd^o`J_Sy zXoW4j7C0!KyBXK1Pjbu_86CnLUK4Zy04;%#c2+BBk9%n2Al_Ft+m5UhaOf%^MruUP zhOOWkZpm*4>*A`z)QCQe?BLRhdBuQi^U?|g`j9QB5FQKln(b6%XpT8Z^j!lt6}$?o zJRrum92h7Ad{|}w=C8xL#6uMGb6LcQS|<3 z*h8%^S*n*u%F5Ek-nLamIjP97=%2nxIS0*z10o)85xa`QMMi&iWzDuh}t z0ef3*x5NG}JdT_D?e01}Zp^i66mb2P6a*d$e(U*xc-o)2uZsmcW_7hPHQ%R)jA`oo z>sp^)GQjgHJE5d0@h>~O>ZjtSGzGb#w+ARCagk2La#nixb_>{SH8Paww65^+t3w)5JG&$bu_Lt#_Hd!QzOn8qBr$obD82fegH6MV7|2F-HOgZ zPTr?5oJAo7!bz!15m5NTGAZIxEYV^biHG?sPCV1p@&*+Bl?F5(ihL_QA`TmYs^1;$ z%tb;9ZS@D*N3j}{>j==oF^W*~>TKENP#Fa&^}701xFmyx)i)fm+rWx;fg)X>tkrt& zHef``QBH}kZ(Uw|z*?J;T*=&VIuEkVzuNZa8*lK}+-_|kuytE90Hdlu06(?|;K!*A z0Ke5_K>mx!`j9{9fD_!}8fxO;GmO(0R!htg>AJ_;S|-2!OnNjl1vtd0&DZ*8Z?O7> z-8sx7#AQ;t2s*q82au`g$m3YFFGv<8$jq29w+ zxw4`|Sv5)HJLlQnx4$kq7tSkFo)?)DxClR|o!z62`x!N-k4(6AqYN8j*t7$j1f5W* zopY#yn|z0E92A#MaUE&&k4))?bw~5Ai$|Xri5IVWno10(`mGz7c!CyLU*_d=J>+{n zUGxh~U6Kh}TVTeuKJvYXG5Q4u68b?~g9Jq~dKaZ;leZH#y326*EuO5>zR5;EZr>Yr zmI|rF@dDcD9VqRX&7QX7xeM2>gd148@mT?e_@zT3kWdE0zHg|!mBl#S>E#Wvj+l|v z8i6WP1=0+|1E@HBM@v3|+6HAim-9d>zWcng&3C;u(YxQkO9Yd)F=O(LmNtRjp2Zw1 zA?pE7YL+Mg*t?T=zEzeqE3RTUQLk&n{73f8wMrhpG}fAg{_Gjl!`{j^SF^y2;V2PK zyn^wPXR~}7#KGG;y+&1Pnz2*{Oi^3Gan%o+t@W!EcmwEf-&E!RpE+Lg&z+85zoT)`3woxhq5H=ZThy4Z3Sp-xxew_+QG7RAy8}g7=eJ z*m3Q>#UheQ=vjk`Ithnlyl_1Lqy2v4{-_itO>$9Z!&RWI=-x`!t656m%X7P&^g;d< z^5RcqG9n#$8~v@dL#V%lTjp^zp6Pi+vb|4a5SjjfuXE(Ow<#7obJ!cGD0_B72vlo&sz*#FB>iRwpk;Z#$_?+N@l#amoO{*0S{HVP!w1;-iAkTmHD&)1W{1 zUcX&8EE3mp5V5;E2*Uk1#k2+?_1ZWOr7wK1f8McN{tjWXUEyKI3jCwD>=sz1@yQ|) zdvy!=F85zj2&|FJA;GGqx6O$RCF0FnkqISU4v+Q>IIdr7VpM8tQBcRajq4pjmE zGDMeV7Fk=|GGUb;s27sZ>DPt9_1q@X3e{o9>;^!= zGh*!H&?|8x#$xpPec30ObKym=R@a0YNDn+S88uurThN?93es5Q;?*(KJ+&dD&Guo& z&`(w{+T2;c98)Yvv!V`d(>HFTvaj$N0c3Q~y8wzjGU3t*SY1YH-DBm2`LP+`B`aEc zXV8}Y&JPsuE}oBCYrnIizUQ~urF3m&*n-f>JX`vm{ie?Y194WC#Za|L_1z4>!_PjL zqYpFR?e49qL6Th#b#fC?1&8SH#A9hh1qI!BE7QwZki(6zGrO=z?g6AsV)`x^Q*HLd zn~`-+xSJ*R$b(UP!!)|>=_@bl#3^EuBp_rPeAxJvQ)HR}HPj{yv>w<2DvV|{XqpM+ zUE1ri5!ub`I>^s@s>)0bP5pXH!js5;RfwSYvz^T83-sMNU#3K2Ho2y@GfjWhldN0# zstl|R=xMk!F{ceakm}F|eG4{O26kTtcBT+wwh*E%qFo+aS?7Fu&oKP0A444^>&x0Z zGWVYqVjPhU&WJzBVY|7zB^E^RfrK>1Yc}0oi`Jo8r?}!9@vI4KHy-`nBhP;;tvb6) z4sj==d*2xsoLZJv_DBblBXsl`AJ+CZx~PT!)}T}1Oh_vD$=AO2cBO1l0Uq1TnJ|%%ZC1ETjp6cjslV0Z`cY_AL$oXL8ZUVYRQ?3ey?y# zC$uK0K=dCoiq*0pC{@ssu~k2aI%$|`ULa4M(_;v?DVsr@$-ARC4VAZ}Ax)E18&_%~ zOY$~E#O?~yzmTCI1;7NBlYng%gmzD;9td+ukfJaq!JK*;6B0-#K;(S^x$(sMHh?=( zqoSdB_##n=fEgiA8F)|#-GBNLO$hsgUnrzKK4%WxX^0M1{vU;S9;B4B?W*Ek(;~Vh z1-DC!>Q&Z{m+i8>9m&h5XECpS=E%M|pXizQ;41PTbx_qsPA!LzgVU2Y-Fa*@EqdBzqrx+Vz!=8;h%Ug4;oQvkfO3@3?cb!u6ykG7w<#N#W znYw>iDV-kaZG-7rT)yq?`FgEHrd42?T%2`Ne(^%rLvmHf-rNL*Zw&Mr`z`t16uO6F z3iJ8d{@GJMWLdPujzrABIgpw@86~JOe@d0fQ`%aD+x_C^{*bG>S~cc;mrYN6Q+?#% zZS{d6gBScCe@40=bWb;Tq6de*Uqcw%!5e;T`uIEp>+Vn12T2@>`*Nh&ftJco-GOo6 zj#Z%}nlZgrioLliKqhs+M=7~^)Q3+XYDuq~;SZIbF{TcDqscr1qgitUvvwGniOXn^ z$k2F1gi)WJg$6^0qu%Wjy_pj=J2#GQNKX@rDMZp?08~Wn=6Ico3kCQJJ;j@8PJ7=x z6ndDy%u^EJf5+hWZ-%>|UqM*w6{BF)-{K(GbI-Oo|7HXn9s?N4E?4;7x7$+7hu}H|?lT8+%`|Us z_@P@Zcmv|km=>{pJBiF)ydY_>;f02I0kKWFtVBMG`-1+2&`z=>;?@N7CGD!JFHxn= zMenQO;^sZOxpF)Wrqjj9>0icpJV$X1H}3JZ7q8oJ>zNPfw14M*Mx0$;I4lMj+m^q# zM^%J~oBw!^{D#*#viN?$0MLK&nM3-(Ro0-(;%oMq*hbVVam%x|GAls>?E3ZNi|m?Y zf0SDrOLxH?#+l%2_N>GnuN3mugM)1j_#_1MX}@BtL(cglS(AH0%f`K;vW7I|=H>V~ z%N^Vq4m$d#!HB~1(33TUfS{qp$m%f#a{Ne^^(|iwU`+BsCu_6$(XY5K^y81`3#<%R zpTxplc6~^I^en1!#n}vZ4ADh7WQX!3l^f&2?BD6MclYN`7GIPE6d6>7!D7z#vboEr z*S4I!Z`?21c{|z%xPqNux@1eA?iUZNYxzfKpUygl{Q}YmxJv#4;oQ_)IsscuPDwBw z#=Kon9$uBAN-t)(WwV+<*%n+QBBEckZQe@3g==<9IR?ZZHn3Tk3|5U7cSv<{yTlbI zG>}PP0DFGN%~$7L>J8v;v|%5M6@kB`cgafmFTY0nnq1(AtG{9CGCq;-I7HTBSRwl@j+kfXX@*oyUSb!_0A5s|>u-gC5CwnR?!wzW=0p z5ylG79nt1Lb9;Nde2}WGZcz~BK#M)781QW=Wp{K0xyA5r1%`U6%fmp4lC&EUjDsMB zG>z{qZ`%c}4a$S(m=1mtu^JCqAg~iD3LF{v#Up!Pd{I4@%?6D zWXTjyM32&Mpcz|8cB`3SE{=yUB0Wc39~)g1gC(JAnE#g+h=P zyCL#^u(ZQLb83^$wz>#H^K`cAFJR2Cj%rj~mkMl5ZbB9lIG~Sg;|u(m!F}0^J|@sy@D2?I?)J4RM;yVjx0F&0hJ(!jfUna40U)p`^JBu zv3W`*0$vUTTD*Evk!QsZHq#y zkQp8jE+7jf&nV)3NYT7VL7Z&2Z$nZd0EZ=70>qi4)OHo-tEW`n;N<=VC2 z18@bil^L{+p#Kd&XdE@$&G7RoZTt3PGYE2~n=Se1a zmz`b@vxOpHCij(Jw;+8ccMSH+NcI%7CW^aaHpV<;lqT` z{IMk}>P8IA$3V9sCipA$Vl?~N{Q_&^K~nysHfR91cFZMfA6NX;xnK|;!H0=x&BQ-N zW5Yzz+>-d``5%|{`xG?#6tuAvv;rB}A{p4cX{^Y7$d|0NtSBLoptc1?Nlvw9*GpdB z$2Y>};a+xNn;IFXONMWa43#hTDyA&Yce8+WZ7LKSG_@qyyL#j#oC!Nz42v_I;)7oZ~(zJ3i+% z(R#1Az2Y^IbVPxs`8Dx4F`Ja8I;8xixBk}SouV$*C(P56;QQ0vs;$hE^CvmuuOIR4 zPbs_OU0Qm%d;0N=AhnuF`6+3W{^*+NTZ&JkQ%)m6aB}BbvVL~;cZUhGxz}<FAO<>xdehI_WJ^Z00fXJJK$$QV~iJ?hfK%V*u-0c$I?GpZPQk2Xo+|WiQu6_z4 zsHAvII;oycCVLPXS8DmSnz~sBGJn3;d!IMg6rqi=L~id?!{~|y@2ab?e*5KPqPhIc z`!V8`;JD_^?c_Pyb(J;J^a{V%sI^Eq+C|J+jgNg@tv^Yc5`?EUh8?U8BH{g zbOi?943%qb`nc&~Ufy?+w6WKoQa2IJrtFQ5s@um@e*`{XgDWo+3I-CVd1gwE#Jt__ zSiabIyv349K9Z>R#C&rpzQT;+8Wi;59r|{Z<aqsiZV6W)A8$VfMM#p&1b={mHr z!b-4(%1+}UEjXy~ygxss6j~Y~Qnm-sC}pIQ?EtXq$u&)Z{b+&dU8?T~`r@$u$VDc@ zS7d%|6xscJ{T=p=zZv!hl&Ze$jVRqm*KtWFhz}{BoygM7_6KjPr!sPImmbX5Tw z^jRb&PO|lN#NEWh@qK>9Z|1UmS}W6U8ygA0&d{|&n_aVLmBc#ReMxF*6r897adNmyxTQax}bOIlr~e@abvQ71Fwvz)7{f&jV{59a^9I9oTf@3Tr}nO^%tvLdg_YC_ zUcBWpe#Fc`UW>y|qv`g$(PZP5cv)>UdiqPFo$UsD`xUrsU{7)7r3Ibm%0`A|QWIzK z**I+YR7E*!WtGKv8eg&1$yTcfp!~7*{#d>J&dcPiP+fgB&8l~FuE0CV0{p1q!{A2R zx@+V%6fH_2ukulQMDWbQqBC({zg8fHC8|`vvLQKt4Eh-R*z8qI@xgiAYVr5b^unlf zP)B8VlfH@n+Qy99g{gQ90boz(4SwMuc5`uKW8tNO^*GOy<d{C$(2zam$4`&G zpB6DVOlPPfD}*G$|G>1x8LFv?M|+aqN!jPE(=3vpb=JO058%_^__W^gCf`4sIY~>I zKeYcc8&ZFuOwez~K3-l>SrE=tOk^vQV>AzEzoxFKZI7rMbn5ZB0InUjhkxQv24xWIbqv#()z zZSo$>YoS>=b+k*;^`u3;?xw4G0^`{1UT_rhHqI8(J6bU5v&_6eisCB7X=M|2m8C@e(^c^b>e@PJ3F-791a=f1RzqfI)md;q5_uz58OIfGqLI z6wxsiaQ}|2eVXt504g2yj?>>nMfy{#zq{xf_`wIi#&HJ{k_Zpy^^+f(1Z~~)z1+Av z*Q=FxGh&FVk+U~9^kNR0W)I5q+as*>U@lx^Y(ojA1kcGk_yh>Evo^%+_#n+7f*kG@ z97MhmMn7z3KX0-pdH1UI2&iuQ`9p%uv{R-!9JY>=uXC$o5f84)<=T41LE zck=S;8r0d&Fy%aMXjc1JWCdc*7Rj+!_OoHNhn{-2(?w>DVia>Vgd5?aW=&@CcAK<9 zRE?@m3SQCg*1fW_&lk%C*E(>Fk7Fx0EP)OU3j*x=+**~3pjBLpB@=-?XyW+~4TFMu>c@jyg-CXg75$%Q8!MOEe1j12HDUoG zv&PzmI^!(E1dBn5q4tvt4Ege^?MRGCL-9v8vn_NKN9v7Xw&fV)i&Ml!=@!6_qpLk+ zw>HYO3O8bn^`M%y@(PXh#_2n4*K0M^$0`h)aN2<3uLJfumZj3AT4mqMlFKj%ddIFc z9v1}VkCj?$eJTbH*EB90fH`>i!Gwh&*k#2EzdhjAJBp`fDMP=cpL52|vlRB%%fatC zGsQU5+d{SrsBB#nksqm6el0V8=(jP}6;_nn^fztOZjehAZR%+%eWpI2gh?}^?gz~{ z&(oGnUEPJy^D=;oyQQX>--ng?NCaNL2el>LTns)0Eakny2UU3(A9#@CBfDkLoa3?! zhNY>?StrLBnIYr4+{YUH7aTDDFpF-m&@*H&OD*BiJ(arlOQDcc+u0jQ_5H%iYc3FR-ZkKOg4l3Cwj+Osl zVB(JRPxRI0gd_4Rw{8U#6Q1;;Dww3~X*e;gU3eATXEcCsI>g@3xTbkGEGb?37kFPsiB?}!OHjx~!zM${(p22m72m-U*7=wsHqxqQi)dQaIKW4w|w!Zit>(ayTef7D)d zYJ8k5yPmKmSJz(O&gsRP-kB-4IVr(mIwU{qLS5yvb{CV$I1&RVuKX++gja}22$als zstnBk15iq9inhI9g`rn=8)j5kLTFq?oSwkVzs_Xsyy$mrChm=veh@Y{`29|^-dWI= z4Qda|FsI&-^Rt|1o5B3e(Db3Al}YQYer;22w;j0}@7~d~ged^l7*p*|yGBjA^V>su zEvsOkMEa-v@qp=~fX(z=4?Z&W&c`%aBm(yl8tFJ^TnVqLJOvu4e+ce< zXJK^O=~?u8h&kJM)pjuzaaB1zPQJG%lKg{WP=&s2aJ4}onKodPION>dQ3|eZ1c6A9 z2;Q{cjjPoeZaKvf_?#qb|Hm@d)2(4!dtE(<5t!tFZ}0A$hU>m=$a1^#lJj6gB2iUW zGW=9fOGYJ+6s}@Q8JRK0Ua-O^m#k7)7DuVDJ&{#$%Nv2Om%GNqnEP;enbH$5M->SB zOOuH`&f55FL+w5Ywl9B*n~U7pV}$_osl)ufh)lyVlIn+}A3`#V%aLIUtxKv!vc1i+ zSxT6TrbV)ri{?r4ZF`ychYa))WZjOZ=ND4!gj5O>zXQ%c08$>{D9H3NhQgg1@gh1C3?A) z6g~Og`MsU<)aO;Dp_Ifw=hk8)xKnEHapd4QvHg~?d9+w^Yq4ffPjb+(Emz&kyzkyHKNce&mK^q>k?kM zU8(8oxbBy&ggwi_P1~=kUc_<+Q%$AHz0N(CpHMSByc`kj)|+-t;8A&N974JGNnS}S z9N%uCaTMw1i60&&kHmiRXp&p9$#J>1mn9I&X2Q?W>CgAdWR;-zzyR-r?I>pxr=xp4 z0oWz%z}^W;lgfBYaaNd2-j)#ARgV&91HU!g4VN-4A~yAyfF5Y7tXi5S`|1gX<2_UD zAC^#N!?zc&fg)Y&QQ^Zea3rZpS5z_AWy^L>Rrh9`m^bjx5{op(4Yk}G=+kJ{AtSd; zJbp_elmke?*%X>>D z#>tGt7kA`lHs3fq2Z}F)S~I|_ zS)q?Iz00BLrSnqkKl%uVsz%>$v^}@ zu7!)nyV>MTsacdh7xRgHYF!*h;0a$M%8^<_daSvfzDR z^}2LJvGm!EpwmdhYSFAhnyZ@R$2@uo&=%2l4Oq>uYu)7cJHjDAUQas=`eoEpmuib8 z{a#^~vhw=Yif#(!n>4GT&)KuHO7i_;)uy21V)fZ&(k!bF^*z3IoZJAE`4Q;mvK7#_;n!sQW6S$X@vjb=6(|dx^ zf_lU%{TEN<55E^jr_EYho{6M%a%@i0n*pNLD6(`HW0^<*_`NRs3cE2rz)f)C{4~nt zz4f<-E0T}ls%>R^{EK(jb#{HBq$~VK{{2$}vBtrVWw#MWf0vwy4pmL?KE0HXFgV&*o{**qqO&rxp@Qaz`A8@3w$X_vr}u{jfF9iN?w*Hu(9g zYxvnxLv^k8F;8!U+6L1D>mTu%-QKH)4Zk^7s3LMGAJ&IP`diPi$CqA}qVrxG`3EW& zKX%`Le5Yx1b8kC8{y5B97wkmGJ`G!*=EEV4NS4!T3$@<-eAPbUF@CB%@$-ijilO0n4FY8jSrg=uD;J8 zjr&{+5UmbVn_o=7jJ~*wQ;rzJWFr7h1s$s=AB%j>-u4az+a8Ju<63RK>2dJGNQ6ERI`4=RLkFe6ua52D`{}M%Y`>R|cXpOL zIkc&pJzSsaWv|1tRcyUvSs9goe2mIhG%-kzzpXyq9KC$-7BP+b8?=6|5&e^$Ol_8J z7Xzj73%QqlpvSceN2l>K6t=DJZ+3zo9;tk|F1-FVJX?xuTu1Xb5%`@%Y@UMVkKR+4 z9SzY&C)NTzpeh;~DVPzf#4|WX%=%SO7X*nZ`^|{6IbO4&OgA}aH#Lj~FEztoez;X3 z)m~=OVJ$%NcAzFhd46NouPG+@VfE7EX>mJ&st@&=A@TOra#Kog01#?oIETy`;Cc>q zvDSYPctm8xEGYRbYg&l|e^O^?fKOSnfCB$ir_~oh-@|!2xaIWzYX9)|@BrKSO(eU| z;?Ge&sSDp|&#;{`_qCN`?)Lqalg|6raQoCLq47Ks%zT;D4pIxA(~#<`JUy(DHMTly zhE{Fafo(>gOH^$QB^_48(VZ{dB6yX~ppK>qb>9q5#3=rixb-DY#Hu%|Id5ypx62RS zO@1E_4?ck}$V=R(M-Q8V?2fc$&uaBnRh-|sstG?C$8pl6UB2alF zi#)!E;k5E#bHjdXUW`$HzS0uhI2_cjt!lIEem>7K@NxykNSORj7GsDRQ9W+Rr*mGJu6+7keI_xCJ=FzY{JpbNXnek& zG&Z+*G(Hl3=|FP@Z5TONyuL zKbOIyCo4N4lYf4;0{aqN{4Qz59Un-GujX!&+|#DNd<2F$*v^ZJ)5 zlsn^BhG*Y5hRnB1+J%rWmPF9=G^x7-hmz5ux!-t~d6f!6+7@TGcK)zgEI&6{kjQ78 zQ&x*8RE*6(^U$OEBCyVYZCkrz$%qEQn;DDsV>$w-ASgLX0876~omP=DOJ{_^w! zYsRJG94&9_LvY}IKFeyb!MQNpAvRR`Ex910gxHH;fZKu^o7Fr%n?M11DPYw+Msh3B zJ?o|RQsb0gbUOJ^>G4ovRB53gvEpnY-^@)OSZ{=ATm|+EOHY3*m~Ln>@tE@DW+#qv zP1&;BUp)1;&UKStdh!0BZ!k)@kTIm*Z~1!W1oMe+p_%HlA?`E|D)mHYB`ru8>|VZx z#|7A5B|Ch%(;&x`Abz(`%CYASAetnDbK@79OC$ukN*D&;O1q$U-A6YBa+#E%LD{xD z!+PPqo7hYY;?$5ApMIU7A3j>ushtY7Qx?rlP-jwm@A~TzWkYaLe~AAmZB zOHhgcT;tg$(4EaewyhRatE`d~qr6@lGu0l}X(>!i$lObcaRVd{ZrT~n9;}YS7Dm0^ zojJXJga`U`5-fc44R`?qK5(Mco1|mZ)Iv8|=HG=WdnZliLR5@0-|%##z`bCXa+gi{ zt97LWJ7-u5NK@Ekf+r@-JBUf^8WRo7>YQOM$6T}BcjlN=97TDqvNn`=Y5hs$^uPo9 ze~DTYiu9I1`umw*}NQ*YL6E&mg*JSo9CgE6P~Q%ZJ2AHSklR(O-F zK;}a1EMt`etR#{w?P5i{o2^55!eX(bT&4-~(5wdTxz{&>sjQ+R&AFw$v6m0ZVy-7I z9(|+b;afHkf2zwIvS7Rr8Ijs{xMxe@9F_>)^JP&Gx;kSL)cFNxAPY4j3pE$Hb+U4?8FG(@RHG8PZ`CvKDS?F`$DU0eDuHiV?HaV5$iQUJ0O&K$ z`jqF}S8syxB<4}5nBtb_JyDtH{ZW$+336{rG(pjA#3(68fhm)p{SsXA$lPh8yrX{j z3OCNl;2c@|#~H}x+-c=9hAe^TO40ipoTHk!f6MBbvw#AbLvbyAW_CDKg8D*?TClM zpJy1=KH)7qnQv^!WUt-2(67AI?$eIp`;~`re3In8N#QJr9w}a#4!Hf!FTLrd+1c*x z8FR!0n*t@Hsalon}ml({J?+zkx)=@E}!i4-1Vg46@ zO(T$ktr3#;h5TMeBt=;BC$n4P0)ZS^gV?PbY#1C1lqGcS3mFehAKPB8aSZ`Su8<@2 zzXGxxQUQbpF-12R&1bBC?-z_d7VU>$;wN}e5j91zhO~TK;#yxOt>RQE807!JTxqwz zJS3JAZB34{A7myR{h`Va;bJg&9!!m?zeOEkys9}h%A&JkIX@2JF%Z1`L}*~;(Ep2b zaZ22PH#7H$d>(O>y#9rO9d5-}{s~?TzFt2Td5Kz|IKCS!4gxEbyhe!J?@C^yR*@VM zPV9S*(EkSHpXHEtku->1yTSS)=@aAQoHC)lJK#wTTM$ze`z2-k4YTrq1YAz~9gJv1C?<4%@E6Y#YNzfPwcz*uEWQt$SA>g2* zgns7;CjV8fshYko|9f`|GSkUNAyNBw_#Pe+>Lg~$KrUP5F~&_r$j z1afRTN()k4Im&W~lui@3So8QN#y}Xe$sb2el{jRfF(UvkJmGd*s-wcseflt=>fk8V zd^w8T#=>y5irno8iK5o7pW&1KUp%pwMUkMp`IqdAV)LgfRCsDd0x-yM(HtRr&>)Hx z@`Hf=Z$JT!9+Vm(e_u#62>Mv!AAS&@;mKh%h=sesV8Q+l07Yri0BA*OidKu zQ}_JqAmB#OsHtUggh-h^pwVFIW2JuhiGjhBqiGPwb%TXLU`c^GjnoekRCF4kNSb?Y zNF6KX2Ns6$Z%B++B!z@4Bxj45-c^*A2dCjiEZAj>jh_{guFFL+%uO_|57ZFy6$y;^ zE%cKkHG+79Jv|T|#*`Mj1u!Otl2Q%`lt4)_5DKt71;dgEZXjNZ#Uej08;ZpW#GmoE z6DZK->ih(`PJ(hFCe(iN`RWRi8b=>@XNS(n)6*m}raJQKDyjBYoQ~y!&U6MO^{yJ5 z*=M+xq#%Yq5gU_fq}o_*H{u|iMG4%U6>1~Ti4mOadX|BCB==~#^0iXtzCqrcny!3q ztJ!tbKK>k^dd0nNiFpJS?i$6UkO89s5oa&|R?4y0 zk5!L)n{N&~vWn#5Wxv+^({U2Tm}%_wd-)g2qr%TjgIR3ER0213B$OSs8PsIv^Q1V$ z?~dMO94Fc*Mqi`dGJU4a^q@^Y5!6f~gldz{8$Mj-(lT`-e}*1Ifa=}0!~42Qstm_1 zlUY(Hgum!4lL#QT$7~T>evY(SpeWl73rET-P0OQ0tj`owqPS3Wc<)Pmb{l>|SHAv4 zaiAbudIEBt_zG-9QLan&TGpZ!xjU~COR6YbdP&D;T0}EZ51?5!`>J}0n^iA2>W!>VFsF@8$Cc7o)U^h zuAhX~dRXEp(AVcCudI_qQ&Nn$$l24=C;{<}%cj1B*72e$L#F@9$A{!Ks`_CzHa|kY zgzE^2yUCXbMO_5PLRm&^l=pu^_(lmEu1VQpRQoVyOCIO7g!*py#!$L$9GwQNBP5+c z)enj>%!O z)(5c2$0`UPi5Yn=SLp%T6`gwjPFzp_Rrf-y3=Bgzfv=(A{6*8IDT@h)doCX&6x_k_!k`n%NVF^AI=ZK@o%wz&T2@!zRAb}jWUqptH~;|GH{;Rz&mwMYbF>? zqfIQk3}!Hb1)~g%dvsrt*lt<5u+G2D0E}hPu|;#ON*FT8sEEh9Tw+3#hgFrP zMiDU&E{SS{L$wI*c{g|&gE?pfUDcdL=|0j=#E>^*+r(0N*qgotXnM-%b_^>|UzxC0+tkPAES^;x}tknp}241@A^P(Y8VOkWK`&Fh9~M zCE=|k<9j%S)iH418;RZQ;Oz4f00Vaw9L31vlc6=TP~_R?&|3aa%s^=3f%{K=OTbl# zgCC*Mr8856>!NY>;{%Ss<)0HA_>;?~JKU?*d}irU2iEmhI!ea1cScCowDgc(L=3rcomG z#JlR=pi|V1tv`e#&jdqj-=LX+AE2`!>RWmbz*+S{F>-~nDP70?RmTgi>|*v~pBwBENe{p=@mlmFbyU$>nXkk(6Qt==(G5TUcEqLA5N6l1mIg^w3-h!)`^+bi{^5 z*(D*hE`2O<-MHwKlGTKBS#s25gmAw@cXi9}z_O|rX@buTc`>U##BCCjKzNOg-XX}H zMZJW|@xP$aePt`=;IwAg5puIv=eKcKJ?~d#7g?c+^=RWBKs@9?v~fuTi&2V1)I ztr3CY$XRVrH|P3tp3%tpSJ~zD$ZD&a7Y1dQE|aqFIl72Nv`J0b{fU*xGr9b0=*mDC z4-Jx{?``2C)*DwZ?pF-=*5c`&}q#^;0eM{8g%@fp)_>&tc)Y@%VqyuOs zR-Ae;nt9e|EGAZHoCLfmPgn0HEuvuOqD zPvl5jr9|pBXcm(Pp`ug5A(dfL>Gz~x@#2ZEbj%bH)R41%OAddIO4l`ttWlXwu!rRP z7cf3X7BH0WtDA*ZX@AuLK(~cMjNi-Q+e;IXe$C#6PsENcr@LmujVxzB#~fV<4q8ND zMsy6ShW?u>5rODGCS1T|m&1+9h%vBCw+#B0ks}@qQ>a%@w;9$z1I)YVRG>F;efC$~ zI-D}F5%kZ(#SGLY8E~QNTe1nlS*=7dLT2k*0!L&P=cO9}5R9&-9c0-=WXLr5>>(}| zGz)2W$jTk@X3A!|6ayYw*Xi2|%l(4nWu2t6eTS#dyxTSa_p5q_p9R0@118gV=$;>C z{VZ}SuAZN++uI&(Rq=n~jgWb_^Pahm_PWb#t_FYN`O@ zIqSNAuu^qU7yh9VzQhWbzNV&~%dZUMpe$Qa#$Z2?EPWQCM@i}-9?2F?6bw*?Z?El6 zg`8lpGoZmE>LX4b2BjQLN}pmpVJb^_@y4GRs)tG{O_I}WeBp1dI)`05h97bWF2Ub& zKO_hc4W-#d0)9-5g<+m)?XQ}n_@b+qLa~ictPqhg)HI+BNcCHV!SsYARy}^Gb)d>c;BCTajYG$syonLOh zi|N0e$4$GvxX?zO5qrYwI0f81@41N{s^q*jTE<=3xOoHf74~qc@8x5npYhyND^2mf z#YaiSdy5TtwegAcqzDBFMflPh`FCUZ;et6rV8L8Uj*Iu#^jlpUm(BvB$xiphOK1j+|sW)69@q+&>-He9g0+AfVEx9$3gk#G>Ur+SEy zL=gUJ(K!g)8l%KK2KpZGVpM!|JX@OKE3yPKX;`8~eSd~n7y@OzQGUk`=a9U5lnxZ(56Cb_vQp#;B$e=T1waX{9}V^fv)%Yv#jRemfH zmPw4FxE=VeVDwLoBCMyW`mIfEVyGE8W^!9N61TqE0wrd01%%+;d=V*ua29q&gkk=0 zk`_sAbbu@yEQhH5b3d_s7f#<1b^zC#Axg16!uD;1aE3SYF9i1L4oF%=OlN-DL!RyL zwq|LH7hk3egUn(k;T{`&72qD52Mdc{7rt1|=a;C)X|5L+e*&(Q{y zs6r`~Bjl-hfreJ&3Kl3e;Mpf4^1@WW1ZbE*)!HNE;oyk*F^A@vpd@wwK{Q|RXo?HV z!~BWCFpz)9$`}6LpWnPbhXG7a+jdblB(5;UW;8QL#po4*=>G(YTQDc4h#(D8)gk(Y zu!Wh)C8jF)CN^La?WG_pv4*+G{na9j3DAVC7{e2IdhD(xf2n@UKyaI~Y);E%F^5%_ zKtydwBg+ql(ISmk68&4HgalNDT4naf3J$rp!0a^itSu*`XX2}yfNNk-^<_iqJbeou zmavS913SHc^5dSR1_Z;h1JnjBa`j#?YIR#ubAH__81X5~I31Jl914mS z2TbAmEVYQ;R!!@odD0dvY~gZo2QMbj(RR}eAk5zG)m#NKIG68#DR9QxknjiJLd+;j z?cD6g>Ipqd6qV+Ov(3e{7E6h~4PpNk+sponf)OB*uLC5SkHPS-71}Nq9~ePU!pNfj zBKbP$*RlB(IV&TpRVyp|qBYKs9clq@X{mdrB)*2tOim-Mp3-{bV!BR6f@OKgm@dI6 zHDhR3h0=@#c2akU{4ky%Rx03pIBCz~+LaWsm1>e^=12N&fHqp%4j-xZvk$F47rAK|Fpj zykkkDV5xk5kvH>L&`yaE5lIp6@;?g~m?;eYj;gTD1^}6kxF+BB77)>2&Qd34tQXf^ zZk!0b6V0@UCY>Cp_F&CKJ+tnvrZQt*JVqQgvZ4=cTz~ExrgKC}k}e)ST~B?vkiOme z$xRSLB^d*F?#9H5fh~>{8)(}*xp8UXw%xR;HZiXQOY2Fp$$gDZi($0FAQpZiT^4GQFX`s>JNx986lYH?*rv`{BidWYUw z%nA0}XRB0;O{LF8=d0`chkd&Fi^X17SJ5XfuB$s<4-PFvf|SbXoQctS;$ez!3dZ@G zB)DB#gdEi_>E}=9Q{QC9Sr{(b$V?G>QH|R(&U>=Q@8~CRl(A^sad!@2AjsXJSmx-yEDT`Fq##h|1PUY z1kU)kJZd2ni(grVG6VvYgZZ|SfD#1MrR-o@(By*9=oij}362mI9m~xtrdChK42S0J z+B~D4T|>Ux9{ShTx!J9$5&pYr?BmzjuC2q@l|`Kc(ie%#!|gm*Pi)I#af(yos4n}n z=Z*sSpEo!aS4l}1f3|K8ew6g$G}YWNd&=!{83jx>^4)UHwH7L-gmo!;3lUWw)z}`B z8=ov8c)tofjLur6XHuA2#EnpHm*|he=HbRCF=M2|4O(p0&Dp-FsVcIS1=SZgt}zop z`e(i&lOTluw9?|3EPOcbE)#phU9P=x%wU#aLgB=-;pAAI7Z+0l?`XXrxF_M}jyJr0 zz`8Yfzu&wa30IC!+ttVz~etIhq80^Qa%$ddFEI4(_4Ca6O!uN zH8}c@=b&gE{>ngCq5OLrKHm-IT{kHy>)$o|S78AmN~dO?`ot5`58v`SbWB#Jf~>jB z2I7(0xCC1Fqmh*Cy}k{(;v23vY|HARHyC%NHH#V#&=N&A+_KUNCAYHxZhC8S?V8#I zcVdX7^G{Z&hzoL4+R@2zz+FbYgGGN1ac8*ihOKpdd<-DLfALwOn$Yf-8HG?}!*WV? zMqbMP4o!`Vzyk`LNQTN~koiP*ZOK@ujOz}RjI?+xwG6tSMO!w17Tshz;6ZPlHxhEK zxmXnoU9Nfap(iAisKANpRMi%wMh~59PPV6V6RR~9p96I1gJtdfQi;uq$M8?SX=rTh zq*-e>CT;)R!l<|&I$_S)uy{EYANxW_G?V-?7Y|-B<2qOS=%?e^q-romtzL2ngAl({ z41(Q(0}SwkbAu@lQIJ5mLg+#bbA*Di&X9oF$`UC-Xsg}3p!H`bTc&`mrgmpRHIy9< zPy}&O)>8(X3m}G>SW#pkV7suaGw4bW-5)}v6Js~LgZ}@$0L_rVWbEw*K2^-E+=pMW zi?nWBV`2@CwSJWP;oI}2RG7hwB`X$}(VV)8%1<^{LV<#}V zZzq7(K)7Lkm=tvVn-M<&RC#Rw4JJQBF@P%a8lVlT#7*XZwV$u?XC*QZoDEu=PcCq< z!9{0U;bpmS#LM$$OO_F|CtYCQyA;f#@D8fYRYo4!L4h`Vy(ijYr^yTA++jc zg||Tb7es>pdu^~-4V8QfCw#8}F1kaBJ1dC$$AZF)D>4ij6R#qewD?QT=c)_q1qt*6&h*RM@KcL}+h z|7aX73I`kv=G&uO`*>euj0yVa=JUVslQF+g>*AN$7qSrjW&n{?o_*7kmrU6HX;Pvi zKYTf$QF*gNq6id3#DP@XxzFXNj=u@iHidbv&B}cOuJj`>PVa%^bwmrsDZv@nJD8WZ zVCN%y1K%alXJgN5BA>ozp?@sJK_HWLOHgnC4a;m7@S+R(6dvJ**=}wySfM;me2OB9QCBMAyK?~qNGQtD z2R>nCQvo$7@f97JIOL~e$AMlp$Wc{4?!R`^k)U1&s&}`l{@Rosv89h& z)5NK-Wiiw<>2A#?3;L0dIqRdzbE(4#TYdhxz!1sIQtXklTI=)v{A?Hc=cIv2AbPfe5XJ96`?sBA&|-qG zJWy(f?%3;ym6ymHL=&YPCXF5a&upB>d^dnK8<%d{N~$#|g{7EqBSd*H&chr*9*%u8 ziBX%Si4DU z<4K^UOXge+z@&Ev3?`dC)b5=D&eFiJNy8|S!-kTji8Ww(x}=!)9Cj4h!}e$vw_v_t zXNvo7J@99qK27<#^rqE+pDE*XQ6(SaY^qH<7Y`GO(ZC=vSl1%O=5#S6x8!W1DzsJ* zUCItCP75KYMrqXG$R(6X2RQ_xOT=&T@mm>o9C2^VjR z)>(<^t49A^rfa8g$IUsgy9^bmhW@Uga2K1shs`;_Y=fq|Own8+W2KTZUqn?Xp&%NY z9frjTHv=kcje?_I#>y~dzMHDhTS4?8JM84Y1l2NDnkn-&RE1fYhG4X15dG1WU{0o_ zQSDF1^N!SfR<69}yJ2v`vBIJKuwc6INy3}-NdM_U`YJfkphp)e88qHmO@k7SRRRrv z7TMB4BcEmxD)1WGnIDuvoTa#3pa71S3{WghR0`!w^F!UQcx!J9?W+l+Edd;LPsOdP z37fiKZEQa(YT*iNy|Y`XNIRYDK5pg&UJ8FMnD8Ew$>z zCP16v0gO7`I|%>OSG#TO!6)Z-h+xEndufAc`qy)7f#PfO?c5hYW{%l{Kml=ih?Pju z4RmxTHpPWuVukO2GS9$Vb&+P0Mo-u#D$-+dXq}YA`-YGj8EJ2AkyVNk0Xs!H6#DV( zu+&IQa|Ka*1<}bN_|KRopD`ON+iV|CHfPuMw{UZ8`ORGI%emJ)tT7uSA9;iFguXN^ zoq~D15%e?FB0nqZipjgL%+q}36Pv$KXxk=2wtkQ~um@MF7DvM#GkVKWwbBykna^cE zpi%PpA-g|HNzIDS{ImVY-14xf2bXCxXa5sPmJ_{Z&cOLL{&9t z=&0amm{d0v30DnjT1XVCXAx>xa8^y)sHd$|&{eBwXckx1NNVWBS2f{*1cSkxmX1!w znCAAz8l*iW)qOQc6Lclm=JwK>Al6o_3H}^f2R~Uux*!E-@suFqkvEdmf7V30!1X^^ z?mDM-eR4dbeaTnAdHd3@#YQP5F_hx2sbBqGcs6a#jK0VPyjYRCgsyFhp`jE9sOYRb z{6%w2spE%RCKE4~^8^&NLgo}|I_u4)TYa;((>Hkjf$P0O_G=iBQ4uwN_>UUSfjV_S zfu^z?6u$QLw$NCA{&wD?&>V8U{|7XbLk=r_sR1rcuL~}1YX~k~Z3-@Z`3=O|{#O{7 z%p`q0fBRy4=>G|IE^3z*2HeZuBG*0M?ESx?-?F!EJwbgFK#xIcE`gT)ETlR6_PcR> zbdSH2VN2h-g7ol-wi>o4R~;;D+f;W(l0j3cd!}@vbk9Z#?KZZZW}H#TkfGWH(MBgh z0)^r|pLXQ>NOECaVttggk;{FG;gyNNmApS3+dVBi<$4sNo!3%hV7aqfDdEioY zsr=Hr|3-tkl_Bvh!Q|26wnNQlC9BARfygGP`RkNlvY?3)3_Yl0z^w#z3Fet-nJ@Pi zB-&~*5iZTF3nhgF|1}v?>FPy5Z{bhuR-qc`gk-hrf@?}PZny0NhdMus^XGHNF2Hil zoe5V&To4%;`q+*MU1y^m^revUu4wD9Yi0JltSzUqu+cBuWLrt4ZCq~Y0L|Npu;t`% znLvkF^%)o~+O>5jof?}{_f4&7hej4vBVwP3ZEymA!jLxdoc(uSsM5R8KOZlO1)oK4 zUY1xX>NJ-+t0*UY+;6aQwZ#Q4eM1K}QRp2s$_}?zN5@MJxA)iaWF8`jb0*gmtf4H+ z3<+$N!*v$CKl>Z95SaFoT_P;lYV$z$H!&5E*qm}Owgj+Ht_FL)L>u;Sj&bnn1`qC! zCn|0eCM^~vZ3?i4HIYg5&Zb~o1PmtEiQ|cCFSdTFa+sLK^m{um2Bi*-K7C7TfW~m3SW$B&-bc13H@5iLhD!E?*1+OrDfZ%6^FS< zSE4dbv#Vj?@Bsl`JG*O;&x^uexnw$}xn!T`aN*|COh`E1YxjaDgA^m}azJQMi{)@P z`+chgL8Pm<56z8d;ZnGgkqMvz^tki;_-{4FHL-}!8M8jB-Q^1mgu`U zo_sy{68SCFVv1Xr(r#(6|J8d&3arSUf-aJD`~u?#fWIA12G^ze?ew zD-;F-%RrRcSwS&vKZ4a;YE46Wt2tw>JtMfJEnI_YgaxCSHDl8=xtb=CgpN0c#j#3i znv}{XQ;HHHrxP#RgtyTI&oW8ZPg9*lN&klI(`acyEW_=5#k{|D1!HwG439@_jZE^) za7aD_KAdmiSHc^#{v$4dV{EdxMVr_fNTVD3lynkR^vMWW5?iNZ=4*=@1H$`B;Dbdl zAVO)NVA22rF`{=g@B_s(Pzgn!OeRL>bjh*>l}82~i$@k%v4mm`6ML;YE?F%Ty7LvR znhm1|F3e1>wg^n)6ibvrgh(?M%z`eCxUC7GHXOtlc{gJvl<9OyO{nQD#_&uU+sVX= zuv5#%ilkzN(lElP=pq(1u~NwD!FDV(sQN*LvgpV?=bJXABg(HP2T$m-fq0l5obT_( zo=|GBY%DD_kRSxoSieJggH+)Q5!&n3?ZpY2>^y7@qy4&&`1tF?pUW@oEY$%Bkm&b7 zcdUEh_e4*q@NRONBSI0X7QSO4z}>{n06R9um2;t4i#t90up^7CkN ztA9gpm`}Pz+UEdPmoYh?2b5b0H4rqoyz+xUGHuqPm)cgbU#48db0-Iy(JFa6FF!pP zBMhr#h!D8r4&%gX_bHzc>#Oj6gs$_A#3xAxrvY>`)Lo(=3gm)r_B$ZZz2Szed# z6ioJ%0Ho0W6xk$w2I^Aexq>QD=KmUu%6r-j_v+%iKHuaY*Rkg2KNDP+x=xrMEf$jT zXXSWiX&BdT>Hew1^xm7KU5*`i%N z^*dKfa2c*Xux!H+Se9i1Z+oCpIbaXFfOouPHo_rlGV={U>VZdwgM?|IzBZy zHXxNwV}veJv>NN9$7=P%tHuvQ!`b>_-Ya4IjGRN0ek1DT4f*;ea-HMgLxa-eO z8u3Bu(7T@zmY?6+Xru)D_Llw82&oQKmTvaCuiLn51vhb*N}i)n<@_FPvDQnH{z$Gu@QODcwG!GXb_=>H3P-#WipNfweMqLxK;e>olc_53W2U3=Qzhh(?4 z5PL0*6s zh2dZc$|L>R2g~`gIge5&rl4u&O5+sl@X_U4E#I8&gArNntK+b9}B=~AL34bU2wf$jIJw(L4VmRrg>!PIcQ zI5Xp!=H!`3B4|Muq9zq&deE(PsW+Y&;%8)?T8S!0VTBu;mI@77pMAu^V?`eJ$hiu} zX*0>JwM?#>UM{$#GYrlMZwudU&FX7ZGoR6LqufkI%la$Mzdj4YvtuM4LNJXFtWkLh zB3FKhrl)2A{(^b|51|Cp(+5z$EVZC35VLxq(wwd{p!C|4-KV?#q9z)bVCagv;+d(zE7HBagqiL7rnR znn^2>Twh@QESFpARFdtH-9_>M?J~JWGV}EO$LHNC;QJS{)amlbMGPiUZg?FU3WW!< z8MGj^%$=WeI*`CtKMKVQ{($ah)Sp-qR)bw~C=@{ethQLHqvJw^P zi)hrO8FC6ng1aGy$EDE=>#*^1RI&m|Soo9z7+5-sdW<%$$!&Vb^^_#o!t0G>fT-`5 zZS_yvkDHc&7u`PJ+TEVjTfnKqO2{#r0L1Ub#X}-Ct;H`C8JV#)|6#)NtZE%3IMNuqDK#KNpsXt zoOStg02_TBUa<;ii(|yX(X=%7Fgz{IS%42~vkMnO_;+kEYK5p}9#R@-0syQ?^h1BL zhL6CH!e+pxSP4w{e<+P11Gxf?e@Mdm0YvsfVMC|@PzoflIsG^s!K_vgX7f;^>gd`^ zu$5E|WdKzLWnB_jgTIf#@zA6d&?3qRA^ooCP%R{|HX;Cb^6+31Tu2eNRu&e(k^B~f z$UIbta3U0~t7fY(U=zY@GPi}1rEFSf3nHuCCS(ypDj0ybX; zV3kh-z!xFm3Q>ND3tqhOCbu74kJm0HJhg!O?|QvWG~Y#eUwkMNn89^ zW^F!+u0Ft z0FvV=sTuI69CS#V8cn`~i*s=yvImWiMmT=~Y^<|fM12EU-jL457F+DbNj@1#KXJd|t+lh8i&?JudNQl zzHchvOSM!7hmopx;Ys6&{)?pjg_B86kp>WR4=X{Vxlfbb)7BWaP{hR5CP`yT!Y57dQ0|ed8i-!)ORkUy` zca1YxQD8VKVz#&TJs1>ureJFZX`FFtIBOVcTvJ24M+iWYWpWT_5LQwL2byznkY`#Y z3iwy~z{(^HXB+(kPbfd51|uW@iI`Xu989Dy0!9(`jg!MkbiSSz(h~L~o6RZW-z2oB zWH@_Rz;ztFB`hY898ND>RF_rHHhbPGoD=5ThliapI%E#s`gH#Z4CryM>?(Vjk&?au zl2-CZl-%GX$Cygf#gJCgM1U;6bfA$KjSBb11^eMbzOupGTOgWG;EX4;T1z7z0%H=B z@o!w%N{&Vi*aMHol{JbrBme@*BwiFEchVu|+-9)NQUI$2l6fK#62cJSLVb-DlV(v| zH9|@HRMr)fXUWI~*>CdhIQ8b?w2U+(u6>J%iy^84BC-fPvMeRdL9r@`w2XVE>SkBT zTqa5wq*h5g4VJLF;`1B^7VUu|5q22J*QBtUVu@AV&mD2WaI7#?!#J4$GwW2umQrf4 zWXaGd?b6UBRv5`qoT!55TVc`e(sI(*`E+`+oFi8H0u_P^Ac-=9$UKCZS?WiI%e`zN z6t1HBtADN8XzH#O(o^RBAHy+tNbZ45JcMQ#To8fN4tHTSd6T9Ir;L$a5qPnuUZg?DKkz6`D ztmqL`2%wVY--xfSP@Par)g5u-nVmvoED^+1o>0hoM8nIlMMtH=$>>d{lZ%B*Z=e-T zB{flsPo@KC^+X%czuUnLI24*s0Xz^W^&%SdXg1iwwg+E~!y5Fi+}qX?`#!;#{wiaLtI78!*Ymyp9s@_iy{YY}K{eT`CR!FkrQ=DkQbP@De_7B!JY2&hob zw3AdP5pDWV@rRw*5g$2R59)~q5a2g(qlTD4K2wQ0+4b*;!vc|bYFv?=?e6fzV8>wU znZumy?lwhXDR11v0kZFQkJy+nO{N?rZ?W)@aH<~?5)n|9e~ZY58l-`Veg~N${;%Q@ z{hjd!yt7oq6Nwl&V)GJ*tDS?w<|gh$q-V;`*9Io@`|&HD0af4=MlR_6&|dQJ6K2XL zbhA~tk8FR-7rA{A#j&}+V0?pv&ZT6O2+g~{;J83gC@D11r7dMua2ZhaX4X1QE}H^G zMFqe<9oUm1(b|sw9U2J*zmR~5a0-zNr!`YkJM{XdV=}q^Yy~=XK3{(T%$cN?LQBwb zS83fFLweG)klNV^F#i0naCIxH@*Lycm}gZ}sohYCdqD&8QJ|IP4!gA@?H4z5FqYF9cwvl3(g(s zv_w6n=35Bpn-(yDGh7xIoMEC;HE>~94SulFo>Rt>D7>zurV;F%(#37X$4A64d$GMY z_Pcx!8yB)*vaf{&cP>KXcXu<-(o7)km%!hY(mb;Pq}XS%Q%x-Mg{*SO2x1o*Y)u&m zvZ&xgh~cN2L?^SE2ydd9f|h`Ke*6)!KDM&u2qy1MM+_JP-ZMy2C;Kw>9s^^pyA%q) zJG+5a)DWjIlA7=~zOV+gUTQKZq6`v@qKoWWR@?<3kkqSapCev5@jKV+^uNW!A`g_6$rr1Xi^_Yj&3bSaxb<-D(&N9*?|0v9AOQXQw_RHR&yHtCr2m%Teh&S6#VkMT+Up2rfMT0?y7%8% zovah3q%`Zli6CO`JBWzV&B|Xr*U9T$yphQ3rFyc}?J9aYiCN)6Q8}&GF1>_y570c_ za*NHH78e|K$H}Oc(MrYq5%*RtD5v94ccQI7!`hc^=D|7kXak&BAvmn&-K++kcI91U zbT1`Hxtz=?p+Ae!sk`)a>0vs|xzxd0`!QW_nPo7?){{?ZaHloxV$gYbxoBViwW7yx z``M>>>$1qUp8mUk7#^_+;+jHL2UF)sCqytvb)w9P+=M+U^I_`7&xf5QG ztpD`Am5a?Rs>MrEiwd1gEzdE_7vW1+Uzs9t9~II-iwoXqc^VsT*X1MsW8uM_Q}Kd| zqY{6w#GyB(^Ow?vBuiz^e2D{jici;=`yugK*K9jei?e}^7QufIhn>r#?v?SEs8aC(v5g+L5#J<_2R5eAE+PKaJ_?9=loJ{3L<2+K|~pdh|vcT7a(HN z7(_5>y6UIV&cN0mwbQ9(7Z^^ku1*?#?yvI)F0_D7W+Ps=Aewbj60&vk&d9sfsrXDy zi{p2~;wtmyTMWfB9eQ}P$a88+fd5=QM2s(%1Qq>bMQO- z6uD>8F-`ff>Z7I!?<8%LYv)ea@IB@gWm9F6eLTV1xJ-QR>bOpPO{=R4`Q>7*ZCRB@ zwxYT=5Hi+oWz~vF#e~;`v2mvh7i%{3GRZTi&U}xsLl$Odq57^nL3jUD%WFpkM3QUm zdrQ?1J^+owPYFlh=lGK`^9fDD%dI-{g9%L-hd2pUr}bxqRa^xjBc)pq3Cvg6oH8}1 zSbEZfx4l7P8C67snZ3{%-3Z&X663b~{p{~F>vfjxRW;QYz28`lrY~^oqOo+a(uYg8Z=ZQLvhn#Z{@ik#)&Fef8WCuT}Ja`tHg^4Chpt{L&22S|H6N zO)|$9hLqyJp;p=5l}@qn-1SaB;JIs^Qs6;rT=wC%bovS^7(db`vUOByG^uRM}m{Q`zPPoy1yzR*S20zVn)9)yPDhM1WY*YzNE<(4uJe1t@KpRkjY5 zEm{$+!*LMTb=k7j&IRq#)6#DB+qvVWMCC*Gs_MRXZQ0<1u2T4EOA-8>tpaBLS{0o@ zy}O2&A$|3o){*CN-j_}0$_K5ZQ_mO50(@=u2^>FF0Aqn6>gSb073Y%;Yf@TtQ7RGWTP8kSPL21LYPclhqDpo26T zs7wzus;zW`goMyl=BVvY|}6w7Bf?-CQ7LWGtGq8VOBNLv)#VGo`6M znJiMt?@20I#&Yf1PuRXdL9^K$tvW^9)ba^qT0fy_8wgUDrYp%Z7NqM6F}C>W+Prco zct+hB$rqHMY+HVXC-|t69zV4V^9zuap0Er{_4`j$a>hfxczO_T)T4jniQhG}REr9I zdT{H)tSTT|PQ72=}TFLZM{F<+3H8<1W)$>36ii ziFlbLHRiK*qiu~6I%q7Y4w?iraZtT*a2A2;eN%ILWjm1Pu z_aH_HH<}@d9~)=sO)u<8FYHJ+aifHUc6~xzYZeAK7aXej3dm<80M4Eg8#&B5BKyx5 zXlH+Q-M=Wf8s52ZfNa)HvZj=M-olA{zEWS5UENhiPzGEQTdS$UU2FTl+7 z`0lY(yOW!qflv#6)aP>^QMx@k^Zdiv^M{Y*&^B!89Q)H=9;f(+-X1=L_rQ+nxpPRvrVxicHUb^B#Zt1 zabDw9e`$fo0Jw;qU8j6r=te4!f*Y^Uwo)=$CTEJ4?$9TrO&_16&17n-Dn6cOt>3nN#L?iw0(iq{?MvIqRFWHB zqG1J?guwc+-I5zG-f8ndkBz)YzIr2F9X*6pBEBG(?+<&AqY&6wJY)MyzA2SRN_Jj$ zL$yc`ro9o~{)PkGRGlm;J1WXFw?Z^-Hg&ykzL&C~#eq#Sb|yCTlwVqDX*gXl!|R-P zp8e^Wsz|bIcWv+gCP+r>g8SM#K{5~p&gy~me%-{SmMo&({rS?Tngg(05vgjxFmZfy z_Z*Sd&Cmj$r->%su@@#&1@&1qaE?Ytj94{Z(+pnI9hzI|=TZ&4KdTWq|F_Il?v9j$ zj-^!vV1^IP3PNgCA`=WB)atTv29TY976X8Nk6pv5azAW^;|wmFYC=Qc;|xNL;i1da zLz59hO0oWRrT@CXm^RP_!d|98kKTy?<#!J!P8&wo)I^Be?)WW&S*899&kUiWi64hy zs%Y{Ahl>m$uJ(R1-SSL=vP!!rx&A>bC%rOcS`0(=^JRR!bIP$vqLXQ;8A(1FT6GVm z{aUWv(G*!_XPsf0vtL~(QT4s@-jBymp&9hbs^mAaYg9<$WXgh`0u!sI$|8=zJ@wCg zWQ(uZ>9^RAMM&&^V&MGYHrXoc$G9*cvL6gk3~r>y_HdOit0=o~z;$EFgfZNLnG49D-(QDK z_!A|3`qHiebgGwlfpn%7MeR-(LD0rA-D1LKEDJaW2D=UQg@~yybAwuKG3t$Yr4B^j z)IpWSWD;NBzwXZqJ7_K|L>~3on=8Axi@>yc#pD%s=TE4M$eH-qt4Xv(M*Bs}uAI#{ z^p!(P8Qw9dR0>O!+R)GurmHX4$j?kI7s+p9&~Dx`sK^-cy7Si0<#4wm4(X~yH?>Uv zfySIXB0z3zmZ~(L#ZmRv| z(0-czr_g>X{Rp*G1sT@6`;RXHo?fUZmm2FFRFcmQ{=5Y@ty`gQ2F3@C#2w*#8;8}` zy!j+G_xHtQe^a<%lWfrwUxeXRJH`#@U|n806P&TaJ7tr#SI5LWg>KH*jeh^|=9}Q^ zBH8ly)MrG=(dtro$yJml$Oj&$tES_!%T8G0uDOAYM(Ywuh6#*ybsYw~YGyb3Z!gqs zpWL4`)Gc4V(%ZnU+5hNL&zBACBybBryC$D{_#$Zgy9?%)TQ>NUH5Yhqr^42yba zKo6Wo>S93(isFDDF!~h+4R|drzWddN_^S&mYTW2`8z64(?}tN!T9bhC1{g^0&;uir zduA6GH=hU)ii%?3^?O$((Y7R(Aq}B4%Nvr4VH;+iBLzi42#gv}9?H-KPnx@zGmaX! zeAQ4EZ!otDH|moRPbcV|LikLqqXkOb#{z^+k8^*n7T`V5@&pV71iL@WaYh+WfJ8ST zpCV*MBETy{-_NipzjUL15h%Hn1xRERJ0lpBwK}Cg4xVl9`@g12@xKyfHTv6)x;Y2W zwr>#_81ilBcS$+!tQh6BIWB##SA-d6_;XVurvd5GAOlyaKfnY~?O5I;(sx9LW{ydm zES-dBVvTHOUOJ4~trUjx2W%!9*+@YNB0m%Np$CmaU7L3Z79>dV<#!0>&)pO}c!190 z{B+0%mh!2M>R~V5KI8%pwRx4sT-*2sTs4)a{dkDnCJNu4rYoJI2x#V=gO@J;y0mq- z7reG{vz)+nG>KJ_N+%`9Yyb-}SYCv8?QgHj&9_awceaV<>eDTKEX~P=G6nQJySHlF zK2NTM?;`YOuRRU@sdYSCYD8?559|luI(&!W6LUKcU`I>RBzE`3%&@W>^y-^NAH}!M zXW21%7%mZylM`?3REWmGgw3|x6t4_cs3d$u?o&vuk}Kb`7oCkkCq0dX)2fC&MaoMO zivx~Z^z1k9*5vp6tSe1c`iSl=M%*DpReFr2rR@2=(9^4zUvQ1=(v-z+4UUzS#8Y&N zFENx#i+5QnMpg5Ioz-B7|0F(fSEHpSsIpk{^j1wR8zB{|t3!=wv=1c?#0ap*O^U|Z z8La9JIcmr8K*Zo!O{61=+-^{=Bhe6;J;ez*_y}f%7WwrzC_UteP6Mq)KTM`wzj;Ew zCTL2cP<{+rpGlrlpNWt1CmW{EL(qHV8#t<_1RV3Rqnp&Bmy$MyB*TCYn8?)d8BHeg zdX=t^z*wo24`YXTC1IF&rGKP&<#vpCr65qeGA~KI@*`EeGJIV;El0jSJENkB<1D@% zO(PxVwf|pm0Bz-B;aGC@?a3i9(1~?&|EwN3Bi~dkaVqDz(~TR8e)bD4V63dt$)a&~ zGA%z%gNMG_Ni$%zxn|H>Cw!?1zT5)yn`atLRgI|6VXt^vsYs|@G@YDT(KF+0P6uk% z^omf_&~iZZ&~k6g5EbYY0n3okX?!rQ%Hp)u*#GRl^w1T8cJ)5WLYZ<)8aTvt{={+b zV7+iLTRtAGn2OiH7Ar&ew<{(@_}@9#*PdT@t0^Jo+USN~)Bx}E#GdGhhR`TmZQeMy zpH(921maqWD^(VdsHWP&BCw)zePPLw z#H3A7;xJsKb)WFBRf)LM>o{?kIF#I|v0+t#gp-gxu+l;>b#qsYR`iAxS86Qd?kHk; z%DpY11N0Crn&6v{N|fnm=UyI9!)n=fKHNL$)SZuX+|0<-u9|a5G+fx*?yYRC_AAxh z9n$;xhC9~Xx!k1+^v3yj^4A;Xw>dJeF~`O0j*VbHAs@JlPjGSJ?g*sb(v1>vb1kfj zE8L3a(=53NA76Tdr`DfBv-BiRr{g~d0d=QXYiD^emNn_S; zepjF%dT84+u3;6{2+@*)tt$HR?352OHSfm*3;|%=CbZGO#-RM!KvQj5i)0++b%U-v z(71l0jd!}!5pe;EIR3L!=NW0RX4u4^O0fcR>BbD$R9~2U;bf zbSNL}-<&c0@e1If9gZI;E{7sGuQ--2$+g2*%uB~tI+-{*M=JNI{H>CNuyn3|sM{a?7v<*ieb2WunHxTh;eCH`yWXmsI+@}f&`KnQwSM=}jqKOBo!@#Y z&xb`|aW(jKC#7Cm@B5Y$KcKfdWZ~&0IR`^YM~P4jriP}Oae2flJI9f`*6Ju%SR2tU zsa0aA@@>Aa74^ons~kQ1T#elmW$fuMwBT1c=;+_egh}2mk8ZQ!i9!cP?T`Uhfncj{?h|sb+oK_&qi@}nW$SwCSjW9OH_A}kJS)O($gnEpJrkv{; zoriO-^Ve%Ar*bdL@#S%R*dI=Y2_)OXo#Bt`FT`wBz}o0m$-8|9VI;h3J9!;$4@0%p zc-qU+RClw#jwR^r}nvP;@!k1tZ_u0TWKld zJ{@1mCNj0h{N9(fZDMiaF;}~q>M|flV65isIPo}Knn3%f#wW0fMaZeQVqxNQuY5VJ zj?Cw(LHr*Bq^2-9c@G(n!iHW*bL~}5_S9pU;*w_fXB50|T6^2*TYpMjDM;pCx-;k# z(MhhT{2vyn5!*xXuAq~I>moq8{X|9rTBXJIlXu-L47~}Lr{A1Es>UDo^h1n^blrZH z=R#@5KZYefwb-_KDk~;dpFH>&hu}W{5~aMe)%{Q)=)L?kt2i~&_0g%MakMKDVWmCz zQM&BhSlKI8Bc5$dYj;m~g0t)l3HgGoT{lY^d%#-7Cd|_-Y)D|Ij%G7ueTz;{YU4Xg zzUY*`W3{|%rC&{>m;9kEBqf87Ad$$NP~PLTb@o;4BW%@%GG}qTMBXzO3}%r>27dox zGC+72U;I_)mNtDw`j1Cbh53#B@Sf^4CfzZGcI%#QeLoS0YA5J4TG#U5bG&`%8vRNB zY+`|}CCYue9}dX3)8z#TRbLC}pk`W4d?V%ka)Ta%f;=A!Gtfp5=)EU6zCYeDm^grS zrs?FJ*R(k&qOCSxe)&#x^T$2mQS>6okX>-`l9ViieRaRvKYBi?iZW1Y4FEQH3k@HZ zVGXrtTO_5O z;g5{J>lwp;$RL~eYbvvMNJJC(cO0G_c|;eSV*GqF_2F78vjQ+d~diNxB4}CnnWF9=5B`+qFn>ZX@ zz&5+3pdYC8vtOcS^p=I3lR1e(#L&g8+e@gy8^x)yBK44%8xmbJsYeZm2^FtAYqg`SzX z%U!F8W@~f>R|_ddDe`wGGo&mT*S!g%iRQD+WU@fm3bb%=m0R9b{%6>G9%^FgP!q%J zQ7oJ%G60SU3Cq{JF>0vo_8qioX3|C@XjT}3$3Ywv7;>E{h>owZ5I^#nr$v1d$)HEg zedraQ1hv%AH<*Z_QqsPtK&M8EZ?Iu#2n|k>6<6Go_25Hf$wm^W4DnjSXJ@Jb@;K-~ z`|MLC+#_hJ7gRKhi)th#bmC*0@Gvbn|0P+eps6mTp^tza1CSlbL=CL(#N#j=(Eqw* zz}q(`CvW~uj$6n&sRCu9!wpHD8o=oL<2)=o8+}sxIE<`37f^|ompIlB2hE;{;?@em z1R+&HbUSuWB!YQ>@m*ypETSAu)#M{r43B0`QD9iks!giAZ|9P-q5zz-!ZQQzf;#!n z_5E|OW{mHT>!ck!-;#R&gh$4!q~1SI<1`M+zZg`?@RDpKs&1dkPp77y-{%Plk-PMp zrv~Wryv+YNs?HaBbXnkXkKXPj15oxJOA4X+rf=p~ zLp#?fyTgZ)WlAeE z#7&$~uF>!DtpAOaUUR(rW^I+X%b+-8!lwj$GY*PW-nsAnns@VpZ8(StUb2f)j(;l( z2j}jM!X98hPl9)dn@G8c4aPVtxkUnzZ(_q>@sQn8>b z-SoK}UR?LyIPCAVSTg^Tw<;ZK+;qXl_@c4--*>5W81e^8nI;aLtX-M8MJx09@QJ8~l4Dl0MoP&AGpp{vKV-kajxVJXL8J%0xLe-F&I412sTfNUtfGkKvvd zbVWt71vefr*eNs8cy?c6Ov;{-mi%6kYR-FHBb}@`Gzm|9Z?k=ex5;qGD|8Ig}t0t8D&wSk$%de&L@B zslE<*={jvk_6@HlL<}NS>_UR!W&^^7#eCVjmL&ZUXp*WikP@G+l@NZT%puxuYwZ~c z-+b|%Yv0i2nKgFwrRGm)eHChbJ`Qoyr0f|W&iDzLeA^SlS?I?u@DA0ipq*0zYEo!bplmVNEMwa@{|hlH$kI|@o6hMA<{{XmXsBNnX@EkTMy9dhRc!|+ z|K`3UoW@OVI>q*9JR>R;HS3Sg=%|)+Moni66){c55W*j?MplSXy5l_7A&f9ROQ^<~ zBjr9!>Jb`W7x6}FY5^>{6tKp5y8$!&Z|rMMx45{oYeV+Ab6R*_l>|ikk7ngu0x@hB zJPelf6&ZdZct{&^!vVbf3XNVHTT^<+hsV0bh<(#nIdUjP!fi?eok5N1jEk?y&AJ1L zwuCMk;&lb)^o-72xid!29mp9kPC|&Tnwht&r!{wa`mgG-bRk@t3g)`?G2_jKPl*Y0 z<~UjyY*-mG&N`SGCe9n_wgq_QYpE7^-ui7vlfJjZ-?kl#&AlropD14ixH-q0o&%;x zredpe+v(urM<|V&Mf4X(DEI8TDw_G{FBNWd#kw z;cfz}?MphYP8+I)1{TlVM!FW9PP$aRBBynX+Vp0COs0FS(HEGXzmQCOQW_^E?XII% z*PL@~tMn98v~T)~w7f(cb80)0Yw&B|J=TMPLm=e8|5fy#nEw-L_sviKc4F^QH&(={ zMdD)fz{6gJV7Q;YJ?c!uum`P1EA}a-$=d$uxfXaL(xd87$o&)P7nejrH($QKH+$3%m*iLEl;758vq89B3?rIa ztNXl8GU1QGqPv0|MINR*|6f~Q0TkD=wF@Cwa1R~=!QBZC!DVm>1SddncP3a!fZ*-~ zCkYVT2@LKMAV_f6fkB30W_WYnefPiTKlk;nU0Z5>-K)Ru-qp2s_gYgKCMWnLgI!N# zXz3$6@gx4wN}2HJt||PgGnPx3>-CZ=^4&xC{aq_a)P4*C!+ydat<*HT_4l6OY%YBE zon~yh8Y^re>>)s4+4_8{;`$1HWpEMl{zYUtBCa;h!UdCBX^$>VVn4~zGAK`*lPONxya4nn)_ z3`4v%aL6ogQ+5ras3qxeg!*O$L)e*UZRc}WVg?u8e;cj02zp&VNB9O{I&Fmx+v$uO{7ma>n=1F&BHyh6relzLA0j1CLUM z=yNgT1QXwzxQSb%czOudY|4;vpw-G`&*OzLmX(?hp~|D*QocC$xXw`xI4_y1OD(wX z?GTZUoVZ4`_5_~(sY>wyiPqK*Gq3g5jgG9H3pPG>Ppg{4?#XEp6Wkn3CC;Ywyr;HY z?#&F^pm^^Ryu>z8JMSja?Ge?n2<;<1d%KMXXq8Nu8X}{C_E8l4{z=sgemqor^%?88 z-o8Tx3qwllO%4G={ZIe-I;oIF0wU{;4zB=qjP)iE8HW}7w-G6huDm>n)jE1af(3!!acb&Wqm@g#d>A>2kn%6Y~%*fF66~Q!? zwVnG(f|1QI;)4;A+G*{g;TQD`l)BrywY=gXIwX};O2l&*sb%$K&zgsy19-sIqWSXm z^Ai=Eua?aGt;=m0%egpeTwJDBYS2PE+i_EBkA? z-Lx40ed!wikNQpZW6aUBv$W3AdCmSM;43A+Ry?{eT7V4_DC(Tq%bF!uCWm1y|VpGsJ#A-wmmX%SgA1jhxRyHc^s|j zz-Qz<-_ZmQPhL_T0FccuQ!AVOLbyN~V2>|R7LN`<7e2B-Y-M~k+X$GBD$?x}I2fM} zYhYhE4lSrk@-pA1oqebt;w~D8XK6G(H%c3}lVAjH;U*znHSSEdpT@v=7?z2t{FNi+ zB_8l5Nsb^9di05HbUt?3E49e>X_JA`HfE@6PyNjPuWik>&z$+^0&K}1QivB~_ljI( zHu?8*O3Hj?geeAt177uoe+U;GcG_$9y9N;eHq+xQL ziPsl4{Bb0}AP#-FE+%`wwut#?7QbG9^(L9(;AgW6d){LWl@9LKM7$DpU4LtqVc$hY zPl3rkxLKj+ojstDr7}}9EaY)g*wt4$&6(XRX0x!J0a=T@IQhyM9ZPplQZ^Gjj-60? zuZwnlY|<}^I*)m#%0ij9uQZk~Q}~X?Yv4yh|6a7Vrp+&7|mpDHaWm?d@IQ zRKv>yq@LHkZ2(nKYZwf=4s^07m(l*xQaaZE+7Ief&YgIq!fBxyRS76 zx}K4{<+yIFodazk>^?-$lX6r|I*c%G%i|C`-#8ccTaF1c%>A^b3mC^p3@=LgQT2QN zM}z#nAsZSv3XQ=vwaQ#+3DJ``Dj~%ku}`iuxM!XEP1w#JrmF$~qp22-7N+H!Zl`f$ z+v6ta(ChZDZiJ!R*>m$W;uOR7Z~u@pMHl-hR~hw4~Pe(?86G140M4= z_IjtJ;+iN7Hq`??UXqN=-2^_Bxr^7}174LBGNK~X6R9|y0{?UiLAy3rEQ2rYSt!q? zI&V{fumMmo(J2VPpd5JtF4Xg!^Tz^8z=KP~Rix+{^ad{^BN+*S@H@6bufswFyaLz~ z_N+0`0UWsrAn`T4gKWw^KT?OrZOWqBPly^x|D`5<0{rIH{yBa*s7J}?V$wbTJ2;&*s__oOfVhp(%VME>wJ!}BJw>}gQbc?{b*q0Gr{P0wR6#eO;59{OV zUN&%qbuRiD6;KK;L%!XAd9hhoX0uu=^Ac`!!?ifcM>xb7e7RZJa}PL2+JF(8#zl{B z<61rdlhr-6;9#&MW9TK*VnlCC41lS_d4nwm_@szz&EbPs6@KQSKB%^$kqo&X1I(Kr zHM}1K>0-5#c8kIp$d8YZ$(uyzJ`q8JaBt%q0PqI9mWafgzwH3YMrfXe7t-?TVXi`C z1mGWn88pHn_}yZ8_7oliVNSn8E=SCCJtN%-D{2fL($?s@h4O%?Zgl)$Um=PdO* zduW$d4QL+nkq7v4`vQX?*~n3>CW&*1uT@05c`ai3T>37rjBQ_0MHLgn?+-Ls#{xcM z267iyz5z_(#{eJqd@2idff`yx$iFPSb^WGr5Hp$Hq&i?3>LorIDs5}|RA%6$xK@G< z`X(R*Oy$N6V@0y-MOtqwx9qe^!3I!GUTN|t5x)mhGXy>q1B@s7^!&cxV{6bzz%g*k zKSm#}WpLmSv#!GVy4T@@?C+4Rxk98YvT%yqqUUz|Htf=}z-4ibSNhA-s$T`8zkdu00313}RpkDs8+I6e!b^ zjb9LUG%^G)B+?i~{^3TTRyc@-LB9E(JMAr<8C@Qb(5&7J>r7ReP*3IQML>V zV#z~s`_})r?-XHfZxL$ZR_H78JL#9q{%EmmWnT0>YRS@#5-hGvv^3seUedntUBN_Lr%%b;k^3fi z;NOM6yC?a{yMox*uF3Aexc+i#4Gy1@y=%->Y8x>?HmvKiBfw;5x{@`cW@oj`57z?5 zWXAf&%Nvn3_Nc{A;FZFV%YFnc=A9Z_-CW?Yz35X3iM+Gh``#G(5D9(4ppA-q@+Ldj zAzR_b-Jgryn~*iv=18J8Y}i&o>#Sm?WY=wK@2xC+2cx7{W%c1tqq%70)U@pB zM#I%q09XR%APAL02+_lNecKLKBG2``M4^umkRUMjFEcvWn@saAhLCjb!52MRHyabK z!qEC)H&q>qo`Mv+PwO5%=I+>qMV4oTk?SQpalLFDZ9stl_2x$4MIB8@G){#qY=2_I zxn?=Hw_^DD>UQ@b1J#5T7(LT=yYU#=mQU2J_`r>|?k!;|edgcMYeu;G8H>?7|GECf zM&x5gEJj~Ja!$IC;ZLZA8YYC@I}auV$mw)%x{y`GhB$plGBTUs!x^=b3yxGmO<_A2pSuGG4@uyI$6N zzHr<-KX&h^-OVJR*F|^*YQY8q9y%F9K=aPh;_dy*O5;}mNhl>kh#@2wnO&Fstzr$= z;kup8VgLA=f!gQWVr=~s);cry!f~57Rkq^(`U9JTYPLR5oG`4wOIJx^aY~QZ>y&p< zw#jT8kmM|u{}5wRZP3X8Y0!JkWquVTq=vT;xmkf7vKK{p?R zcM2V<1`}zS<_W{H$_68QSbAU4BP2-|{J^1{(g{MEd6k5o-%t}3DfgUSs;VtD^x8;$ zs5}^p`AguHHO!T!Uv=F97MX-6eRA3hECuEXm9q73#uW0?z}*tdDhQXdJAGumK2am) z2=`E3wXO)r8cB<46OU9_VsvpV7kE*aG!Wws+e>~%PBbl46sq6%C45!y`IB9Db457u z;D~YC18jP~0RK|pvv&yh`@1pG$o2B%YkQDd-8u<{z=dyPZG@^<> z7>lsCHb!tJqFM#qZ)N~t%*M%XU%nlVA_=X0u$6++KMdJ{GahVTLO(v(ibCt|Bl|9UvHvjs z36>4>2b-NG8lNLpALKy;8!ZKJppFK{V{$Y!G#s=%a|3N5mYjQf5;U}@s1qOcR@vUi z*3r<-$%i+<4gD#}{+WqOfRTW>lEO1o5|R)R`0FYlz|U_mEyWX%uOVY#E?VhPce8Xz zd2xjds(Vo6}fAIL>8kiL$o=ODftIEI~{cc%&J&|)p zPid~S*sQ=Ze-garb{o`bYS$*g@TSkK6hx%_5D|s&yJGX*S`v+N=G0yH51!~y=t79n z?;7a4DiW3dNRH5TRKs{GUpHnH#YG;cNf)?X%sgK zLrD5R@x}N__=`Wu??;LLrB3jioqqR(dRXS?w2-;NL-ib5*&8{H=N|b#Qtn;S>?(Xe zNwuBf<5FxX)7=%VOZKa$=_^)#gV`tZ=pc)^3g<+DxfP0T(>z&oGHrw0RV^*t zD$)C%;8^AS*mipJX~g8Thqf)Mr2bo(i1qo;YwHY!+(}I;VPyEz zhH?XDS5oq1huKoHm&h6|H@gL175UpY5MP_-77hj0wuT=y)7IOCKaW;v1hOgFu-89Z ztXoH=`Qoh+zcP$02dVlsb!CdPa%viN8>W=*0HFB(V2Mw33$2%i$T3XgUX9&quz&w^Z0<1IgJV2YbATJ zgx*ya%l9F!E!nDnjH;J^!D!!c8i#d+P!l3#=F0g=HCsJnHoxP1_@rPX5PQdUi$*nBEaNxx1~ znABB4$c0FYvlh)!QVpBwu->=VMHO8n!VDZz7Rm00C*>NxwGUcDJgOCx`{FoQz@Lk{ zR^@x6&rbC!E(!~)lr8VhuIu?QjA1>o3LUW-DsItSxHX!in}e^ z;4kLSmcq+7>VzK=Xt(R`zfGPzNbM3*tPU_>DxZOPWt%xV68o%EG@pr6MAg6PqXDrm zQ@2m3snrh%>v3+c@kG6#Z#}qwxmJPlN8nQuEyZBNt%{+j_i5~hz2RgL2G^h3$-A=% zGS*i7?R?&&J@e(lo9ikfcMt^sXQj2r=MH(e)vx*xqs!<3!|&T|e8ITwOvcY>Lt#ugOBRsVH2KQpmlq?U4EIrJFVn1F3lY9f6U6Ga=AyefHEa zvbiE$hR-p!;*5$&F({^0qoBJ@pO8EyveoJcYawA+XJ~k`pMOvAg;~%>DvyyiMMA)% zk+aA2q&X2bZ&OcedljzL)C6$(9B8(!dfgWe7RFx}TMJ$sIP5eR2Dlol@+;E`&)kpj z%*mx2B%H^UizvgrPZAVuie>Y~Gr~G*@q0X4;cqu;+&8ST3N4+zz~Zj;7O$zK(yHWm zHs#cb#CkiN=yXg7gYi_$=8W7m><_94)57~4zS)U=8DUZ04S8#Pe`vP6uV9wZq^gsI%Z@vz*fdrO8+fQMr9+7w zQFaA8bT}F$Ho>PTZdnomtKZ*qoVg>MD@Lk-v!^^n(RncvTraF6eF9nxpG4()XW_I5XAAE?BE_&IxK6fzFpye{lp76z{GLNp zQ#^^_lkV@W@u~8V=DWGwqW@^B8t_fA(9uo=Bdlr{BVjO$^hjWsHe;@jO&+QM$#8`*s z8SL%TMS!BJa%PQ=f*NWAzY05Ue@^GdX981#Z53b4!hR})Rd~q&j4qeEKTnTa>2;_M z$*0MDtvu0%Vd%p56Po#Ut}Udi*wRS@{djW{U)$!%HdWH)`;uJN-yyj<6$?KfdYYJX z1U?$X3>9;a+T(Ct&AY;l>Ro~c9Nw)R$EFWFhN%y`Q{!_4vM>$OR}a~Dp88U$19W9B z5OfJ$40Xn{ZKj$rp;WnxDlLRTrvwt}%@5OD6B&{vA3qYibLb> z*AosoY1@ka-F|?%H2M8tu4p*%YIXT4Q%NrrdK>7FJK}cQ;S;6cKMW&o9$)($TCZ@- zi7R7sz^1$t%JG_A`o{1G?_+-m*_stUR&pByWE=}@c*0D6OGG8+lu60c&TYMo?WUdi z{ysEv^jw>CWpMZ8exgv1#Zt%|pF`Tb>{02b(H147sOA2y(z~~&9wwLL0S&(#yPtiD z#yq-eZ1qC7ynaXcyexUGwzMAD?r&|$6>Wmbc*A#HB*Ioi=g=~eAz1=!vfj}t#em7 z7Ofh6@&w^WrPqsSb`@N+C^ek&SAxkE;xJz&UX}^;Rv>v4F3f$;fj{8-OIiT~Uh!UZt z`~WljW~NEmv>e8$op^LoR^J}|^wF|;)aavq7PeklJBNzoco$X5%j)W^g+LxL?H8Zl zJi2O;xZ2;qxzD*DQHIz=w;^h+Oi z0aFitjh^JTTJK-=k~vhd)s|%{axW(cq%70dDX!g`Y#-G~)S>5E%^5Oh9}K{RXN%qi zT4vSZn=X-NlNS|68@|92XC=I9oPW8((Z+|b|5lP&zIb7N)_Zre!tD+HkDS2eaPrMZ z#b{L}>FMc4)ADm%UcT5ba{@~A0^{vs`p)_d-=|Zjc1q#)kOkjZFAojd<<#NC=>_(| zyodcTUWKIdYstxc!JeL>+gK$ehSJ%gzV; zMe(KYu}1gy!QHvgFR|DAc&M;#B`syhA1frYB8%xf0QSwLg0Yux-A~SgzlP+ z2Ue!g@`!PStTC95{8&pyr<*{?q$D9V#@`8VbK0`y|IQ#*(2Jh*qa5I#eaN(NN)##9 zzV_eu+zz~FS5u3LW$Jo~P%DIIlLV>GTJE+HgUmw|G$E8OMj_0t?5!!UjU%_5$vdrBo6u zNl?Q+j1fR4^Bc(+u<|ljk2W4TI;8FTHQ|=sqRx+1LQLg3%{5S%WNo^Pcg_&Av3qBN zSwc7OSWjVUCx48@+KrI5W9i0o=-L-6=PLSbXk|=d?d76!`hakUN_6KFj&6g6dQ&~l znzurK_^ohh`q_!A&#Wx{&8-&FxXH&Vd*6E@M)3sXzP!NmNm(2PRpa=T`qs3_d2Qx= zY#m>{(D>Pnho8oWFp&j*SXdna4o?riJOlChYW z?CyqPgV#EYy#c%KP2B6FeZ3- zPDHk6AJ=6E7bL(W^L5vnlMIb1>>_5^e4l0cj@k3qu6+u+{b`jFXFW{R|6KS>V$=?Y zGyXO3zT4u9PUSWOojim@)lZ_o^pnL8G8%$JpWKt})F>H#O|JnC2h0pDiAOnN`W1}L z@kNOCuq7Of~@IdQ#|L{@CS_KGH=*yko5Z4p#*&-v0u@GLr@xEs~i&dT;cf~-{)s?Rd<9R;qNrlrHj>uWB zi{DB|p#gEM*G$&*S$(T?28@Q(Jo&62`&hFPI|Q`52K5FF|J#fbMO0gjg^q?+ggS}; zZbq^6_VKmh^)uAb(!dZP?mDjxP>F6|2}+tTP?tX#(KC2VqL#XJHZ5$LVtR@UPIW83 zNda4|4QW0_vRE<*c34*KCgy9YcP; zd$X6&^nwC6KUP6?@~f5KNDWv!{QEi+OvaJsST90wZ%5pkqS(A#TryocZfr~HPUw@{ zI^Edk=6hU)*9NTMKVrF8M0mD+qn~dz_*x*3sydG`)k4wP7BRyn3(75R`(^93cd|0o z*2gGvXZJBBgc1zl=>MCu;OItCDimJ?kI>M_{y&^KqIRAo&1iu5i3isq#;YY5#zx7y zixqlb$+1#j4zx@QdrDHKq?r36&b7G44OzRRG`jEq_*?FI54)~NuAbsD?hIpjLl>8#qYKAr z0`@pnG1y8I$bo0*L0Dir`d*5tCq*;ZCg?Qc7l+WZ2*x)CE@Qe3Ks9*Na7!+y=4bh3 zfFdO&|2>u<#~UZ)+S^pE7ntm5qjw&yi4Gj&Y`2VEl@UN7Ahk83&d)WUI z%M`|7`yZVu-?MgKe2?Vk$&^ON!y@?Q%3BL9Z`e;=5CqW?L#{)4W1_-{07sOe~6 zV*Mrb1a%mode;ToBQ#z#B_SJSJ2w+~2Q|Ofekk|yTpFJKra~r00j;10i{L=pigJSxC diff --git a/lab2CA.runs/impl_1/FetchUnit_power_routed.rpt b/lab2CA.runs/impl_1/FetchUnit_power_routed.rpt deleted file mode 100644 index 0c85119..0000000 --- a/lab2CA.runs/impl_1/FetchUnit_power_routed.rpt +++ /dev/null @@ -1,145 +0,0 @@ -Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -------------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Wed Feb 20 11:37:30 2019 -| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) -| Command : report_power -file FetchUnit_power_routed.rpt -pb FetchUnit_power_summary_routed.pb -rpx FetchUnit_power_routed.rpx -| Design : FetchUnit -| Device : xc7k160tifbg484-2L -| Design State : routed -| Grade : industrial -| Process : typical -| Characterization : Production -------------------------------------------------------------------------------------------------------------------------------------------------- - -Power Report - -Table of Contents ------------------ -1. Summary -1.1 On-Chip Components -1.2 Power Supply Summary -1.3 Confidence Level -2. Settings -2.1 Environment -2.2 Clock Constraints -3. Detailed Reports -3.1 By Hierarchy - -1. Summary ----------- - -+--------------------------+--------------+ -| Total On-Chip Power (W) | 6.065 | -| Design Power Budget (W) | Unspecified* | -| Power Budget Margin (W) | NA | -| Dynamic (W) | 5.957 | -| Device Static (W) | 0.108 | -| Effective TJA (C/W) | 2.5 | -| Max Ambient (C) | 85.0 | -| Junction Temperature (C) | 40.0 | -| Confidence Level | Low | -| Setting File | --- | -| Simulation Activity File | --- | -| Design Nets Matched | NA | -+--------------------------+--------------+ -* Specify Design Power Budget using, set_operating_conditions -design_power_budget - - -1.1 On-Chip Components ----------------------- - -+----------------+-----------+----------+-----------+-----------------+ -| On-Chip | Power (W) | Used | Available | Utilization (%) | -+----------------+-----------+----------+-----------+-----------------+ -| Slice Logic | 0.130 | 31 | --- | --- | -| LUT as Logic | 0.120 | 15 | 101400 | 0.01 | -| BUFG | 0.005 | 1 | 32 | 3.13 | -| Register | 0.005 | 9 | 202800 | <0.01 | -| Others | 0.000 | 1 | --- | --- | -| Signals | 0.183 | 33 | --- | --- | -| I/O | 5.644 | 21 | 285 | 7.37 | -| Static Power | 0.108 | | | | -| Total | 6.065 | | | | -+----------------+-----------+----------+-----------+-----------------+ - - -1.2 Power Supply Summary ------------------------- - -+-----------+-------------+-----------+-------------+------------+ -| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | -+-----------+-------------+-----------+-------------+------------+ -| Vccint | 0.950 | 0.416 | 0.373 | 0.043 | -| Vccaux | 1.800 | 0.476 | 0.459 | 0.018 | -| Vcco33 | 3.300 | 0.000 | 0.000 | 0.000 | -| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | -| Vcco18 | 1.800 | 2.655 | 2.654 | 0.001 | -| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | -| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | -| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | -| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | -| Vccbram | 0.950 | 0.001 | 0.000 | 0.001 | -| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | -| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | -| MGTVccaux | 1.800 | 0.000 | 0.000 | 0.000 | -| Vccadc | 1.800 | 0.018 | 0.000 | 0.018 | -+-----------+-------------+-----------+-------------+------------+ - - -1.3 Confidence Level --------------------- - -+-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ -| User Input Data | Confidence | Details | Action | -+-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ -| Design implementation state | High | Design is routed | | -| Clock nodes activity | Low | User specified less than 75% of clocks | Provide missing clock activity with a constraint file, simulation results or by editing the "By Clock Domain" view | -| I/O nodes activity | Low | More than 75% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | -| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | -| Device models | High | Device models are Production | | -| | | | | -| Overall confidence level | Low | | | -+-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ - - -2. Settings ------------ - -2.1 Environment ---------------- - -+-----------------------+--------------------------+ -| Ambient Temp (C) | 25.0 | -| ThetaJA (C/W) | 2.5 | -| Airflow (LFM) | 250 | -| Heat Sink | medium (Medium Profile) | -| ThetaSA (C/W) | 4.2 | -| Board Selection | medium (10"x10") | -| # of Board Layers | 12to15 (12 to 15 Layers) | -| Board Temperature (C) | 25.0 | -+-----------------------+--------------------------+ - - -2.2 Clock Constraints ---------------------- - -+-------+--------+-----------------+ -| Clock | Domain | Constraint (ns) | -+-------+--------+-----------------+ - - -3. Detailed Reports -------------------- - -3.1 By Hierarchy ----------------- - -+-----------+-----------+ -| Name | Power (W) | -+-----------+-----------+ -| FetchUnit | 5.957 | -| PC | 0.270 | -+-----------+-----------+ - - diff --git a/lab2CA.runs/impl_1/FetchUnit_power_summary_routed.pb b/lab2CA.runs/impl_1/FetchUnit_power_summary_routed.pb deleted file mode 100644 index 0778cecfc3cd4092f3ada76c8e0dcf721ff68792..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 722 zcmZ|NL2J}N6bJAbTJ}&BWIYLD=U{uWEV@{&7T0uHMX7~)DD|QclG*LpWM<7IyKX^R zl^i??h3G{Q3IT6|n2W-Ki-Dec5OYv_&_cd|kb|c>Z&suibNKP+%}XBP%~+{=`b@QQ zc5`HHQFN(COl~?o$s}od!X?+;wnJUYeQFav?(URo_UC zBJ`;q9z*KMwDI`E+H9>DN60YJiTU57wP;cu8u=;cNv6QC3TE1Pru=pHQZb_nMtT8q zbP;k6qGT3KYhZL4%o|{G1q`o(nKqo)SsUMkTxio}$k8n@zYQjLz|aOWZG0DUp-tP6 zqkCZ90h2Bm-Ul;n(Sw|>f#HLbW27EG#=C{6r%$lW`g8eHyuZJ`9zMg<^n?+=z|c6@ z!g%?|Ym7GDU^MuEM{bDj-bXxojkQ+Z4)Bo%m8`{y+N&o5warJ{y5;jWo?Mb@?XpcR z)?{j#dNuxv$;tWY2_l-ra)h-iw|4XkzjpAs1>sS0u!He-ptf$Rvdg4oyhVZoAGP!q z7<|E{1!}Xv-OO<1zeB6Tq3Rk@PhCaAg-zw&x4(b#9UpJd4ztuCRdGI>P`y2 H@e`vzoEPGP diff --git a/lab2CA.runs/impl_1/FetchUnit_route_status.pb b/lab2CA.runs/impl_1/FetchUnit_route_status.pb deleted file mode 100644 index c91052878e82800a991f1de3bf30053f387e1c3b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 43 ycmd;LGcqtV(leRFEE1GoT9T>|T#{H)TC5OUnwy(gRC$1h;eilCgQU^|c?JLr01Vmy diff --git a/lab2CA.runs/impl_1/FetchUnit_route_status.rpt b/lab2CA.runs/impl_1/FetchUnit_route_status.rpt deleted file mode 100644 index c45cb30..0000000 --- a/lab2CA.runs/impl_1/FetchUnit_route_status.rpt +++ /dev/null @@ -1,11 +0,0 @@ -Design Route Status - : # nets : - ------------------------------------------- : ----------- : - # of logical nets.......................... : 64 : - # of nets not needing routing.......... : 30 : - # of internally routed nets........ : 30 : - # of routable nets..................... : 34 : - # of fully routed nets............. : 34 : - # of nets with routing errors.......... : 0 : - ------------------------------------------- : ----------- : - diff --git a/lab2CA.runs/impl_1/FetchUnit_routed.dcp b/lab2CA.runs/impl_1/FetchUnit_routed.dcp deleted file mode 100644 index 2869033aede691bd50d357ba46f95b82011c5062..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 137572 zcmZ5{V{~Rgvu-lc1QVMR+qNgRor!JZjcwbut%;L2wr$(G`OaGR+WN1g{W^EOfFlOT~fEv8?Kq;%< z6hZZSmsFPsUKJTNAomikPo9j((609WqQco@5VQHt=ZoDDK976ki80g8gM{S-T2TcR z@F3I28_em1aVv;;_Im95qL0&~$a2Ol2YxxP=cqi{AbUhK!;ZOe!62tZ13@!nieT8*vDC^8P*qs%%Q9 zW{8-I`zG?^fC9a7=Ge!ftfJ_jzKe=k#cbodaE|+bVT>k#eX>9uFp=m@wxRw=h5p%k z2A-)2i5p3EtcE@$8O+HZo1Ibc3B#BmmW?l7f&|QfJ3#9=AJ(Mv&ey_%;XdBAdku@- z2x%PlF9qwc^1hHS8L5J+bGdkxrhN_f_KKmwrV@IQvW)CX!^xt>Y zWH7r9#jLZomBa{kqu!VeaXRM-19D>z)+{uObN{H9bn|dxd^+xEJDPHQ>h;RJK;-|& ztGUCYwgn=9fUu^5fc*M?HGhnq49!$*%$?|rjZ7|dtZmmgkUz8a2>1I3Kc|P1^|Wx| z&G1Z@Jk8f-5)AwdrtGXpXBO(fG$gceFMD$SBvL45?Zi6hX0Ah;8II!KxCawYMo<)^ zkN3k~F@&0`nT|1R-gIJTw!!8*w`zKHFI{6lyq_!BVbIj?)%3>LR+s^JyW(;%R+b*J zR=Ki=TF&rl9%*6rIMn?`aCM<_c26Fnj@Z*}JA-rHAJb)O7Vh2w9upa6&R8-vT^mz7 z8&2J;4;Gx!8zO~Y?M+&8YZIzVOTA2wE?Zl{5zA8DTijjk5AgnJN6x%G56ufcXHT67 zIkI^bpPO`K&Y%5jZ-8vC%>JjQv)|c(CwMWj{@|2>{UNUjt0$gjBW~{n;bT|Gq=<}Li(g)bSDQ47Mfz&#j92Tbl_;o@W5Gr0S zh}0u0JRhID}K+Oo+ zA(6!ju9U^2ctb=4v3vNqQ1A`$+x)mn*}1tkVnI}s2quT?b|3q#8+fLUTT~StQX*C$ zSE5l-sM8u5aBRQ@+xf1{v|K^)IHYTh|EHJc!qv;=_2yjpzPb^s%=*u;X2QAftCS!w zAh|SVQZ_>_s$BuPxo4vGj!i}wCtdTbO=)cHIhc** z5xc{uOu^Pr(Qvca&`h}W^?KXr*|+?2LudgE?6h+<*4FVKJ0~Lx;r*REgPXvE7fV@) zKq5GE56^Fsij01pn1a2jr=Oa;bs$Efm66z=qrbUEO}dM>Y>~OddX4+&LNRGkCurv7 z@jF&o!i%9}Db!_az^*pD@S|+^B(T3O%f<9wZE<`q7rWSy1U-J_EYFqO{6;qCU;J>qYLt(zqSQ|iQ92FvW)qD#j1qX#c1jC{$b^^s-M zi{2Vh2+To*{1gjSq7Zi*{3 z8=1~=ugFF_%%|42GF?5d%B5~US@?Le-qW3&-t%JBYv^`IciFnrf)!LlghU7gjQPr# zwseiFCR7&Xlpmu>CQr{5CMrcwD~)R!9uK)JU7o5vLOvizvs7?sUqLJ^6D%y(DykaV zRyFyS)1L`nY*S9T3QxHn%g=18+v&!w(oS!4hUbM-=7pDZ5uTim{P4vuD_@=qkHJc- z#LBBoYnrRt?(kKdy%n9kJr}xsxof5aBKU7Ern`u>s2jA{=xU|;f z<2mnM+wb-`M&waJ4BS){M6|wxX)hVY_;Jy{4+ZF-GOP^>)u<2poo4!m`2^%;3jC+a z6sVqT`m7G=6GKC2l|)0Rc%ZSFupl%7q6*tXFlIrxnrKD%Z-0fapE1Z+ygm>!)bu5C zn8o<4!fHkTDtkG6rTN(%u35n@4Sn=3pi)S-o>!W{!v@&g=6X3QW#F_FyOQw*$m zxqW`2;{Q6hu`GMnq>s_lI#>d@zjIObOpfg>sk}Jr;rC<%Yo2bOA5;Jzrc?AfuENOT zJ*+!wHEH5f&L7rHTBJI@%pbQ$TZ2bFzSW;yFe$y-JMnz=!Iu521-R(AQc>(=*zUTy zCsf-VFpb&}tJOd7)cmR|1g2DS<$ik2uaUDAG!fItH=&p3b7{z8JE@>`vu2>u;J$~2 zQ{Zna%Y(*6p#12j6W~oM`(4G8S(_l+8=jbsXol6U1oPT@zbv`ziuZ=vBwjFJ*B?fc zRL;>yG&7`;D~ZBMO(&mU^{>fTQ*%Wm0qeI87P&w^FXtE1#pp?lncO5m#M9xHcs0S1 zU?w~BHS}?RT&%H#jL%x1HcC)OQB123+Apvkyqwn6+uDt|#KmAk5xEYMU! z<7XkK@na#oKF~m!cH;XN@v_=UqU?|64lFactmx3DteT-1)<_FxV|P+SjXAaJZaM== z-nO`Y(^O)=G+z)ErGcS`93WLoCPI&yK1X_D6NGa{MXRGI!bEIA8rW@1=H0y{3(aH7 zCqf@Y0^5c6SLZRS7h7KeXPoC!9>`z+D-fv>M7i0I*upLdw*dQ%)W<|LRQ(IX!8o9q zd=!p(ibQ3g5YO;?sIm{$*&wvakQ|Ot8S@)DqAw76vW!Y>5c*IY9hzs7ON4F=24)~V zT>KkN7|u2jLxvV9##U6MhO6K`vw|IXP{<9GtNk2Fw4ktTb=MK+O3F+)XMU262RX`? z22UJZh6ks5p;|G$tRw-Q)JpqFAyt6)Q`teMernxS;z#Bk6O#NQB{yQ}{-{w-8+8IW zhn@*hZY8G6Z~4)>Q9j2hGHAQ%2@wH}mNS9e_?!gq!)RILZJw+!5Uo`~Xk`b_Z=S1f zo;_wH{R$}xKS^Er$FvSX3E#T_vL9AmFr?e(s7X(~|H#>pb4oKE?8DwOOd5%%nNlbP zg_*gO0D&;6LZ&Q>YzNGxzLfK#5YqNI*vDze=*s_4$MSy^|C1(gQd{eYy5pdEIX1E^ zb0=X-uC8ZYTLcvvRkrMd$q%Jmy^#{878n&(MwKk@3(6BY-$EuGkn@d)&7eqB*7+qI zwl17ZK`8zsQQJx+udv)5Q*yt#UIaQ0F?avRZx~V);Q@IflvGm5pRORJ7zgqcWkAwk zA0MvoPcz7y_e%)Zcm}Bl1Mv14t!9Wxp|r-4%azI+E1i^o>40W%#qLG7%gOcZC3QiWm6%#| z%*@fW5j`|n$O6c$4jfIF%R*KfTo>@8#v@uuwc1iAjoc)?#8qNv(N(j_IjCHl6#I^U zcK_sA)g&fxuizW423^>{xU6{KLK`-l;^ImFE6l5Jrs8o1aT8G{HZRsm+jT2S9U8d{)D5(6kk0p6j2S5&xp29 z-sf>sS`$#>|2>$Z?qL}$jst9<4}sZWQ>Ml`z%ep>%`oYuHK}y`wUMQ1t2yX*$y`;s z5V9PT0n~0DG3(i+pcOo@x~{D$XTL~O7?t(N60VKirpb@Y+Ghzh*X%Rr#Tq+v1zGtFn+g$*i8{foEJjWR3ZeU) zq1Ia?=RgP13@lI@(I?t)LSPkJcGL1(9<1l?B*#v7W{~{d+iVUEJlrCI#(`=PC1F7z zmsoCXr6tOH;gIMX8ns4(o*0urX0x%05H|zJ#@E_AX^9BkImHJ?Rjd&qr`IHqSY>X^ z#Xgr>2_u{P^ojBpZ2R+L>_rxDm^(G9Daf;|W^4$>HTLCB>`_Y#8BOzApXb;3OiC;2 zy-aHD&&gA4o@`Q~k#|K%7UWH8)%prgD6-Hf5}D^`v%6;LR!V-|n)C@KqD#)!(r(vz zTFYlKVoEKk(cjE?tYuV&O9fC<)*6Lz)HlY#g1BHxQPqpZ>9nOg+z-fXp@Nz~uNhYh z33YpNY2LzWJCCeD%$LC)Y0v3)S3Q=S&nnbG{OIY6{gYm#swz(1rIhZZY~}s0hN2+O zYmH&n`RKSM?K)1 zhFeu!3oQ;^3+I{|`Wqr^_zi*0{)Qw&z6(2iLt51d7jjlsiD{dewh7qh#2d~BV9M^O zGbF|2T1%oQg>wCdb;~6sbrpa_S6%1~fW^!VSyVCNRJ%<`!`Zb==)*GHwARbs0LBxW zN$@+PXld=I!`@UUHdB9hE-|ud&qsaf)ePodo;+fdr7kZ9vZ{$R{p{JeurqvqOceF& zIzp831TH4~jW;O6A3jD-!epr>&PKcKUC|XQd81zIYA=TYzr}4l;fl4`1 zw-JBxLrjGO&~r&nY^S1g@PStu6wUFMI5X-4^Xa7e3xqQq88b#GvHYsU3PCj>K7ZvG zbKYPkW>~XgH>3_F&TB2-WFWeuGRdloN+a-+x02vL%ShkLo8QY?54GLE?_~~>RmnD- zZ~n3VSK@E}ve<8a+i!kogfmBJGsa=TZ~p!R9o`FFCsL{(an?laOcaQY>e1;68*%#m zFC>Xk)=Z`b0h}yqY?B@Hc~({^Tqro>J8kv$oAvOM>eGel&#tMvv0R5V^&~*XkDZC=csR^iJoD z=u+uQ*{g#CZj7$a?5?NeOo3Z1))Vi#J#&s!S@*NYFlOhH^!9nj{tZ4vCggI zUZUp5{u3S6)@$bjTUQt2Y?&M8(tpqMo%Keb_1^PdOesojk;M?zD zKmR)?1OGpQesdc`2jkcY*?tDZz$>1?%?kCioPj(wAyK+Qm>pb=G8`IQoHkiO9$q>M zWecm7kTlz-hPAZO*18&H<&VjbZWrO{1Q&&stcM&^Jc_u;UJ0mz$`{ocx0a1^hR(DG z$aFA&aRj&5MOTHBo<5l67MX|F>4gbqc_A!@LMGv4g|v5P$`G>D84hE;O6*NP6u(L% zZPcL6Yh=ZhA3W}!&jGR94)>5q4jiJa#sAn}i=?pgUC3PcetkSYf_IsWW8} zLP+imP2LHurzn1|!sX41v92w@a-L34(xpq(DAH84-o7P10!wrXI;WNC-Zv2b=hG=y zPmQ#O00DVH{@*DP2cy3eYFctQVyM-4ihW^(Mhv|)lq)QSNt&#;Ny{-L@aD=4$ua{4 zO>R)%QIL9vA>0R=%oerEsZ>$-{gmPXGRV;2S$QOT|dXFd=5WX{e0S!H{swJcE z_E!;DHuT*i?J2<}!Hpg`llo1beVuLVW^CB+U-de~xxZpA5Ue|P7EaO_8;h(_>Z9`R z79Y%~U@#xbR_?vf?MjW+d73<`x`ZWQ6tEM+=0g@CSdD*}zk##)^Qmq}O=o$YGtyi* zjg&H6{cAS-8@6m`ahYb~>E^+b+uh6aHNd2y=W8|$vgxa{^x$SloP`i0y5<)oOnn?5 zQpIGr}$4`VB9Fi&f>_gk(45bZgtvZU`I!h zMUi@ys>OoPj(fLuX)?|y{L zl_6KXRP~M`*x9Hg2CS^CMV>!<%(>tr(|=*9+0|mXUH@D@#oH|T#h_}4lskvi)+~#u zUr{}Lag(Rqv^MW7{!INxt6#eJ`Ye(>V(wRBE2efMDM2^Rl$6@o*%Io0y6(T}Qx5bw zdtt4~uSTqD)3;$Ab+n1|al4z=@ur*ebJtaG9xG=sdWr(E2RaWsFBWz??`-#9$$D7o zJ%-vNO-^|t^|eI57Zzaj(8PBZLTYW?!N4ZMZ1s34<7RMVHwH33^(%+;UWsvBU#ZCQ{y4akTd1`~)*M26@<&HtdLyA$_ zaVx8DT}t#Y&7wjNgk8H1oktr7hw>5@Ax+p0>f6Od4hrgv zR$*r)@?v3t>B%6^@knSQx81Ai`bN11qG(cC++MEBieoT5p(&h zzepx2P+zJIauMt76cW#c6?rka@+locZ1xn=4gZsWss~dD=e}DgWH}yP|0|m%lCl@B zB+!h~oJz!cvB6~I1upK!RNWiS?X-_$_U_B>>0zE)4MmpoE-wC>*xa z5++ODJPJ8($zQm=w*)0d{|MhWY^Mc>)zz?`F?{w82Eo}L+^_d=Ocd-I6af$G1yJ*} zOVi%D@rFT%kH)UCMJ#fq9KU-|ID3Y)uP;FWRJ)Y*Y3IYt4W9NS?{Hczlv!(^LT2M$ z*q%_lgTGJhf6ZB|Cn2lZ)k)h+Mz-wQ`EE5~B*eHa7~I9kneSYQ+P6o^G$b^qVmedi zHcNya7<=D_hmtjMQg@ru{hDvb23#!m91psdmSwvWHTc9oT5@B$x z-&e!Y)R$%86JDlKfhA5{Gb9P3GB7b_)rh&B9tEgM3ueOA`bdZPa-mM% z|LfFBNO}n<{ywha$U#89GuHoKs@l=)ubpv{<}W*KHRsKzMt;+;tIec+YHnF0@@TYS zeoc*Jv>&pn9n|J^jTPtB$lPW1Dpql&_SS|t;y^iwLYAOL4&f4JbH8*rxVeAeEzo}G zeWCG$41q+c65K@>k#AtkppZP)%(4;@o|l|Y7jJbVZp@RHyj#3iUPsxUm%K+39-dsN zuY*hbe!^qJ$uaya>RKL4`geYV2~q(EgUk_6wAs3=oa$Wmw>wH>PyBW!c1EoXT#>y(SoG-eLfX$ zv0KmIO-HTTmZ_S@qE54tG(Gc~l7eF+*~1$yh!JS$2r%?V=Xt^+N@8g6btD65_YMwm zl4)m^@l7S}ad{u)5#VJ}@1mgGB^(?o zTfWM}u!;Y+Di89H_uabS`tbwO2skdi#aQDP#bi8G7#ARe3A4wmS-Ci87ZrI%OH2us zVZYfb5bA3=Q;g6mTil6_g_#&Oc}rejD|+OsA?i&8re(iMtZT~@oE>Wyuvs1UxH0#T z#$-;pOy=p{%*A<SPJvhDA4cLE+K43`Ro!X-Zf7(x&Jq0 zyq#!}>=*l)xY=Oa;iv90WzdRx z+VKu*OKvv;RHMn5gcl^1E9U8;Y4PO<%c>nFN^Z%jA!+_dNsS>+{>&h6)S?x(DdWG9 z`^9k(=fg5Chi7-RpnKr8KYrM^ivB@N%~=Prjd$lYYch?PP%Zjvb>Cm5$h4VBxv9Zu z2frDKWgPFSPHqCfxl07`2Y!SF(DJZXm=dt|E>4K>T{+3HNhywK(ljoPdP@w+_vDKz zKqlh~scT3@rY+`Gux2$aFADdqm*(`B1?rZH&w!2_Vx=EXR}l0D;m*Typ6iT&jF0fqFV3JdP%F(DKe-v_lA%oWPpo*z@Td8;OG~py z7-y2$%SIgJ6L0Q>a@E{TPtP}8{4uU)ag0^IoxcC;71Ma%^SEJxO!B}k*vZE@ia0r>1O4`3fan4 zuvY!&FFjMbVZ~Zb%HmNYog5wo2~nyUA*}TWegUVb-~L$!D=mwkg%dwS6#pWo-}44Iu#iN4w3nr$Tjf)3(IN}!R!rF2@l7Yq+nt>^qF zF~^-&G(&h_Kr};iA0zT(bgbXjJVc z{#qr%<(&L9d&Y}q@Q>+9z$d`TlIiO(iVWE^cMCoB{A+|uAlKht9jLZ>70XgDN6+=^ z%7rx36G7q)rZfAANGU5vs`pL&_aM!Cwe)Ro8f`MRy1Xh)76Hve-&@_mw$-*%-(O@t z{ZR_?x1~fSG6m%8zfyn5YN?iPuaTro!YBHh z6bqOJv*dB{`^AnV7bGD+r}M>k=pxy#uzmUKjcmQE7pfOy>w=_HZGs+2@H1mHnb`EW z)ho7C8j_6r7b$o?_tof0twS$^8u-0lF?v=hAqR+)-GH+>HCnqdv^O?4m85)@VH3L* z$sE6DcMX%>CXsDb^C);;pO^0E*aXA_mCYCiz$_V1W%YAfH$#3A?!VgZt`Y&Fg9oUS zIfy>{kd5st4!Nkh|Asl51&7(_{a&TvlKP`UkWkm_?m&UEDu z>mxaiU;yX(dkd72zHe8zYrB==IQMW+YPE#GowMKe-)O+GK1KS^Gr(I^_jCZS-6K}` zt1As7<)_Hq26gdZsar3yUh_chb2TY8Q91jrb^a&hS#hYA8rIE^%s1339Iy_9up`zzvd_#GIz!~2(_hNdRNfB+aF`5laOm6VWb~@xq3^u)yhulbW7XwCwZ}vV0*qj zfcnJKb(UD<+pR8a(Lz2TZF{bdF?tXV79l@Sxv*RA=s)_LvAwX>NudWUJ7cvwa~?Rm zU*vp{(6^JA<~U2lZNZh}b`8<`(An>%kYNU|N&`JzR z)jtyZv}Qk5?tj};xW*gi`Ly2`^FjSm9kO33HM>MR>U(AOH81Ay89_G+G^CTL)QT_s zo*D1CscBW)JoV9?@T={F+I_F!v!w2Es>=ErT~LR0uhMaw=t3uEztxzCkN7Ddq6!^C9u_E5&HRG5Er!AMJtk z;Oph?poW+FMJk14=|b|=3uCaxi{yysV^m{G(x`>xLpOdwY|2xD4lsvOP2WaRW+M@p zvfuaA{eDZ&i_>G%)r$s*x8ru>c=mLN$9%>E!D4F<0VmJD-iN(sa#9<|<-nmiqS*Bs z$L;zJ6w6X*2mg5>Od!&RLX_x9BGg6>lfQn91f>0)w|)zu5DoP>E^F*UG&F_zb~fd} zFN)qaXCPPBa9cnDvG8oiAUPbok+*+aAWopXM;0M()58Irw|Ph*RI+8D4oqVS=JE5B!%BTx6U6O#%AFdzJkW-)Jw2;6|84{rbUElyNARD~|) zpU!~thg~1uLYS#^=m|Q{YpzzJUE{s%_59uC+-8ID^Y0Gtv(6#ccfO9Lz3XmgA+|tJ^Vn_cr%~n1NM+~00DY4VU zg7BmCm$x7*9d=~<(k_r3bD;TjTwUjo+`~!`BoGN?m07m($N#*oLrHxUTTcdP{S#VP# z<5TcCr^9)#V8xO$Cl)OR9*9?eVl5O@m;szs|u*hZ*zJAe(&P0b-K{n zbagSqfdV9jmYM>_%^6H21r;qZ!=B+k(p^pLae`5|&<^PW@-6NH7pVUBD)+vIaAfm| zQ1ih^(&eXIl@JJ)k`q-0dDdLm=B!=OL#1NG1wecYYrNjda!-M(=v;YHgw~ke%yKsY zJQnaGu4B*;tnmtN?OJb(6F_9>u}TZ%KX2H4xecb+5y$%JE7MVME}GYc3DAcbh&sV8 zN)UAPKt)?<-+ovF0lc5SZx{oAn)pGYX+vc!ctE1*LoMgk|Iy=tUo4q88|n{P`M0WC zucaRA(7*0-vEpVjQIbL~RQvKC<kpB{wF+MRY}c0! zUM~LQuQaK|Uf%U0f_){=G_>r(imA*_E)G|g1?u6*Hz;F4@f^$CB#icotkJH)OT%74 z3#t^|p)T@+8UBOL**kUsdc}qnJJaFOI%X9w(}B%X{X&8?x6R9>?BRNp6bYJKsP9kU zS7s3tk;g9dM+j+<{a?ay{v-j8cyW=F7GMKWrVL38?UtG*mrJA-2uWYU!5>i(``j)J zM%?l7mTOA<^Fj1*$b!DzUcQcE zzM5HNDHG6EZXL3j&g?2h5(d{$WcLYS^VNSYbi2fS*giIUp8K@)YzSXZ;JfyGT-~?5 zUHFtNZPlJ-6^4Q$FZ*@Hq?cvFxuFu|y1qkD6=C_lVhzRGwHo5gvs|lrHR{ zoMI#B&7Oa;a(pnC6uZZfR4DP>_0gNUcHb2-6dY3qd7n8Y$AeQ-N4Nev)oeX zn#>uQRoKl{5lMOchY}+o1{Pex3KW6yewFOW4+y)l+~cQ3DSh&-%*kUe7~jzfb_g;O zIq11qKjOf`nIn6%)Z37KMo>wXt#HbF{+D!N$!^APyKgwWzAKApua8vx~ZQLJy zKY2Jk;(Bny&LOHx{w>($)dspAF=2)&ZA<^ijCt7M;omYShJX!>Wr!fb_D!vKI^mnj z-QxYg-^l~}fV*Eyx0wvoRUq~spC*^bj5*rx`h-zs1%^d-L2mKVsh?C#8Wz-H@W?u; zk~>wirjO1d)N>tJFyCqS?g?3w#^tsk{xO??-eK!HsXOc)`Ps`uyshC<-RACN8qwA) z&DQQIMQT=4(th4QqZQ@g!U~}~|JF%*P;ekJxohX++)s_d3;1VRI3f45>-Zk*kYBt3 zLl$?Jaj6`v#zcFPB|X7?$wZ?g(^w{9mDoM95vF7XlC+?mcGyM=2B!%c*T0#8S^BYQ z0E=T5Ni)AI8XeVyOVi;e*Qta==On|vLou<34CAyP**eMTRT<|RO|Ozx05cOxm$mU- zZf{iOniFRZ{>qQ}>~!-is)Q@LwgobRl20ihEG)=fhZd#m@D8|A`T=09$s%BtZnQyN6^WQ2b=k;ADvsdA9I~JL3|tA0HAH6 zJ{yawhsy)EPYtl%CJHxfN?9)t-@|nefL8};Gt40oqekI#39EOp8$o)KGfYNG7gdo9K5Up-P{n5Wq7M2CGxZnM?CR4^- z-BRa@+S9@^E~lE#zg3CRFnFMeFQw} zuUPRQM?=nS7B)&5W1!I>&l|GbFMib))G}dDp!3Q;-;o+7a}ZN5DKULPuGF}Sj)wx7 z(@wwWTip9m%!J`r>I}5E(b6u-rme%|N}uxcBx@?bPzyA+Mb@fqtUuESA}^f@55(uDGOyN6C&6EU_)K zRE`LR#fqx`TgDTk#uaF8GqQT$~OJ!EuHYy*?HL`k6RD8 zEVrU#Q7=KcH*+B`mwXXBxgD8#L0QM6YRGjgorsr{FIk~`gq7WTl^)dOb1;$Cgtq=n zRA;t8@Tf)s<~@`QSE>p*t8&iYW7YGLrpqp=^=X%X(bfqc^q4+YzP8+VqY>OS?X#Fv z2_vErFB4}*VN>gEYlr;Y$Jg`~Xortm4oaRrW@b%K?sw1lXZXt)Ymv~Qta5E2-4Tx{ zw!;j?a@IL?fUYsDdS-Md`M_?I47foV&XP3XcSvnS$D(71!eYsqQ^wf7WcY}g4vTge zGx##CD@#H-dCPKN|H(mJqDhVK?v&efizJPpNgdW{Zmr>ynbf^#*O*SA6N&jg_p*6K zcG7JX(e?23sAXvm=9inrX8G|%bCrlJPO0)Ok&`X$szZ?&ks1Cl1czQl_fVY^`$*5Jv*z6W)U>ecJ~5;l zekGFh>UzFk3>pF_a?FyD_Fu$4|8rrG!R%Pt;Kv_N<$36X(qZ1(~-VXzX6cAC@C}dpSft`YJq4JD-7W3oe zqBrOi2HmkLiN(JUyONc^@V0`wJu+e*{vQ^@Ylem8gkcrEpZIjDD19@TV{gw3sjuk$=mzOLOn+B>p_`nG;%rv_oGm zK9!K$=F(2q5W2Kj$Cr=Bxr#^r+cq|Y=n##AK8xdR&Yj~IJ8kpYDl=_2<6)C^2%xuY zr@Qm2ZDAa7befP2{1%JyNw7 zH|<+RwtJ@QGaun6!3{WQ@%GXPEWZOJ#P8$2xgGSon{XXPnE^?{?Aj@$S|a&|tR$?h zDTi=!nX?-X4ffi|pXzlx@iJ9X;N{MG9yv{}^Nl%4+}EA*OJ5Wpp|fwLFGy1ePzS29 zlmb1UIRJGkg)I~f89RQn-hX=_*;KhwN;)iJarEUp>8NSe_i_PY> zQu?n}-P}U=_vK87*;5yi7%B%^*shdOq}b4b*Fag;pihSz6IDSLLG_ z!5tThb?8HZMC^jE0z%!quPCn(92wWh`Q5r|4#;am=32PN^&ae7^WWy(QOtQ)%A9P9 zVS1V1%6E&;v$>cua$WV6wygua*Wk zBV&{EE{O!=QF}Voh17B!rN5BaQGb4b3rPQ3XI>8@5ja#{7@e%7Ssy?Db9X{X@N+wk zb{7=&=PbpCzbVt45Ovz8|9ETsiTMqirWxs;5E(NqK~sV>js;toba1WG_iNQh@9VfWi&%;xKj-d6VeHT~vm0>M`H=fl(2y(j-?0>LKV>!{q< z#1mlg3CicDKd1Yli=gWv$LGq__G5pz=Z<^p?c`gws^@h_u!Tn*7Y=H}t`;9&*XOLJ z`zas?_g$sum~9IazPl4!?`5wA@R)>Ay~)AxI@SWX4+sEg)3mHzZj!F^$EJxY6STsEJB^}pQ%RVbfMLMoP8T-&kX5=G-(eY5%g{h=4T|p!N#r*nm4TCO{TrkHII92d#0+n5bQWk5KWc z{rmjv;fi0n&}-6gshaV(4f+Aa-V@*?V673oc3^@#(tTX2@>vW@qj!15a;;ptJsB7|^AG zK#kq7H(l5;w${J5H&;OoWC-lV47P5;XBYha-F5>Wz0>y>B~aQLZu#>5^gjLPspk^y z_k9eL-0mD6&Q5QSHbXkNGXvZJ`NhAT!WptIa<&z{8X;0k72P=Oc?hfoA*HZ=KlcsV zR%lx0!xAb=_6{3~Eu@;NUjdxKHns?|1RL5}o15ASTW`PDt&i6ktl08+VPO zWPG6}%#nM|2nMiAe0)7TTs%Eo#DmAeEw46=HUU3w!QH(+PR)Hh-%ocE$V&?GKdA2Q zJ9KImH%z1qnT=aJe`1ThbBV_dv}oGAs{fm72ANHjIlgv51ILrv#%&9fw`$RaKf7QO zkCJ+}Q4(sUDpfVxa+n!DOOsndD3q+QX~TPcNQXSRc_4uJynTL+t$~kUqggC8`Kt^0 zN+W)-fBJaCI+gM}POZ-gW)I_&5P}yrU7SOvFqbL922K;b@%eoJ{{4Ewd=^fytWWfV zEYc?RFe5CAwAxJ8c5(h7JBcK&C1x@^^VSxKPPXiUC&FJpl%1u=$HyHF5vxhtC+{lE zZw1Sa*h0#yPWv{!XFc88K;BYF>IdDxIO4uSYsN7upQ$s;g3moWb74?-5x_+c{fidH ztrq^H6(+soH_pFnh#f)v3>$UYr3||hk?BgDVTS&Is#QASx7JKQY?h&uCe29R3D9nR z%+^8`%=8H=%>2JpW|*zN(^V=6e|jJHSK@^2yl2QS*XmmN4-f;}%!z*WTWGtEvF^zs z$o=Tvzl<(i5_||y&C$ualKoi>UQ_^0(gig#8mE@pd}i2EYH^J zYUAn!#&PnvXN^q19C)1k8?5?g1W26RgH+YdOMhRPiM-om(eMK*H!h_i?gt1 z`&$Hk5Zq@|oe*51Bo3&DQw18 zC&(m7fPSX0#l>_JB!d>~8;Ps*OHlPJSN-q#A1bflg2HbE5CfdLIXxDD!F?%!>6=0!hO zVv1RfWGw%2jTC6X8L5Y+qsOsM6xsE1g?e=mDoGkO0jEu_iLe5 z10#1_mcbjCk>-W!Un1-!(Dj93zZM>LE?&!!jo<3)k5tycS5L{(6U2>-!q6x8 zBhC|H#xVT;t3ng;7F<||HyoY3p@O*WYEBD(XNaRZBvWd0vvc&d>v+{6_VqSRzIZe2 zh;W(J^|jg6^U{Ivb?Ley%;WZ2aOM3K!q3a`vN_fLG`#f|K>+yJekS+%%=x&l;(wXT ziMwLM$NfAOgUWo52*~+laEtPJ=qK>nW1b4ihri_gdXLaP?((GX@xlB&yyCmp85@cH`c{%V7|f`*p;vi-6a=XblF40+8u^v?IdnO=IWRQb%=fen`sJPN7|cU|9TR)e z71=|-b0t^g?R$ezye}*Z2>k-2egOi%0ErMcTko#xm2U(dJ1_kN@7pD&{GS*RJ}=i< zEJF$T?=b|i;jzy=CnM?!vQiAthtk9YWNQ~0e9Z({iD)wdMj zTdL*1r2+~mrdq;pw=a|uyHyeqd_<^#wK4gfM;fRHyg~{b-X#=M`4sddqVBd86!e|m z;Y^L;w;cot;Y^nW6bRX(3iv-ockZ+Dc_Q*V=_!G4TB7b-Yb6wh6%_o>fy#*oeBrn6 z-?rTSHx+?+_-(JM0^ju`C9qy4@#|JeQ9<>M0tovbHUG`UBOQL5r>cP8?Hyikfp)Ov ztw{y+AP{xG`sVTs%C~!!RruD;mx+2nT$S(j{B68*1;y8!X<@$Aqe9{n@3%E_6fh-M zs0ZJE6i6uWEm8r+w)jL>zODBGs8RrdD`JB2MY<**?qB^!*dOj<=gW5@(pY|l00cRr7M{C4U8yovZ0l!5<` zAik!@HR35~fvZQXR@(h`ktzb8;aRWLR3(%_!x^t$vd8xM-{1CZ9@m6Y2z=lVzBAms zBUREK*A5j>IZY4S2d0Z)btwL#xW=ymY>O0rUHhFJj-m{QQ5u9DVyjtA)V#^&b=S`QCn&K}|w}A)6LJ5j5Rz z7sw-EcFhH>0}G+_z8NE$@x6A^9t5nuFJuax_C8`v2gGQ2Tr-wJMQ)x5+8ECU&^LKp zuYF6Mq&@t;Aok`v?fr_s-~KppcU24?#5m!krz<_~`)Kt{g8JA)*qVWRbzEO(==4j4 z%yQ{1W8FWRz^B`vg|dfG%c8& zRk=TZ@J@{3GYU^%0)7wXo5mTV#0~_JuGi&rVq(I2!mBXp z2cD!)ef9Mm!q$TFGk&sQDFY%spDP>w`q8GT{%_wzQc&0*BckF0V*iGz^(8vg0k!eAvI1|VWML=^LOSFdKu1Q@dNyD0 zOF2ac3?UzxhTj{&63aI+{Gh2^?)zDYZsO~Sg@fZ)UI#uf1b}3JJZP_@hpNcM@uY8J zm@fPLmQe=7_hZ>s2u|?loief-Gd+{!$DxteIq66U{1FE;7W}(kr3k5X02vhhqNLU2 zhrtAtI!sRg^%3K{7UrkWMg^GVCjN-KlmM?Sr_O|ytlC}j9FJ{}y4o{mMc>pY40I{= zzLN@JNd*k&1R9{M`ua{aC?^FCSzc}Aq+Cc=4b35)`u(E4Z>yf_omPVo)Hx`#n(Dt0 zZGH56c^@#rJ>fewf1l4V0TBIL|E}2?y<#vpA7M6cwtHITqHja^UnDe__+PGxPlm(cz_x|5(48N|lN@r@h8>7mNLHX{W@?b<+xLgSpUsn0EQ~B=P ze+_gcRXP*P-6&OV6w7yuln15C!lw$U_!7#WrOS7j|7)NttJ3*h(c)COaVXzCP#zrn zo-L;0%P4=gD&KARuL1fff)y6_3Oc9UwD`O#n;cFcYe|F5~+UbrdQN72r z!+V{{KHo=btPHS0VuX!wE$|(_<%sViHy-S^1A#vSAf5EN*&mR4^n?$fBiizKe!1UM zx*2XE_*8vmB<%URhCLY$d>1^uB_P?q-ocoQp)Vrn$pUzBevG8?rvbc3KRF~*2#UsH zW)H;5g1&Qs#NF@T>gf0Z&kOg4?e82qfJ{j~z;n~RA=MEF>mEmvWBe;19>^(ikXh&; ztH?=SmYFc0ouE8<(qB_Tpu|~HmO1x-7<&uoHkxit*UZe!%rP@l%y!I{K{3S4OffSv zGgHhIGegYGF*C+Yx$^h@=ggV8v+lZUmFlg%t5j-rSF5|90(}@-v$3Gmd=AJcq^M$sBY1>cGl@)yY~pv;ACfRFqqk3SX8=fDc}9r0D^XhGrX1>b_eEZh zJbJsQtYDe1r-bl!X2*l46CT$C<5MeIeb>MJ-Di9?9<`GE_0*(JPz`B)FX4!d?9+I< z-tppXGc2;%`?MQN{)RUfOKn&$xBufyG~qjX!kYT-Uo+Kz$70?k-tJD##s1}dd$f4I#yuXMnvLy_5*fQc#X|mmrz_Q8DHR^F_2(1^ znX)xpr`rfx$1qMz6N#$)%^#n7C**;K}M>k|;Y z;Q2F@M1g5ZMkGj+_pRr($3h)GHqZYxtz(Td9%D^47hWXwuXu-3e}O+49Z%j#{68w%XD?TDhaD+rv{p*GdZ(!N5>Fe2#9Xx@)g)5o7h&oD@ z!DXn|#DQhFcZ!hiAfRpq_^TDsOXnfW{Yz8q+ePW}rEkPP(gl*0I?}(7ZN?(+pDZW#7`*t!6@I6bug{}Ee&4#SPOL{}wck7?J(rpE zS1+0fuU$g8kI3{_gkOHQDEJ%ZLUbRl_FS(0n}-7uGr~uqQiyIZk?-?7Wo32>s$2Y0 zKKc>Q&$%KeUg3pZFHGCU@7KZH_b=WNZ(T`WyHmfM@!-`N{=)3nOFg-TiYO2@h%rrlWWC@@9+Y>5}w%i|A$JxEHr%5` z_upjv*6@vY;A*Lijp3L74(;+(slJCGB~$cZ4-QG^5$waM=c_@f88`64!{pEM3<^yX zG59pBzg0;T!<9Z4)_+T5jJ`$(|4VhPdOX(G4s4F&`2|;Im*&vk4jei@3BfPD6B?PU zs7?Hzmkiylz_V%uumA0G0V>v8VITKDi#;#Bk?j3(b2$3pL*#jB(+)c-;(Nh+`%dC; z^tKfSO0eRD-o&YEIuOzlEsh$L;3upH1U~-Vio5y@tM)FZXX`9VXO#OdMsklTftGJF5g}q zjw0_jhPRZ_k4TH)ZrP;rWTc@yS%Y&~yL{Y8|B`L^2+FyUeis4h>mbGS%R=?ATajMu zmRbu*ceSlh%*mqh!+7`IWB0rEVCfww^EG6k6mFANlZdp-Z#PR+U2J9EpP?X4H}Na( zSl4&D)<*H(VkBtLDKQN?s*+NE#{GCll6mby1mH2whrg_cYcc?yjT(Ij|dF$qW{V(i{3vR9Y%h@ zcPP)I2G3_6hAX^p(xvAz6^(cCHndsl33`USy8H|Kd{-CSb=BoQ{l)wJ>i2uxfaGV^DHPk0mjX|hFbeWQl zdbzOta;g(e`ug%OWGn@>@YQ?w+ZyQEIkr;(%3&{EL}v1tbL7Ek=<1a8@29uthreiB z3$Hydf8Q@F8IMQ$Y(F0k&UlEnd{eGD-kRGe>_?-|nd_BtZqM**b4le{(rP)}@C?cQ z>3jM|DEH#vY6EHOMUeRR@WyFrYJThb+J(FO?l@ZchmYs}oO~{ckEfFTE>d^awwCY~ zs_!4q+zaoF*jFoy98#Voo}aWW-*9=hh=-q;oD&WdQhbHwd2&r-4_FQxrh%RuI*ZU&+PeO@!FJpOKz%dcL4|g8q=M3hmh`+X&N(-FBOHyEoDXM`lrGZbgfp4*akGp}-%ye~X4AdK9VXu*7;qClr zqABNG#?l&t3TLazUM>n5I|*9sVtf!B*_z{53YeK#CU{v$Ev#r31uR-=BYgwk!5VlF zt0NdTHlJTa1d*te6iQwJ#c)S)iHAsHajs$S^AmQ}zY<0B3y|_eGBck&&fXmmPo6Q( zen}cf4-!^=_9`cxgJO9H#Hc6NPX~J8UZx}1JjmNhRXDG!uW!_!6i z>y25H7LvB>ktUfMLC53xLYZfA0)5xxddApd*-2aV%lK>=mZRTyDOEJRF{R*A4u8@^ zeAw?^Oiu1c8@2jVUrcn*6X6Fey7$s-n-#GZCcdqnC0cYUm-y^S&TM#>_}p47%jD7x zWllB53M0SBW>IuLDS)H^B=3t@QeGrNPEFl)QVuyXFNE@Y8=j5bb&{J+GA}PaGVf;e zQm(?6cA9GHhkxF4NTr;GFE5`|IYEXQhm@nR2xWKrgjvM4_h!WJ*Gk{bM@36nYykON z#c_J2zwMH9tzKu*{x5^G_|i2>-;n%v2S4axHSXB6r$B+jtxE^(RQGbg;HSUusxB56@0`P8g$<_a8wu`;INq`6tk} z%^;y|dvAMm^x`O*_>FcMJE&gl3mqX-a17dDQ=MD6$~_BqyZ=$juR?Kw<_rQeL-l^4 z5Ll#sl+D^dl`3?Tg#QKrA=V-C{|q>X$p0Pq5a&=NcX!sCiAC14r@v3?eWGo|b`t-O zIM`IDVXj8-<^Q)hvFd*M){5;EqW7=llf?77m=R#Y^%v~Bf5YR>boneFgoT=?;whew zi6A4TkQCH6gM-PIuM#;hpk!|UvpuZ&ea9v?{C%;tp!=MukIAw&3>`C2CQsc zOY?bwf0BW!mqzpBx=;=P)>HZ(ojUTE)BSQt2fz03V1HH$Dj}4BQ%t( zy&HsD)!-S2SXv=$=mB0a|wY({AsQxbU0;SZ)WMY0ZD{N ze}SAeK08yzfF|unaz@(v?}ydQ1+oOsD4TEaaWuY4qykloKc$4^%O6Ok)j%P-=x>W- zFwJxIitA%CRVdV!VGy<>MKaXokN=Yd|NpS$putx)mw{rYt<`M(Hhl8>|vQUsk#gh(a3i<@dU60$zy<6sP+NQG|1B1P__5!PnuHA20} z2yvf)Hg5--616Ul$H0Ys{luY|2FwPx+Y%kz99EV5#4>_h`<9T^3?HXpC{d_i9JiMI zxOQcV6!-9F%eeQshj}pVr|9;g9{M`mxg%D|ndlfl77P6QJF&_F`=w zgaf3d?H6~bgG>hIM=bN5)-rzV$vULpQk0-~bu62HVb=ZnOSiuYPkQ921NczeIaQ1I zmFW^G5{94>l4J*o@a1cVNO0IW^Jdbi$9EibZy8w3)|<`tZ@n(ck8_brPu*Ijho!?y zrVV9-)vu-;6@wC;pi!>Q6>Fh~h@q!MHEylF+a1kJ#J2nPR-tRWL?V=S_SeUrkxYxE zablbjRG(?n8K=zBlAIQdz7#4l+gO@@vC3Rsp68a=QKgbiWF#nQD*$GIgir8|--^a< z@oi_ay? z%lJT<7?N-%Sv4e+bcOd^-nTX)O#p7IZH`VNd(Dp6h&;e zrFF)jc^*b641>de#6WLal)6UnO)63aI}E%rnyr!zDbHq47aEAIJ7@%EV^vAP=GWY$ zajg}snd$UlH@|_cE^6A)X__~gf=xF!TXI0;+1M;x$OLQZQGXQR27;{)QFv5#Mmw>u z!*JqrBUngOqRYH}w92xNbBpLMmiJ@|)Exff`##{+_mTN_@NvGJY)6+^Qh~2BNN~k~ zL0@>9c7eLtmYS})`F`s!m8|Xgx#<(yuKXIa)k`9Nh+Al;6SyGHv{7|kmk=wGT5&*a z8^(c_rg6iMQaI=tF+urPYM6{O8cfwXB}LU&`ZRGB82m!`RE^Rwq5qYAPDp3^|6zmN zZum$}2R&@xQ2v)#r^h{5sot06D~3z16ozn9W9&ChlW;kDEyWk;-=kf!XL6-v)HC8qL1Wz$fmbYNj!LKkGAj`Uyibz9q@FGGhvxdamE>v;vJ~swuHvCFf6! zqXj6h>)%1q8au1io=%n%U?TZF@O597>3heF$!A6(0_8M?UHHUfayt&?mafg^Upk1?$*Xz`@_uF1{%%CbOE6!PJz7ED zfJ8r<*IUrihncV&oJN?BM+dI}oLYf&$zX?tIQUEC4&sP_SePzrf=LOt_O<=D0i>a! zTbvmk$+aKi*2vz*zpWq*@!bV}L)-1E*vkj&@o5n!66auro}tj-z=#QB&?KE{r`iRv z;{xt%`ZTs^K145@u{C27o%Ir(`wFJt3h4)1$3Sg88M>{Fc!(aN+H)X~$;GvpET(vp zg>ay5euXQDH^uYr-VD;Xe9kK$Zb+?^M@f&Dz?^y*j*(AVyXocR=q$rJl8yH#rjdQZ z-4_^Ibjw*PapWyYxgm$S%Ffo;|^Nza!1!;dxov#fKcY zPF`>Xg?&9+1%CQE*|0Mv>SX*fXAc~`rI|}dsxOsEfi~-FhtM^mk)tDeFDA64P3>h* z#SO4(>_7t)n##9Ik0bVS>AL1vHl7hKn6DUD%+!-=6h<=j!wU&^w|2D0Y>r?7xqcO~ zBzEl=1btJtPtZtrV>S>hgtrqagtrFiI6{oW0veaUZihlE4l8Ek;CPVj^;a<64!kJ% zTqw;mqoLSk%3yn)6@u0(Yh(bEBP4F*dXH!(B*4J)hicxgjLL9qUJR)iu}A>FFOWP#`yC#hc&Jd7F*o@}}inQ4Ikhap^5tUyDPFGawmOQlsPHO8eYT_inzv|xV9 zK94MI|Fa4b$jJsbtSiRDb_67n@JqR_nJFOT(!DK{_D>>wt5PM~m%g;Zl6``cOZNmX zQm8c@jL@6iS?f@5;(ZcIQ-N`JY+c=P8UTGG1#FV0R`zCwS4#&|YYlhMY?S4StGdDD zWwq|4WsLj*Zs?&oPd?kGz)uZ3<48KVGyPwCZ<0)BRW$g_Bv$nf)t<# zgXy$H`oDX|zO;hvv`f12ol$GKR~d<;Pe$+xJvF!+L&Nq>X}GJXqZ}%M>EQ zTUVvzh&ir;u`*FM$I>k7G@21&>M=6e zLxON^)az!0Dwi$$G?=)z-N&Y**`Rhm`?=!74Phdk8=+Y@LJb^41(xL)fgVU|Bm7D) z%ECPQGzR##wNLCb!yTAhR)^Fk1OtYGfMhNK{_~)HPKzf`Y!iqUH5l2f4L7v2zvhp2 zc{8VispNG$7meP2XP#&k3-H*RrId*!60CoaZOM)FRxYIIeIp%6`NVrG`k^2RT5RntX6w^f|F&vv&*EE$TP1QHL^*0VO%rCSR!E3Sz@yq+H) zZ|Mxx@(+ImZ*Ko~amWa#var|mq;ozy=e2cIM zUIm*LQMAP?MJvPZ!W))If(bp%$Rw7s(xQ5;so-Gt*jUk?9@7*C*$Y2{D?cZTz~Q zHH=D3Iw+&*(-8EKGIDlxcPx=$6n8O~DnqG;TX=yBI`M%LzY~VY_ayaK>5LUE+msT2mz5 z5vN(Q+K2IOrWD(}prsy$MbbG#19wFn>|be7V*U>FUgz@izGK1;f8UvJULIYUV{7Q` zgwN^sT*?A=T20n&a(&aj%;2bUo50s3LFj+pgv$STjXfBvdk{x4pqkbT!fE8h)|zuw z2r_`qG1`U*BeMNP6CSoW$Dc%;pcr5QhEU`USNy+%tQw9$7#3QB<0$z=?>HuBQf569XxJ(_ zo(#U-Hk1NM^7Q^-*0I6aTlrE?KS z@p2heNcIC#w32X1ciyTbJ+H|(CFF}hhR@G`3`Pa2+wcao-?kcNz{V7vR=s2$JXkZ= zq^WE&D-qQWLBIW!MUJo(58-@j-T8t17}n6Q_)OKpQxv&!1-8`R>*(OfA}zt|>8Qyf zV=G4M5%c)WJ(8x%KH}I4P)viOn-dQI9v@Eg#QJ|Ei6mF0a8 zA8`4&g$h?k4ZLBmvNK|f38P7Z zO#yepeb2(k{c?2Znu_7ImhM3sJd{;I7(nX2a*_H>&;{Apu7l)3J6rD_Do_2!P+BRkAdr+f>b8FVMs*VJB}z=&9t=|-Z2C- zR#@DC1yRCxIAgBq$gz1ZBu1X5@HLIFPtX?(18G-;>bfyUQ~EfI*bu(jT0M5op|bwC zYVv`dB_^$M254!bz!vxZE%$iF}3U{=$Zt$z~Wr=#VNS~kLq@O zhrCy)%09}f3=H7H68U$w~bAjVyhZOghe`=(X}-UNni*@_^_a*UWFIgpV~ zJkQ#v+6`xSc_#fj^R&I&Ks)gcq?$Zx1^P7$WWw9HabvDtSkp9atVGe_b&LvViD%hU z-@8$QyjFg(g;rqvLgg^h{09~EfnC=t0P$g`aQRhs7=9JSd5W>T{@e`qBVIx9#?&Zh zvurT+m}Vs5VP&k{g8Mv; zZZmj1Ug%Lj(}{WIA9+;!s@vb#;pG%hk{N z?a?75*Qz@sJ~AEgU}zxW$Qd_yLrA2bEwz_mA&b214;BJ(=Tp)`C)E??mLh5l%L302 zK$rIE?&$_!W-pDtwVlHFYb1?6E8nLLgQ>Ze&#aDa0WISTOQZRUII8ybZ7G#Ci4#o# zhioiN-PIMbb~$evcgQmK<#ajK(``&s*WNa0~2Mb(`Equ)v|7vpFS)3hm1>#Jf~My-NkH>Kp=WIciu2#|Rk*`k3ZJwLnG z*OeWe(r0BZ+FR(OF=0&I%kf#NSW>eW$|XQ{SyZP>7h_1;D;EE30Fr9cQH=Jz;%REu zU=|OHLTbBe@$l426@q!4LKW6mUzl;rJ*1R{vTW2c5Bh%1M}X7QexAGmO8egbF3EcQ zOTcpIgqB7!tN3DQzCin$eRYy~?qDPnk6^1=W>(xr)zuBveHB$q&>ZTBF#kE|%Ou)kt(;dujq1e{5X!GcmUn+<+@4&V)H(mIWJ@&q#9mgdOYMXGEPw1)AEjh4TaFCFXB4;| ze!4%j26msj%I>K%MCK-y%&a0HA@{e~;MVcM7K{&y44S*_Gj%2Lp87>`6l}3g2elq9 zgTLz2Vny-n>|F)1i?*s6rH-w~WDK(B2}t#DsIhk%*K$Ffl{K(%lIk`Q?v*gAaW2V55OoJPx2 z$=YiK$BIZKc?6@wq77~Y3*IRTRR=>D)DihR+XKqom%xi?=t^G6;aYL^zG10kHC!}j zJP2z3s`Mc{tGfRioYnhuXwdj|(w^}EspVgh5ULFUqXqTLkkQxO_@`ZqsYC&l^ z{TB8Ycr;^)0s(ZfpW*w;0tG_h3mq>=Xrjg@P}G(!(4ipFtM9c_#Ka(i#cl#%x`eX_wru4qG0DAAxMBG$QQ5`sh>iv21`!-Di^U;znJI5AuviXaIH1Ft;7d+%Nvs9XMVa zm!?W>u>3(z5%oSQ0-j#=rGPf}zkE5*AhN3Ixt@U3!aQof_94d(@*m}4SU#ZZZ%^yr z|G%vMp6z6wesSaLu}q*Uw}HpUH(|8<_{jZgCU8+bJ*^dRzvgBXJ%5rl5Xipn1L5(n z9ktb`b=4n4`@_c&ZGfYf+C_&pw}8y?>>WMIObmL=>IFS-of6r`Zw+lY9Hv#-0R@;g z>vwS5I>tRy&jHPkV1CS`nlL6$=A>lfhworI!dP%4HwNrv|2_(j?3{wl5~}znlOW-X zh?<pL{v_xfSIVmi{d1MQEdht z3^!&~M$~}Kav1F+hGsAt^3DUEux#cOy4L*QdF3nOvoH9HhE0DcO)1A#LN@4jtp7B> zcKlH|*f}=JyGoT693J$CC*J;mcGd>1kvlxdwSoXAGpM;v4a!Ev65CPR{1*ozZon@T zmecU^j2yq)A>_3U$vzy@xs!(4AL+r4V@zjCUpu8xQLQQR<)|7&|t(UP~@M` zVjzgf_fiTmb9SWt>T?)pB5L`M4D>n3QxUaDg2d$Mw~CFa$6X^UgEAzB#-In7jd2LW z7^FENhx#XjU`=uo(Y4hIFjNq8)o|C!U%1EquqPNlAg!Jjwywq@15Fxjv2e$7CY%}G1sa-^0z^^j=sRc*_GOoR{Ysu&D9lGi1DVLaF$4d=g{=$9F0 zpyuqR_|Y&bw9Zk%8#O{K$-q}D4DhA*Caxb867s|GM|~6{<$AI+b8cL6ouky03POoZ@6?HrXm>$UIbw z>(?{;RRe^3G#4P6Z>US{0x44(R|{cfmoku#R>{EHHGN9AyLuv&W0xm?EO)z0e{DTm zP4chD?DV&;z;8I#1vs`hLtTg6alu9MFX%BbwBvxBOvg-2bYp@F+B_W5`|)G<-{v)a z32$y6ACI>$&YmYGO*|9v6-v^EZyiR)OJ%kVPxxu77<=jzG5u?J8&8ZttZ}h7sGTBP zz%Z(eOkMS{=vllBRa=jCLAmw0=OU;Q^+w6vqqlre2J)Yd#K|7qiDP5%@6JxXEU4DJ zo$j7*CFN39i8|@OW=-Vw*gsv9xxFpeo_f0-az0{AbK1jyC(tez7ak(nwJf6nATI<} z=4aS*sbd-hw7@KF$$iuQ&X^5+t9A~#YnjFWfLF5FM*onkHW9obH5t-xF}x}K&%7MS z3`9ahVti40`+hUVL{TKWMz?UVg)(e-LIJ%;=t$DBMr@u?fiskNQ6#-ax2+<0FokX= zpkmr5i%0p&Rx(8L#Mv2rpt^Xuf9A6SVtG+r!1o5Dc_<~&SwZTnxjxSTK!AgptRHfz%e?J08J+;tC&VEigN7nlm5t| zRqWra-P-taG1GKBt}@p+oA)J8ntq}BH8Ni*M>)2xh0>+AjsuD_@R(~fMY)#r0M&k& zHJUx}!}r)n#EPt85FFzCtDd;{FwJ2`M$&LF(xFC11#mDvd{m4PDn<^d`7Xj$%ug%~ zW9p#J*xjqwotqN^7>W3ZI!roC#>j%Q@1D*8-GYMoMe1{K^!lplas6# zcBJYtg~NZ;JBruS&!*q-#7_n(uGX9=H00IIwYis4?RzS>I(E_mJPeuSePS$vk~6V^ z`$3qOM_Q0sP0H%XwFk)&t z4F+gtEy|Ex7R0vC-_T_otZ#>Ua(w^S;ROB<=4Dr~QDX6rFvx}7SDWpnkj}oq z32P&svs#Pq*`Vx>iCo2lfe%w}{2*%$_KTE+F+e@N`*&!TAylDV76}CiXCn~*5o;Y| zr8tLWA@1cr0phiOg<@Pv&=+cY>M3*N#fP3G!mg?^&!{hm;_L=T2w-g{j7bj65vS-( zM^5b+^O(a`n#0B6cbJBpI1n#CB+rxsYON#@TVUInuJ;%{Hxf*PV#)K_>y2%!M~gzQ z=d|dHW8=I&2LgZJY++%D2C#_%UPQJ{+l}dWHw4MM^s(?Zi)V#36e{mpd=SEp0&3e0 zu~qRH<1J=>LOPwm3%N5x>i6UT5$=Do!#6Cbb?iIwF&$iJE&3L+OW{c~+c+CxzqN3v z#|`Sk7U@}^zQ8%|$5#uXo50tCwM|T!K_nEN)n!n6Y`_ou6tiAZ;x&epQqb+ArHZrR2?X>m zqazK)7_qrR5i)}tgMR-1%k>j-D8_+_`L@hppm+Hb3doq&BKXp@7dg>Ppz-O;s?@@P zxg%Z0Qa;$q#Sb`))jdh^%q&^+L`--aM~Zw!rJ^Mf3=r0IbOI*ik(l*$mlY2^xsB7udRtM0;q@yb);;~G? zuu>6S9Cb;q1!bwSU_rNaWbwAy_9RpolYx?b9&xg~s2K2vVmJ_4u<5~n$QtZPOcwtr zy4N{rD=RJNS@;DpPdZ^PX6R_24(#Y+!n@GNtE6K5M~<6uPShy!S*S7o5mRze{jn+@ z_K@66oih3K;IDG+MVjxYM$1YpKjz0k$ZcpuMV%3W84$_(Hl~3U}$xOPWn8EbKK~B^A%>HCy8VZ?n`I)NBP1U*lrQKS%Zp zyJ;;aN3P4@Dk_3u!1ztAF5`f%87~^N;4ZB#1%a;FPXy|*1j(=37$b_PwQ9;VxLwy% zIGVoJ4gP>|`?ZUjbr!WN@-4t@zXS*L3VvUFHaXG%l}$gQ8Q?$3#abht!)ho*`L zN;@^L`pzWFdNbiZG>;Lbnm?_!#?OT z!4|UMi3v1qrl^XN3QD#JuVt4+*UTUQn(ozjjH(4oYGY#n3(-uqC_CbQ27;HEz)=?4 zmyW2>{|U;KH~azr#SWmUm_>gR>;g@+nB0G4ud%ieB6L`z;pR;z0e|Q6efp(B!2yq?!>GB`?GsMo zvV{`8oGEYH$C_%VMgO#u;a+e6se*uSk4ABnd9`eI|Lfv5t0CSln4 zlvh#17)vk<4PJdjW!I)sN=Pa60u26hH82X;>^6F^c!|j*F;Flyy7x@~QnS9WdX(=aXvx*E%TjCM7bR38sf}vD$cLpQ$Qv-U?Ny64 zCjoAp&KqD>)Er0k0c(bs^D7{}fhrf?Z zrax%l86qU36Fp4LZqHGHG&_1)Y`7t><^3Ct!seb>Shc1k>J|q71gYLQ5Nnc5@6*VL z*>AICO*#WPr#L-?di2gHJx`C|8_>T`ij0}X&W;~A9TJL```^yX&}S*3oX{oPB}q(w zmcpl=9%X-wdp^Lx=f7FmQbfUzz41S8f-+4ft~5**Us+qD=i{gH%Fk%2Ixp=syAKE{82O|v2Gvpztx!7X{RK<7qw6jUmW;8+5aSN)X{dQ>z~Y(%x9 zCyyanFRF7sTVe^TDTlFtx z^SK6-jRv+lcaDKl&E9c=edpG*3Ai|VDa)fV$ZFoN_%87h00`$&Y(v_gles#$!LG1- zb)EMo%>J-qPDck!)OJ6V-N=^`Pr(va5SOo?RI}Zy3pA(bvc4 zpHwXGeNGCS!fosN-R%NC1DWhR%DFigOzn`j3;T*~&#yPgnHLy}gjyQ=eT8A6QiHX9SfSg*|pBG2B*A(`tc8%$7 zNgiP7KhtzDJ2en09KnjS^os5KOMmVt9+We;%O&C8CCHP2ac^YVNtLb%VuOV(BSnJ7 zR)<4UxNcF1wv@a>R6%NQeRXgL3%LouDd3he#;hGniBmP7&14`p11HTBeRQ{fJ-R-> zK28+f43SM}N2uBI(6-?t1Og*gOqAGdF6DT zS^53^PouLPYKQ^S$@#>>#r+j%@WRQ-{od6xTh#OP#2)@Z!!2yTrdj^pis{(h^X~9) zDz37`?t%PTq2l-xQ{@xW=@V1t6VpqWN1aTLZLHuMi3iN5RMtr)6wONHYC~)W8p_K9 ze9nntz8tp~qW`>*at<&q5BLNxVfbGGPX;ex56?2MS>7G@=-sy=-#mWaA15nMVF^Fq z{+>J2S#>^~!D48;?aSw@x3~CV?0;W`W4;N-ZLZq|NCrGfD0NgORN^NCCd&3AG-gk8 zzM(;Mx*VyrSH>}FHTt_^Cp_2-t`fTV2J{sRMI#!7F4c+t6rN!##OjYyL*kJ8^owq= zM{b*I%LP9KpM(30_aP$dbd4rbm*zA~rur~~ zHixwJXU*}1XBB6>h4s5pV=-OUVQ%NkyvDpEaw)OBJszwIW&JDbGRo2)>zILCJ6Ug&hIjFZ=5ZZ%ZN{d zWW466rfXR1?r@EFgrX@o=Xn2Hwyz2ySY6H#NfX6mjS zhTTiPJBQDgF|R|(zImN>h>biq{`a>fh2H`JtACoz&xta84YRVt^ta-6m`5iz`IeNT z=ht0%QpVC(qM>!>ZJEX>-HcU9+6W_WkU&G`4dW_1omd_w+rFRoZ}JIQ4WXL0HU8{* zt^waVakJ3c{|0~*VLRbvV^;)svHq^(HYrIqJmD7?c5fapHuTdn)~1Xp%Ld)uNVt*MZQqx;LPquYdX+^>wOw@{N$hH2g2 zw|}6|fS3E(qH$82@K8E?poHnJbBLBj=!PEsaZZiSq(ae|%<(H*g8a{rnFmpUEy#0k z)Fjd_M~kWcf=Yc<<`97EwXf`;vP)Ydqf3{JX>&BUozP{n`_fCa8;brWW3KxTpMjs7 z4jq3^UA{}-)@VMp9P#@^DiLt3xQ)uMd^Wgv`YgQMBQ+tiN^Fod`*hi&WhczHqHi!A z&S?w3VGS=2L|2NLaxK*=T@u3?{*j&YD~UC_>bIeYphzbD*SJLa8%M`6nvpBj8%IKg z8>c|QX+5?SxUJUpY^Fo@sN3jBhW-`MIy{5t`_{dXT{Xk@q1%H1<~KlyEsKQ8+(9^6 zwF#(iYH-9w9ajQ<29RPaJpS6aI(e}C>mbf^VUL%;kaZaEhIf-V_rf(*fJ5Su#|$sU zH>9$GHg-z+7*mmLt+0Qik5VA^Ovv$SWQH=8r0oVY`NLLF%~Q%oq&V1Gs?lBt->k{{ zvVOMHMh9Q13AAEq^0=H~gK2T@YJC@#zRq1um8X`bNfEKDS+=G!znrfbJb8HA>o10C zZ>G_yCc|g#gjC^_y~EBR2kv3E%);D>Pg^L*NSu5Ds>uln9Z5-hhg8)19=+qG8BokE zU!j=;@xKonn8KulHh>{P@Hko5qo*CQM*+t61E2Gu(?resu>mWi{ za@pM*v+gH6{c`|0LmZ`{C%D2FS^-OFhEL89&t`6NhPX*4-@X2D;VKke%~XWqX5j1$Jbzp3YV8M)52;*ot)qIB%)TpnIF0LZpA!6ghw z;1pPt;u8y?s+4hX4)JZI={1j@`v@%_jWEWyAX7PDCJU;N2OdTSLn2A?%hEsVt{fbn zAMYn$onT_-A>Jcoq^L8w;+t=aODEf#1X)O}MRX!Rmp3mQ+m3tJyp17DjtI9cdhP3; zCtI~?)j0eB^c%E2cRIRw?Tb&&LU^$to*gpPYBiFPR%`$*^i;Z?7wMEUZQO2#E=DG< zZx8mCryLwS3U+9-C5DvwLpv&I9El8|rzafm_s*V=taJVGi`E`)ckUX-3)kd2kDxkC=%$#IXbuS&xTMhNjyaGap4ews)RAoSTT~LpPr8+D}kex zRjf5i@JnRW4Kgr`ep_*WfP-K3y?ADKSW6(Xtqa3TIJ~WGH5(wzN8Po;j`3RT!?!|u z3A(KwHx%&s^Z0rj2Sd!t6S@b+NX}$?{0kUGxs4g~mf{X*3#p?oD$FB%B9Y+{JRvYc z$=xZaMRX1!F5x&c$OcOIhH!_-!r&Q%;p1-aRyI)5$z51+=suC$J0k?P2WjB!mf~& zwWQttw}HnzOYxrIrVE0`2+i2is^cvMuhZ49 z>S@gf?&vI4;8QHtC8Mg zCRjU`uK<)u=`cDu(i+}YO%N|rb`C@+8$-6RK$sjYQS#=TUNBln@$iEd8H#+VmM~cq zOw%yK^qe_ZS{D_BkteEdzZl`R?5_CZY1{cGl@OJl4mCBzvo}2k^0q8z;Ed8cfH45L zeQoL+)-QM50D~5id~}s{#E{hK;l*Wi5;F}icK{J@W{*L`?3m-sfAJ~Hp z0Zg~-q_n`}SK>V(*)H><^kISSlPz;z#jfHK4n%U$X7pKw>9gOv&LSfYm8WkmgzOxI z1*+42f7V+1OnTU4zi+0%i-EV@`3SRoX9~wK8fwd#=aG?tW0smSHObld*VpP5AzWq( zAjI$7a+f*8y6V;FT_rPpQ%54kO5C3NR2KbLdU*XC|Lf)X?Q0!H;stlt{5$@Z{P~lB z6bx2?!P20ON~!%BSQK29IUucr282jb|StQLxuWvzkFkc zuo1hj>1|BU-Bwd4y}wU>$8@>C#C^}?==^)|%Yd}ykGb-1jxD>)zphzJobQbQuf*Hi znTZ8@5yz44zSsrpn0;%Mr=F@wa?-Q~zCC2aY>CaQe-nYW>=UN(x%ALFdyJU;;~l^E z<+1sPUAxG=Zne88A?inX6h(2KwsqsAx{MI&M{pIyQj%-Qo|4xRtJ1PVFcnL-N^@y^ZfPb_4Qs*;ki`{GjZiG+=~k$N>2zPijWr~$kg_j<1a=L z;VS=WSP!_r5QG5KO&txUyRR2f-WqMK6tSdN7z=rOz!5a-sgUJpEyMH->yxfhYQ0j|mj+s9LO&Xp zWh`P2H|*)=$V!HDev2D5QaQ$$OilaHlg6sBdaVgdFYkFxuXTXOyt;h38nU5w>Y9Nk z6aQM%XxI7XG!68cffsM{sA(pkX|x$%#zs}fMoPwpBW5+?KOyMXT13qM5tJ)c;YNRJ za2?kYEE$6q=xnNxyHm6@S2Ir3*>yTrQbxsVlKXSf-|E zrIfLl#mNOYCzw&qcd5q~z}BPHtNYTcks!x%7Z;*gUP4>6rcrSWe{7p<=_#I}r&iRe zQBm$c-z>+)6*sF{UZK1PrX^HTWVB@CI7ibWbxwzGX)%{A`#>QSc1t%aA8!zTt6RiF z!MrMS`Xv$PypW3?K0>M3PAwTtq5^}B_}f;|s#=8@;{U_fJ4aX6JpaORCbn(cwr!gy zwv$OFwllG9+qRudl8K#(oq5mmeSY}kz4xy5sjjZ>jXJ&h^zOa8x{5|nm%40~0>e^f zM8!!>e5R}&>u%w9awUL-0t&D|S@okm*}gFoF{Qc`JnwS{)*2m*Xe2Pkv|o}XzXZqW$F}rd~@x^ zH~a^!0UH4eK!?XMOxAN=O)?HD(y(o(V7wX#n_|tu)*hEO&D=o(s8$mnW05E(yt&Nv+*a& zHL^RYudhdnrQAC`zVm$MOlTAjtIXhIQKIV|@m2&7t!bfaWvUgH?%TRlnlRBqbH`dH zmu{DEP;rbsqSx9iK(>_^pJVDTJFvnP(jJs2=ATO4wsWUqp(-AQhNyXy{8l%VZvR_j zSBX-i<)z4BfjJkbW}l%j71cISQ5v>i;#65t;Kh|~V?%9-5?w)iRCp#Pox@S#QJtk~ z)1lAK+GTy{y)gb(c&EeTzF9oGIEbaT5qxBRYs|CJT;o-rZL_J#udT>oPe({!mf4!a z-bnpLUlO$@Q^8efn9U`?U)SxTxZ-b$R5Y;_7e!S>v6xtHy+ za3x7Mm=X7?)0+LJaA7i9(oC!}(WGVzD|W|--F3e(g9%IH!xKeokCSHoQf}<9Qo)jo zfs)IfwF{AD!(z=u){`{HAe`oZDGQkYmwHeD3%&btN@udgE#Idr{!O1cFOOX+ad-BP zTlG2CgQ_OsD=K>vDmPJ8?K3oJT7+g@dn@Za8qK~pB@0BBDLhf|bS$_x(sJ{@wE#`b z1xiMQF^GI+qk|X)pHeWRRQ)b#7@!(o*41>WMQYw!Qf6~v9vD{6v zEv7fEe-7UKH^nlII8?g2_H&Rl+2vj5V%75$e9oEkKXE-#Uuxvb>ngtXyQW=}2yp2rs``YlIcCSHosJ$;?euQgXm)GRalK?emUKv+ z@V4;ypb#EDnw?(?3@kHcuwhZFTq)c+qj1)=eP7i5*_OHOn#?e1bG6r;#$DAiByWr6 z*y2&I$G2WXkh6+v)zYeJ`?Kxbfcp{EYNac^g6lRd1+z5;lb*s(xb%3_+)Ckhj8l5DjTt2f%(%2w64LEDOu}i-zJOgT|j=Y z0>}sh^W`_sp^2%J9VkJNQ)JrcK!BBaq#zI$iwsyGjMEd1e=KhXvwCi`<~ad#hF`qR zVw+7r`(ywvPnSn^Dw){=zDu6eELL-dn`3P}zG0K^Pz+_M#(Q{BxXZH4$_u~?k+O;8 zkx2n>L9f+jBa%x4djYZvF8_0^Nyns8N2uC7m(eK7G*5+YSSxbjpmcidle? zfOY&|d^j5dl}!}6P7pbcmjg#miG+6vhiCoXfC<#zJb~~32G()dVm<|sWmwU+2==f$ zKxmPkNF(akJQs+&qfciQB(Pz)RD<*79ZI1@GVFBNzfFp-R!Vuq_Cdn~s~Rf>wv0&3 z%t1O*VV4eqH?f7J4HZBghZT&G^4GK_mfVwztlH=DOTj>k&X3jDM7 zj7BD{Bsv(0QcOkid-z+UJBV(hyQyyN|A`PpfaU7qCj^M6T#d8@|2Jeej90q-H=Gh- zmo!7+)YG|)pq1jGZzgxL&U(0(d>u=dZUZ?x)PEfushjA+cMH?WN2mVu3q8AAk5mS> z@S0ZWnXNY=wcP@LVjCaAU!K#qmxUXsSke8H7R-l7H7+TkEVJyHhRi7x{ILxDPrEqA z)y3^~6pLvLmg%UX=p1+23?|ZJr>JxcYb@V=bd-`K^K=YNt~`=yuC~;zjQ~5Vsq{Ju z&gH3w9kMZfhM|9E>kLyHtYJI_Qi+{7<%m>b=wVqVr6KDoTto6OO~0~JpP2d1hpLYs zn%*|%&aH&1^ggQ{9-A_k=4=#+B+gIL>C7}s{ZjycHmEW1Bes&Mx{utt_quKrs-^9P=v1pLC2YF%#q;5P3Q}e2wXs5PUlnYp{-Z>)}xVeEd~BKLOcO^%+z1bG3gPzEMe~U$dnS z!z-fv@{8LD^H9MyjH6a!8nd%{-$;9EVz{!>+Z;<^(CQ01eJDW)swM6Wq4sO53h$9NLP4Rv)I)kt>m?;sUT;Hus|1p4@Za&3|9V#f@^{T0U)`^FgMYH-eKa?VgIZsh*w@V4X6w}N zKi{E>UMGo%|1s>_K0RZ43M2n4fPSuio_&Gj4Ai^%sdJO4<2ZZ!Zm~zQJL?BM=L3k} zO%TI!T0=H@4BD*_!_pqC&m3mjD)^x-yAm6H2V&v*j0iJr-1@rqOn`aA>ek7uO-&ipa^jU+BEw z*}R{^f?vaeAI74e_oCmgML&@xzmg?Cm}NivWxs_7`|nk#$j29TPkciv-B4!wW_?q( zeSdBH*zEeO?E13o`sVEV!0o5{1J-1@JxywB(Plpd;~!9+6p*0*3h znX~{-1-apWOS<(Tg@2721+6jI1`oxfB`kEzJ0i5`zb8pfB|^4MtUkdt8gM{t)N=i> z)1nn=waq5p!V-hn=v5^hf3iRq@j*QofO*Uf8NP7;@j$-p``@A**S{hzKMaC!U*hLk z!apb|J46O5^i(GH6rP(WmftGWcWSGR`Yy4H&{){swY%CI2 zStz4}>ZC9rM77gTra?(~VOq!qlgdUnmWZI(RVka4_R5~+sBQz7>1JYpZ2gh`RDp4& zz3BxJ24>AlfFKoV}38Vz!Vpb4|@2MNqDY^lyC24un5f7{ttb$`W0`l+%EiH8ApdukuopO5DD{`;jPuy9mZ?iA(z zf)Fr73UnuGl5?ySWRz0T9N&}*+mq7ToUBd{hx5%2pfI^I5z}Fsokcg=@h{_9F35Mo zM0BUyQ*HzMF9tN0GW%Lq<)i_+F54*fbvs%5FXtBWQ(A&OPe4y)js~62C6b2OQtzr4 z{uPOHXA8Z{<8zPJMmC3fzRgS=cP9e63;|X=y`3?2%T=~ZjUA8LxD4U$286hD;Tm+G zI*3?Snes!Y^|gPcf`RF-jM!C(EWIj&xWyct{~z>b79mO;F^`c zYlbNsQ3ak41}!c zH|iu16Z8*{l5Wm-rmYN`^STmi%;(_fF{lGm3^yxD$X^*MBAyFa$t>>h^!TARM`~&| zU8HQs=<{0`87vfKZFH<=vWkvc=A$XuJGJ96x(r?t>D%hQi9)`nb{JHv&O~4r?Sw(@ z+iCs0j7r7?o;3AwRT$c13UJj2`#AzErqjcnjpzSa_ljX=ZTQdXS2Hj)Bpn@DOt!YJ z3&Co0Mj8bbT`tzj+Dfp`$L zDD9$qs6k|4iN&-34G(2iK5Cjwyl)aon4Xn=|Gbb7mH8gB}Z9VrSr3v_m%NKm9NnV$Ve`VY7ZJ_erI_s$88&ajfTV(BBtf z&?jA5JdS&X&Ui)>!sbk&QYv3%hT=eu_V~DT4@&x1%xVgd8=9P}`rNBoR^?LE)vu=h zu9#6+-OH!T@O1#%D0Sf1unoIAUvO|6ZEdSn9!|-jK7y5s7 z>!0K*l`@MyHTX=F4Ibwbm0DXcj%~Y6TPYpqnw2V71?UACrT=cjI3F9hw`G6jz5OOM zK0jlTUSkRz?q@Buftaz-p0ik=wy@@aGDp@8cWG8yEyBOTm*Cm-j&o_ojdW?=gm9Vf z7y;X*~k z$5OrDAk&`pd{&}jEbZCYNTQ)iV#5>|Iw8mdx2yuO;v9dU4Et)p7 zTxOV=F5gJT@z?58w(Rn>VHl6`k7cdQPzmE^oAk08P1&AJ$?m1d#Zhx{)A|+-&5xu) z?dNH=2)!om_J;65@g+(nRo)8JIv8u232>%h>{)BsHh%vF1B81K0g4OoPlHe{+pp|Wq= z= z%lGCmF=!4L033WTD)z2wDu1-KrNi#*n9_RrMgt2NO8>i{a@cmwr<(6Q@CrajTjbRS?j?AL%TqWjM?4AiugfZ)XUh45k;q}P>cdQKt$*&t&@ltzk5 zO)pvH{ac2LFA}gbV*ojRhI2Kf5bE!SxX^=eF8(h51 zi%ZT@!j2kNu+c~ku+d>!$LO^>nrsODM{1!}m`?~Z%M`jCoTC6M$&Skm6^8&zdHiI3J^+wuxlApl2|(K(UQp*A}0nR3%2CTk!BniI*Q6J}?RB_G zrbP%qNca@YErEFA`<_;q*wCbRoJ8wnE#E1HNSF~{r%1lx`qKUJD+>~LTLjslR}AAy zIoYH9mT!560CgNO60t8O0SV0!H-0x?xX7+Yq+oTg=)B{5u*!w1<+5%XPRkdCq%UX& z%f-Tuq$TEz2Zj*1m|Db#WJvPZw_6Y2+P$kM{ei8kjvsAXt|bDJuZK4zA1D5mLh0-= zZCiejZIdjy4P3dqT)9teycY1Vkfz97A=`L0-dQ`8f$m8c2+m@EW^FO+bq^Te$UNf- z)isshyW0XJW56>u=zUWb1<~M}n&%*f=&#rYn5RTNQ2Cn_l=$A~MiU*$@<3|^X^l+Y zZ~zxIaFIGUgwoSKsz#>AYPVo)L_t{TuZ^QIhsU`N#WOz{Y9 ziyByxcKB_MhCzUp_h*U!6_`aqxYkMIbX$p|5qFS|?pWwUp`cw6)N?(2jn>3k#*N`L z#_A18iMP)4eOmc$5yQRz&2xRt6`d&a)JKH-8w2!%A%YJaM2~pzPC~umNu=%4$m2p$ z?$giG{`0eui?)-W@pEy2`~4_HKp;d;11JpdG&ri8%gEukR*1ZlA2_vytZu3hmM;(S z?I%P2U7w2I$pb~eiXhTZwPlPMf)v4`i<&znj6Hqaef;M~B&ALtWPE2PGC&6F*gcjN z2s_W)Q$k|>@O7d`f|<;~U3x)AHt>+|ocE*`SRr5pbx~k*-03;9)2EV~)}&w_l1H82 z@}pZbP{~7+c=5BA=ZoJcTkKQ~l2!fd6b)qNl@($`MwXsKs5b_zqpPxiLTF5R_9p4a zVNXDomvM#Uo6mTUF2O`)&nZQ24XV2(bqL!8J(54^%PjZO$_&;d8)T`29o@et%+6d` zF8|)H`Fc8kyx`kdZk#~i>*6Ps5dp22k$}k4ii$WduhRBFjU9Zm@x!hvWh;q3%LGZ1 zfupYY^IL*p7$J#`jsVQfzq2b}Ebs?2W33}mjzDL(fRHu=X7^26qAu}S)-4py^BX+t z^&^h?_66I2ERt}z)Dc)6MsUryhdIW*$R>$tl03DgB>htABpO*ai`D3J{ErgiPHB)` zq@^BusNQyN%E;b!(ERfdk0tDQmoZ&)hBi#&zmgR;%?7FLd5eJ`A0ev|0r4`#S1d3< zDX4jjJmD!l0r~PwxSGM6vyt+|z$)I2w;R{BXb8By_rU2^5#?B#ofBX?w}`go!^?o{ zYMWftbYVAJ8$a9F#G|*}EMn%`+Rml;m($_zrO77uQ1fjV;2;)44?6k2wuxf0bl}h~ zveAT@M~{`iBX)MI!#+8O=XOGU>+_^#=N z&ifzu9I*S44WI;0c&}|F6-3M&+fC3DOwi{f8u6;?0pX*1!Ov83-;K@-{!0htV7MOk zIN8yDv1jp?i(1}OdTbY)|9kLBkpl16=$?${pJKlDMFRaZA4L0;j=`K0anIa$g@da^ zMWe!SV`|PZCQ2(h{}@BNMF2gto@MIO_R*i&L;MaOQ2e~;s{}=%b^m5*CYLj>UoK%& z_KCpO3l~+4KVrr@p&tDyV&=hIpbr63=clWikBcwVDQ#Ev$ zA0X0+`4m?S6RWoMkGTDK_B~L_zVD+8tG3N8yIWk>S9s4)vA%lmJ@yAXtG138Ep3my zz>sHlJ&3J_(0Y+#y0e(Y2U8dCV<&4jOibO5%fw}CQzuG>y1qvW=P>W-agH~B>JZ?7 zS45B;=*t(nEH8f_7KSAl(ls?M8!MdVCiqPqs4Kg%EBZN))`Ne+t5-L>8townNUG7Y zx>(upac?!usnK%0SlRM$Zfe*ns4kLqd3W=J-qKT` z2MD{e6>d%#)Vb8b(!B7e^*kwJD(@i3@KvIQ=7WkQ&~f}p!`SsYyI_{)@kva`6j)JWP_RD2RxcL*gMrnH{~w}9qevGL7RmMM#5_t}v1Bd)o$-}s*24G^ zDq&E=zgg^e(KKkGa2I<%a>UwVyc_ZT8~wgF_S~Dl|Cw-kfGKCC-JJ)a0+3_l?iW|w z*cn7_bfPx7Q&?WePOpB<{V&YtgzaYzb@4Je2-$ef!z_6O!QI(`Nakf_-_m1Kkby^7 zj>q>91Ahdc9e~dc#^Hu$b3(M-!=LWwNe^;m20t-|njMhuEh-4I3OX};WnrJ^G=8(Z zBSt`It^t1}qf%W8qzPq(kw1f%Ka{2lTVg{SVA}I1sE;ajf%jtSfM= zn=+P53P1@H27$ZJ4mu1@B0bb-=B{{`6rplb+iYqkh16u;^LBDca*%LDd^ky?a%1BP zPj~>OOOb_Z&#w>NHD2oDk8ar~_ha|1&1ykHwI_3EMV*mCr{BVUkH*tEi?N}3{bBpF zXSFvl*B_i01T6%P6aYo|0nh)Ek&X4wFI60oCrtiF)4vw~XeyHtl+2p!XbsFC0)Sm4 z?@_OVkGFA?gD*9R#X%#Y+Av)Gky^7WRuWZJ{g+#>N_)j`PvXL>Z2AuFIJw zfKe3&=wfQ1eC1+_4Zm3{Wv02e@tQ?GPe~}fSQ7!1pK;gSq=#Cv9mhOKTeOv(KE?zi zQ&U!j%u}j|NS42-LZijTinhlWI}G}+V_4`CQ+H6jhZnI8Z=9Ce(#fcXY~}XhFOoaN zw9ju9XY^VAWVAlq$}K3bpvgbCn`zN- znoC&14o_zV=T6wSLm4N+vDyO!Ll(-OKiFKI?@Q2ef(&3PcdYc85HlRzhA!NwhPM2G z!s#Efg7<< zmsV+r4L4u*^}~fwR{0L!{dWmga`O%5tR7nsGk9FlfgA!n&qdT6$gVELXT*ae;?=T9 zeJX(#Vb*!>RVT&$rG)yC zkI+=A@b~xaPx>_|sOrAFqt3h|-Hbh?@OPcsZx1CW0clesg-@@)+=Ql%%ml5jtvWse zfQQ_%q`+-|j0kHL~|fuSG8MA6XKZ|4AIgwdu1#00}G!HK%hHn$k$L8~Ziq zVv$s{2COa#y4nO*aUeJy1f*RAq*aju>w@gpfMptV182ayGj0?Dlfs}k(38D@v}o&m zdxG*^pq9XsOMxMgQ4rin|LomZbwog&dkRgt163gBqiD}NB2799GWZ#E{1A{Pmtd#k z!SMvVdIAwMj{Saj-jOIrN8Zt(uLU%f$X?{XeB@;jm!eY|@ zX_g9U6`)cgthg`0GDL#Mxlej5;vr5`GJm0|(6joCb+XU3oFiGA=exJ}p9BV1KfnI^ z6ySBCienirTGkTDRps`@OhLHXJ5eUJe!24)^j-T=e_w1%GyaO8E-|dMQ*%M>X@J#T>GzX#Ds+>7M-1 zr-I`5gvt?uA*W^$P^4J*wD^LiH>_a6zsa8D$e6JOyTN`3`ArXizl#4rf>KI?gzuN? zjdFpM;h$KgncPuE47)R?Zat*Z?fCAWWB7Be$>qaG;PZa%o~;D|ygLyEwEGcASiyl& zZ%+fOu=5*cU~XSAP^aLU_bK-i;e`ID1L25*&Cdl3;XfwDI5=NAbAGkJo?zAxE*^ALZ#73X6sX(F{5w8s{5Ksl+Nal^oH-M{RjaCyz&KV{>hh z!~5?e%Y9p`&2Sc0GQ?VrGT#2(33s$UBpWK5c(NL(Ts;9XGAm~CIPfK^sPYX*>ass* zQj?5jOUTnvt)-nQae{&{WS)I|Et`_V*GTZw6?*#wMZudg5aS`NpPoP`a|5H3;0Ov( zn!J8hGTY)oCuqN_W@x_}WJKL-256Q358(oeG-2(@5F@k!9kJi0s++eZ|CjJlB1@uF z0U_cQ50a!o`l&n8_1+#-2x?x0^=ot!khRv zh(Jj}EF#;xNrgm7{gil5NbC6^01mYe_bI92apZh{w2xpcM!pEvEpF;oF=LL#BjNSS zxP2g$!uiYkf0%UxnP~9W&5Lzy^rDSQhzAL7ta2_TgtfYr;jj}QO^qo8l2p#{1vV(I zJ8GRdg!QuV+Z|DX3e_#Iw5Y9+Z;r&U^|ffkF=L2z?!xNnYmHt&9^pEb6T>s@LfxEP z)!Tj6^JxO%BPGU0WSerE{VLczV}Iev-EZGOhH4o&`NE*fsU^w!l0PHG-ob+MqFDv3PRv zEYu#=4s!vg%MWyp#L%J;etrPYfQhdw&)@#5*}@vV{=1EZ^+v9LG{UadSbgeu&uzu6y`- z{wFV&d}CI-Jc3v*5&+5@Pgp^GQ?P2IMa0%^iF>}b94K?|N8WK|Fb|M{*HY04MQWt z8eGWVsMc1>_c#26lf$9He3&IS*WId(SkuwMlYm^)k@F+`Oq!HP0K-ggFxazhra$z} zoCZ5F23bNbiuA^}V&}tTc#aF2xCYW&#IVd^yc%27ywv&N>b1HqpAyqamwBx#tLr;{CUUe*THl`h^I;(kO>7KxeQb&NMJTya3eix= zDs^)~tDO?0rCA8y&533)t~yD-Nc2V4+_FIO_O-KVoLKe_?2#}a_V)hKHY3ib;Ovzc zW4%c8?X%w6BJAg(UMFU@@9N8itF1NV65Uz!!bO-Z9uDFRHdok@y5c9$Wb8I7UP)yg zJ(M;~JP##Y}?5ftiYis*jx~*&VYSyFc{a5eQb>R)XMR*7fVM007 zxMYYGXC-JOisy@$LBF8$uQW(JD~M;5btz-4pdj);U*bf55&iiz)!zQhd&n0CMCO$B zZL+4qh&oqyuf{LrO>^K?ILH$q+yxd&&Kt>wPuVgY`01($(5355)GJQLvK;uF3!y`x zx%5A*5@_+7QNW`&_^Yr_2aa(jUV4H`u3rhWKoH!ld0Ed3Yd+UhqF-hcKw@nu9B3QL z+rz+vK+7_--hx+4hah%ry**oyplW_k#6aI=4?=@;kk+D#8qcFU`=fw?V=yr4i5mAq zIETW61#fcBZb7wRw84`w<6`Xp;2G5*;jWxatZHo1K#5lBq`l}=Ge|u-!mxAJ{8gE~3j0Jj<2W2&+NO!2 zK={Pu=D^lIjbKAm?)G%b_tZfYiwY$Sm`)X!K8Zi}=cD`sE^5mh*DeW#*}I^TXJ(1S zo2nodR1{v|-oDi+kGgz1e3Pf^ zPTExcw}vUg9SReNDB_t0aF$)#gOq>JAy&A&I6ZKs55BXy?8oL+_U z1ig%6E1pv@-;*juC9DiA`b#FX6KjlV)|PEVENYY&I%L8~@Y-31|G3P6*t9g4VF!aq z2)7@l#i=X(#~Zf{O?Lt$Y_11k=t0A`DTj+_&Hs&Grna(P-3E~KFt zMYdo$gE%CZQc03Lh^5lV133-9y>6y=;6nz#@S8j#u|FkJf?zV0(qt8XZwN&_iAf7c zP(dbTu<+$Qx$0aB%sVx$%1@q>iux4>xcy8kMaXFI6~>_FP;J7J z<(d`jf$+f+dCLMws`^ppV{Tg#E0ZTsMh}bxDyFX7D%i3N) zo)BO|r|a1fJ#PSCl0HOU))2i6W;gnzdcw^^q+NxPRnAbq7)0;w2w8l9i?Gc?0>VLa zBw^+s)r7MgnSGkV>b3{C2tU!K7eQ#vA!A|{6pE_ErSSu9ztOMoDnSlnQTwo4%nkWf5T}Xrk*-Ky4 zV@S%CoA~7vbSmD-^GCS58HH?a1eDzF)g^Z-hDXB~i0`+0vB2LIHrKXT;GL3_dC~5m ztxcWAjAW3afWR|DQtB={6kMMRazap8`at29$8r^WZyR)L?;x-(Qso*B@=ocaPPPC@ zKzaIrBNl3`re8M&nr;$%#{53;@02E^K-s)!!&*?Hmkh%ImL+e^vthm&`cW!hY!@55 zPv87!wu!U3Idjh*16)JAE3Q6$5|jfxrg?+6pO_p;PE(n1h7qb+c(nOZ7PVUt8q*CH zTM)*O$9L9sFsjRv{#d`JV?KW&SA0Sxq&VEOz|>4tTYWyTD`YqrIk+ zo)l<~YRyVM3khYjE>(58vlpB>7745+)jCXaG=eauH){zMws^cIJS{$+{P&UkKw}%W zH8_EYVABS8cCEMEKsE2U2ttM>LUx;#ZkUH1-ZRnIJF7R`u}k`72_kW}*NL1Ehttx& zL==pB`v-bXE{uqeP#s&DL#A~3aXi+H1TJ&dGjAyk6Lx`QpHb+A2YDM#BJrL43U_T5 z0l6ySc7Ao`nlc;pu0;+XwP#YLIrFHCaw>3+O01_ftmUfUa@fsjU8|;ADmPA^=o>pr zFExe%zHOKg6RgchWo*=-O@}(-2T8V3#cG3bl4<%wY$%ml(??^HstvK0S&upJ*I?l+ zGw>Hu<{H}vOM4F+27RTws&Cc0t?ZTto{+zC5JTYT!f3TJlDUJ~>JQJ0d_&R%iq8Sg z z9!;+4=18s+VWzuHst~#}ktsl}8cbjyr$$DSVyIDGi8u%ZyaSrD^2B!*VWznfda@8& zw!})U)H-nJ4AD*EplcWSD{!P`T7S0LKLQdQyGh)DMVD^mW4C1gbvLJU`wZ zr7MV!!2Nz9z6_r|*-3y;Hh_;dgG;u7k9LS<2F_V%E!kx{<{aH6#tyb}r34qKJHt{U zPLz)!*rF;dQZyW^rS$09?9<$S%*9iTN%C$cxz_|8TP)Sc_`loFm7YrJDJC!E@b(Pg zoCXg%pOEI5_}v`&w-Nw!r-lrYV-OF02XcO%YT$|Pq;j7v$_s(qq*GSKCh_5L?jNl^ zPla|JpH)P`2 zv0fZu-8R2$@LHak;9pYukV)zZ-^o2s3zucxStfR-eDw0E`!H%Qz>5su>4JA9K2dk~ zy&@c)`9lWLjfh_JVjQswQ1~*r;w3Mwe}UjW{>_8S!eOC9tdv1C50RY4jnsL~+MP36 zdfz&E{FK((@KsV8EN#t1-oRZ{p;Oa7bkk(p2Ox1#9pGD>Ur-O8{gfJ$n2nuN1~ zkH`2*=?yL_tdZ65GyLYKQ8eWD9>p@FrHW|bE2|{^>VeeMdx`5=pRx5Mx)I(=<|wN9fp*So$|YUzvZsY6mk2c4v8 zO`THJgqSVBoia;B0z0}ZR3>h@mwbhhc^qJdM9wLdLLEl~v7GgTW)_oe0tOwY-GD#n zexN_CB)XtS>NU=SXj+dCIo*?(gxL{A)Oe>fw7>cW8M_^P!t;*MVr>FCIPA*+T+he2 zzfba`J3ogX@=jz2xocYdp1nEus8gHBn7_%R-bUsJR6=Gjc~b0%&WuR<9aqe(PW417 zlMP;S1#^;>g&F6*5!wzKG96wM3LRdu?M?h4x?B@~;XGqD2E7wA-6^1eNfcaR6MhM2 z8upDj``8F)pAEas#I|cJBL2G|h;<;8{xOm&3a(Rzkg9}r|52RSnJ=k~QBW5)B6+JB z*=2#4^=jC7wX>T75qu09^upsnKlkTEdnqB-TLPT_aH!zEDA_rnAM%Y7JrES@OTBwp zSXGsM1q5M~{Cj2eys`Y<^WSek;l?ato}W^SC}0u_LgHB7I&xa)N-lem9x;?nA%R*$ zF{i>1tF!&|=<(p*$EU~dtJ+A9wL0I20nbDE52r;%sQ_=(%yf`z7;o{JKG@?K7$6J{ zliN9{r;-Ak4(;-HHiXWb{zBUg5|*y)oRf9Lk}<7QBt)9n%}YEF~w46;}h@(g<1Ig#L@G!Xt6rdN%jN%0T!-xIn{f5tI~f zev@EYsupBgeV&NGNCJfQHB!X>TCMveFItcfPBqxbhJFL{vu52iN0ZwX{r*zp&(IS- z&Z#Gz^%1Y$U>03sHKk&6g5P)@PUhvn0JbbB2I$bQUAdANrZ#L?oYH)3p9NMOvVK;st$(vaLLbFh`= z*MwFUFkC$PwNce&mnKW6(MaJIbKqP&1{d-`Jl{C`8+r^{ z&<|%)WoAW)H*L+o(ULkF^1J~?h`1#DN@2{|RASiIW?m7$S;Fu*gogi!!3&N4Q46l> zg4*Hu(;I|@;P-I#&A-;Qp`UYMOayCbtRx9O3N4I=QG{)d;V8rz^~*tp=bjFB3RC@+ z4jBH5!GUl9lMCr%-Z>*lL%UD8)uq2v-%HcY&)fg+%g5X25oBy`id7DeJ+9o)?a(rv zP{G&9eg1bqv6@q0E?QPB^i4MlGApuXGW55q3Gfj2w>(H1&H$yOa)|QQERM=6%h>bd zy7D;FIzIo!+eCM0c|C3IUuSe6d^z>;+h?t&)gF_rW_i< zbHfx%J~h9_UTIT4G}te5)`ET*$#N7pdCFn1WSEA2b5VNc4CH^sGfK>SYIMqESMJ?D zO5$Ljw1yvBNzx!bN|HC*t4%ic%|9LkIfEVFx{L(pR~PzWSiJ+$%oRm#WZN=l?EoF*MEn#ZcsOD)-vuA|5Q)K{J_V|4W+4!n80#NJs^m6kJ&2syQ zC;o|nKK}T=vN}7FM47n~0(LZ3c%U7H8k-9Y@<1~G_weQ#W?{(e(__luul%H==?76z z=oWL;iSGvVa(oly)@ScahfKZ{?YHdNQGeTWg_huP83!rT>}FPMjNf*Lb3E~Oq0?U~ zO_&=h&6x?1=uBck*|Z8?9+h*y)JVp(UQul}?4OeTJ#pB~S+k9^9?Lr!rpr~dBr<~v z>4n0ykL=7!rvkWwcIdwP}muTyCL; z4Z_|IDy98?3bfy__rA9(gMO3E{fo7hVJ$hUK`#FpcIQJ_cf}RLYev8?DSgblQ(8+J zIbahT_*EAEmr3$qy2izH`RATN=ZJSdA=u@>YWcama?zb?|FJ%^hg--jw320=YM+aR z=v~o3Fi$nL*L)ovNAV}|X%iSFqQ-u&|Fn58-*~Y9?~l63jH}>u&@6`g|GcI!6T9OK z8F`~Y>Bq*vtfD{5o>DxE7+=6zf!RTiy&Zqo)pC5Dqg}lwCV_BZTG9!aKWVMRTa)>2 znS(?~stjiU$$NpN!(Z8;A7rshC*Fm;qPB{=lAMOSqFE`KBcXjoyA?{4Dl=ni4X@OE z3xE0A{?R@wwA1SU0cPxfQVwS1@5Z>;HNHn$6deI5NgXkK02P)X0%2#=k@o2G6Me4_`pp-r+mf$bg^6yn z@p$09cr|tV7b8*?6M*N(#EqD>~T>N zl2nT-czk7o*u|YSLO%zdRI7{`w1XE?qE=DFeY_H*W^E#Wu5iu7aUA_SCKDXSmHe&M zZMU(L808pQ728PO$eR3VboRsp_8nd=OSR50IR*@DZ?HAEV+Vc_c%CYp&j6N}CeA~# z+YIp^DE{>Kfu!FE{{QwyL##80+-!9ui22YMSz)Oj>Z$8U0;*8||k3bPfo~ z>WojkRIPT?Sd>W~f3)D1yVx06Q?sTY^Xt{=R(9VU@bD&&aPUU)&Df$NTaZZ2l10qz zSR-N-pcX=$C*N=sIN@-G=s3yc*gMO~hMZQMYdm{+?cH)GorCT-GFEd(qU{u15o>9Z z=F$=?o46$>%|-v8RB7%KyR7doAcpD~qkij`JY5?52Nc%-HAWN|Wzs1vVS$SM=L|KE z4$Ah36VcHA7RHY;>|^KaP&4xt^Z|WWqE$Sk-lasfHz_%ei${u<)>NJc+S1`oiVLI) zjkv4HWXU+m`$}URGzs1sFdJrx@YE9GFk0U0{>1d;aYy#qQW?rP+89*({qjNLW$Io> zXlu%_dbC}v5m3q79}B)0-_&dk;IkxWt*uLiPdq@>L{3^kmyA!Iz=o<5O?9bp$3&F7 z%MSj8nfpWxE!ifW>3X>a1Ltz7O7?4hDV6b_JjtpR=!Pkc8UfMKJrN6BrODX<8&fWs5j;34C#$AI3cXx;28r)q% zaEIXT?(Xgf4IbQryC(#9cZa|QtZVu5(KIsqPB{0&koc zkbK*pQlfAEGtvym6KVskf@YD@b4^ zA;te-#2P&F=PU_co(g18+Uw&<0 z{sO_!T;zerIgT^aTL%^WR0~IrKFeZ&(u7=G)OSJCkAd4bj!`UTm08XybCd;z}1d291|{+EoaqNxcaa5qQT z8zaFKf@Nb8`Ew}ip=61b!OxZ|8sG-Dq}U;HNupKmH+1%SigCTezBFa4Sqq*hseI*V zZ?96S->*Q=Sr7tvlAqiXGjWP4m1at;5Af8TBk&|EAa zrkYu?gQ1`N!s6t}LLDc3@I{hsSb?Y*Y7Ll~H#PJEUDYX?STy^dV9}mav}UGSN z77hQTlYr)NFJZLC1Y-l2P$?)tksJthiLs74!v>GRWgYjOUL-Z9RNjxeVVDqT!{C3)?yeCDge(3mgw z#Cr8njw4KXX&psQ?1t<;8`EB4z({`7L1}*>D2(=PFG?tqhoz+1KSE8rN4y>x#6Y5Y zWyX89B@-9iG8g=6p>n%cv}w!YH)Z%@Aoe_c@zMgbavzNiy%J~G&seQ&^>zG!tZa;> zIz%#{shIA2DkAivV?mD&6Q)+w4~T0)Rj9M(>Na@2@Gp5dOt+2u3rYQNe+dmvEZXB< z&Cf0nVD#J}tui0I;;!bqmOo}Np}eTCFJIIX&9s&}*%V)Qe_^8|rqGSGi~L;fq$(b{ zRJ%r7pmNj}lcXa`>|G3AmJ=Y`KG;FZF`C?xDw%ObwLqh~c7|s*d=YeRKOe<9r z#kQ(6ec>!yI;6|CJJF~ImF(>l8gldxv-B<}s%kwZN%WS#4pssuZm z(ddtFot#ZF}0V|db~XDB-TE>#(lkW(IS$od*m(lXN1~nuT><`-ca$+ zZ{4dElCL|yT-Qhw=-y)H31chc4P~gMX-`q>7sscN@owoWUmd4*>a*qkoVeqpt$D&K zz#w(N|C&D86LtWLXmQfc^nkTrRpAgcY$t5p8?Z9qF9l2J@a+J(22VI=47O?LZ@BTb zPFXK5#KztDI-V_FFCDBh$#yZ|j^-LkO+OyvgkH^pyf6 zTqs5I=q=9t@WR%8*m;pJVKKyDf2+#z`c$R+)9B16(KyVF&;f=*Q&ZO1!RmW5TeS!b zCZ&`rn0?@Fjo@7wnGNtf<8s^t@s(*LjHJ&C(6d82t9Z^exl^s>ZN)i0k?GBorIt=J zWV>{Zt931QAIc`WQtx)UtzZjIfzi#fHh9SSFn92hx=dbI=Sk^p#A8U%l4;?aF?!NI z^R{4GQiRPpHtiGNgVKeXT~kQlDrrn@ckg0?LknLV!@cry$a!E4^G=+*BKAtM>$5_w z%rrOIiXV(yMX!LZ!#(~AH7xzxj*Wqn$C_$-09=e)@XvPXtsI)(=8!#L6yksh|o6h$y@HR%ss)ZHY&gknJq2zJ%~) zsmz`hD}ZiGlU-Iix)f>$m7jfCy&H6KPr0Ppv1aYk8g=O^ulwXkYh{xz4D{trNnQNs z(N%2!xMm4y-ZRz3r4s8`p?*IhhhP|s8mN~tDv%-`^(tj>z9{HKjS<{&r-9-nLTLFN zR?h`0xc&nM>#;k_m$h~}_#lIpp@L{c=8~$`dKSTtZKlraBd`LB@bVgb@fExiLfT{u$&* zz-_e4vp0H9(llF}p>wz-SAAn$s`13Bdit1X1v7#9Dcnn}4t9j@(*Wjk&1bns4qboA zpfZ<8COrP?Ij@A^76oKU$T-xtXH+2xtMYF$Y~^aiN9DPxBxD@zI%vpk(ksHUaeR8hN zCM2vSd1@CR4Gma>t;@%J7-C_D)Urk;La4x>2wm_L7U3L zBjmSFaJX1}zQ#s|ovP2j92(g3*dn^caf^ z0(hPwnAgSuSSJU(#BTu2RbX$5tMhSCBh-h!zaV9^Af?xIhYPn8f{Xu)11dWMGXQoy zF1p6=zclY^tJ4f8!G!86244T|!*v^4Mc^iK3%h;SQvHCQYENUyz8Cz;!z^o&&Lz^W zWFYZf$-oP6b%5Ik9ATZ3(qqR5?Q zt9oU_J>$1SU5KR?+|`!03j1$~XEjmmjXcua`yn3>wmMnF)=ku+7JQDe#3~cTDl^2Q zl*OVJ#3~y?HQZ1c13nVzeG>Na@fmju_pon@dQ{*#KXheX_ntGbLA{D0KkdCESwEOV z@kG6{{@i|-6Dq&E#THaO1Py^H&w!YjhDwr|MnsZ{BMvxK61>*h<3-;Wj_tFokEi^f zSZ%YKvIX;f&WU!~ts9rtg1nPlWOQA{+{3>vAuD`8hN1qU+!TiE8@)+g>xCgl&iQlo za3r$*Iu6T?8ke4k$@=2%92d3hxa0KG;HvgsB=>{VBI{557xHIo$#ASm;&!>Na2AL0!dM>^$ZRrbs?%g(LCf;wc6qL_Z(M2r{ft+0MfOkEO z{ZYo)Nq-LKw-=|Qn?uSo=X$AT9>L7ipBS)6%sm8Abo1lIRX&T^(z21^6~>F#mc^h; zy>A*u4DwULqf=sH-|?9C>PT z8Fi{POg%^A5Hb7p9$e^-#YX(6cuwfZfcmCWKbI(z-p^1^JUI zY#+}5q*yi#z_VaYxb$OH8;JDS``zFdC}O+xlMe8cKSq|iJ3xb<-Yov+L2052&?{xx zze4OM+h;@WFT_OGo$0C8g1nxXj{clKHU{JH7x%QCn*;6I+pw7)FC0s7df$8;8hh zu?7_rTlO#6uP{a5kuFzTu=O14PM~9{fo!|G<9K7V6|o+)nyf7I>ha>Q<|s3+ zpW|9MrE`9bUg&-K0b+At=5*qplPzCPCSlURj$9=5$M&ceofU30F4JZN7a|h;YeHv~vv`+o(vL`Q=!w zrf_Z=01GW#jEydrsLml#j_S*gX#7Gh4ggqp1LCKY#6qG)cZur&H0otZjP`3C&P}vW zAj!dvawQDj&8Dw2=evwYDkH;A;ria(pTyJS$78SmL|c(&{rX83RE^E|@mo+JA0fUA z8Glt0%X6th%97zxqbGr{eOT{wmRB4({4UxlI9^P}AlE4?#Oa=TJN4?BZY_#7%YdCj zQB0vqfP3SJDkf~Z|+vH*^_Jq zOd0S{$KYy>?|F;}qjF7I4xS@3xJ2R-tc5#DRmhP@`W9I*UPDlROK0r>$-Q+DZ%ppsjQp{|jx^mv|H;qN=6f13dPN!BP;H zCBO~_sVBcVhP+$E*0PBatmtbmY*EslRws?Y>DxD~SKZz9YL>$~FU+^57HO6o*Ul|-)zU+11uc} zD>X!g7&AqbLhhI=f^iiS9nX{F$7;28u5Tj+Sr$7EBiphLFiiw@B*_~H;z#kvC7DZ; z!D?|M!Se+p!CH1~q*%6Wqytv}aygc4q&dG3 z_Hx%?y#XLtJk8|v<)%Ub^?&kDn5}mB^Qy|Umv5c~^W3=;MDn_sr}P!SiBem%U@BQXCy78@_J*<-OhsYB{8hOwb z$hPM2tM)Hn9OT4fqKz*GR~tsa#m~x=RJ7YYx|=@$8ymP%7UJzoP!1k~b(zvM{E&lo zp3lV7Noftv?h|L^SfB1{3yZd)3q&K zLJMaqigiAEj<{SyXKFXxJkvMmJy}$x%8{U(mGGM%smTcQx#rM&sM2ubdHHB?{7j_n zn?;J7MX(G)eXH<9i!muQ9%Oh7J}nK~Y8t-=h#*f$dr-hb%PLxH^^p$nX#JQLp?^&t z1-3?G!j*uRdPU@))Js?#R42JZ(R>GkSXeBQY}y4W=kG!z*hC38Eyf>^r5q35VHFn` z!F20?=FnkXPrxx1l})6=&{+Y9EH=26-XEYL>6_H++!v=w`wbbym>*0 zTP^^6E7CG8tZK`B8hqlS|507!uK-%KnodW< z(5c4InCIz$u8%^4ZooJR9vOfkiQ>9xaHdLED;DH)t!1bvK zyN3Ev9ZG{y7q6kFJ@8Bcwl4%rETwW>I=lCymgDV=fan;J%55XhPW!xKntsu;NX^Wb zq5b=H#iwhQ(K(v^$D6CyB4w0)%cds#jq3f|BSc#TeFFtARWITrFg3oRpg_0ZzaVJr zAmE%>{lTaR7J5y@3O?F=^Zr)MG-(Fe%L#*~XS@+kPnFktVeeiKjxJ0RnQIr+z;V4C zVpbUzsO67KD3D{@wEB$j&)9_6Kf0MdLwWF9fi=Rrki(&7#}E)iF(fRra7wHrnN+8YhlbLn@Q{QH9Ch;J^oLq;q#2ryawNd3KnVg2 z<49;GyZKkpe>}TGLE7&O;$>Ek<-w-}KR_7mMjHmN;R!|r(OBTc^Pxl-THa~1(AlBj zz(|r4^EYzL8K7VqSvE5X2k{gPPJdF;m-F!ctSW>UiuF!vUE82Wd!k1Bp)xp4bp;n> z+}~9_9)E>@fgxheGzsNl2{Ghx8@>uE_)~Ys%JIikvH8&(Z*ErZnm06}K=lcCxszANoWNUY4TZk*J4XD+#GBO|Dxnif0AqHfY^2Ud`P+rceF%a5}1s7;qqw% z<6b!9R+zVx{up_p3kP=}#S%g=O<%sjvdblPOp2>(`F;1A$^dOT4@D6Xca=1UblT5M zMddpyP(<0!YayXj0{lY@*~KQ9%ryBHs@u~RfviGeTV8odx1$>v^L$iueuW>`o~I$YP0Xok)=tdS zOFu~we}s^;rD4Kl?w8nTOc_O_wGO$a3ys^&gowuZ#>C9*5VPmgsYc!7kwD#}ySop~ zZe;Is)%6j9v9?K!gSiwBe!B#oe6JzbT7zY!tJ!+uEnhw-VxrA{LzH*Lk z+KQylO&NsXF0(jX)sWx_VVnF96@58Rw&Xt$5|7mu`iQu<%U?deFdgBbd{;}(6-B)? z4GB|9-|`}UOS(KI<1mui4wUc8VHYNMeM$MsPHr|J!Z{KUJsqx1&Tg$6@%Cu#>G#~x z)lES!^cb(VycGX7KjU{qO{@Y!Za@|RfHbQN-f_I7%vATBO7O3ramz_+KQ894!_8&aI7@OKbP zvTDvB@rIHH43g;uL<0&aF2=0@$BUMJ6~-y(P2Z_~MF%2looEGp$9o=xjHmYOC|=GK zA|zl)L)nL?kk?QCzEXwPpPaSX(>Tk`OHI0zgXf_VCd5d^F?2-3D^x&u3ZOq7))Ets z$XJPs*@&ayNxqxu&8kIUeU%UJ?J#U(3BSES zMi<~5zFd_;;h{sKvMdc6w-V4TMhDrVe0mC->lHN~Wt^SPzHtN8?rQTy`P!Fa9QerL zWLnvBVy5g+D5?>(HoQfo2O=U+%nF#PGFKRrj5EDxCGH1VK&La@d z|J4r=9>YXK+LDG^bAo-F3~LO)Q9?x(A0=^EHkokoma%TnTiS5Sl0+BAcC+1wdrl6( zZL+EU__?|92B5KW@fK!PiwqgyF{3F10_!NI#Wsy+h^=wrpO(2V>Hy5D!+t{_atebz zntOxazW;l?2ox$S|KNpSC@Sj6A9*?`p;k zMvuM?c)xa~oL+~8y-G=9nOg+S>@kxtRkTT`3=ZYKRV|M^kNXga!|l8FS<8S9m2`9&0uHNxX#@ZDm5pFK!!+1JMhFKOj1|@>enX1ikM~D#TWn zJ?p3}7ZKZ8RQcRHFfoYunUX?XOCO@W6J}hgoGI2nlT;*)1RnuB7GH)O_X2IKP)gV& za)uHnToU|15=%z5@ttqa8mN-Ra(+=Dj|J9Yr zp$lF7B{Dyxd*vP!5tmF@0mDBk<$qv?ufU4FA+U#OK0e2Fm&M7*c(BmN^W1xIgBx8q z17~m}GmMmsqLRFngvP7kp5>Wyp{6Hq#pdq}>!U8yYCfdvAApLK^MLZo4)^1Pbkq0@ zDtyZ@Ow6QBiuJNIcfL94yPDgng{SQdSk5kppyEf6)#uKw7MAG!liPIk z$M9}r|5Hc?E_}m$xEpYk5I@~t4%4LsXwt{Iw8*_CgkV1qK=Bl}J7#=6viiH7M*J2p zZU~pjjIF5$a<2`qSAwt#V$iZl1<$S(WC{T&2>ZQRM~hQf6Ji>K(rq*HZ)-eN;b8+R z;0tQJh`nA({bL+uwa`r2$ZHsCmFIGH?LMQumTrG>1RYUM?{jwuSg z0@-qYV=mX6h7!0>A%XKuGA#`K^Mv*e;XCzM zXOsZUFEM{Y2qgE9A0gMI2SdS*A(5gVqu~SS1#?(zb^6j^?SJ(4v_*{hX+*gW!H_Q4 zfhsVTJbcXjQDf1|aUSU}&;oR{=%=*{hmF*cvk@5ZjY%s~G-9kmG>dc=7Rxo}INLNQ z$J;c6p5{*m|AWFJe1ZsX?3#bImw6)CD#EWq2z6dQqDNB2TTMr`v0P^@_RfsUD~YV zejiOPgPOojy$$?1HYz~TJ&T{lHj1dCImdTbr3TZTh<{V0Zg?xTCt{W7Oe2Uh$-pE; zC5r46nxM7PeEgqLOezwblKf!_+VWW8ra~*yDQ~EXh6|ZBt^7pd(`d>124`8eLh<|!hI%1cO&5g6(M zwWU2_1NEdvQErGA2xZClFM9Iv@~dX&rKQL>zjc&;E(Y@1RI`yZPu_Btl^+S}!bkt& zdrqUTbr{efpT2%7Kv@{&R-+F`UO*5PYck3$Ap2okPLpO{j_ZW9fMj-nEgni2WRb}; zB5@8TG50g@Xm<)L#%^u+qB%6eIrCKkDhkvMo=MQ~Dq~?#?6`q5(b&OoWR0Kgut?FuAWPY=-!HHo zU~Ye{&g5;dhH8_#2jFUBNU$uegt^JM_?9_^+?|?`AU#canzYQs1Mv$T=gTuZ|lz_X@?L* z+?gh7%pDp7;p|f7jU1}Tk!^+b0+&TD&D5SjR2gf5y%qAdUtg@|9C2;%)z;_75VLEf zOAQEaza+_ZKHNdevsr~DmtaYwnXk9X4MZ|2H68?}WYtPQAS54|OYlp_cxLt$>EMl; z>%!Iu1>i6S;FHeoN>&8I=HEqFSbruxVwkuJHJa4U+~xdXG1xjeqTvu{H6h}DJBR7H zG;33RNvS3|{+P-l&$bP@hHiG$D-wjss84Nf5lVl-#pz%UABNQMC}-bud^QbjjLD(T zntNnJk#|4E zcKNE2)(!Sa@wR~w>FnaLS6@ir`%mt50?0-W)J9*_svsvsM9*3u>=lI|JE7nfion)z zCy50^+?W@nE?(tbSsBTFc8zrA*cl4dB$RNhu!I(l{!Wx%k$`|ZgpjxBW-9YxrmlP^ zfi_=KglwM%Z|?zLWSnq>`DKNYXlL2HI3oRBT-TaK^kEiOmfd?dijrZX87ev+B^s?F zzqBNaUR^Aa-8`b%#gHhMsd1if;g?~#M{iILWrh`!A##wM{~6w2z-Xq}*~ z;I++A5-DX#oUqIsVS^Q)!Rp9QOwaG5KNCx7Itva8wHL8=m3@+)eU;rL49T!hb+pNLOyG{(JZUD*(|>i{H6k3f1CTd8C*d0%*ARW^LOi8z z{zQQ|=i7EqPMQhg3vHW)A8KbYMR^RVdcoPVNK=A5|1O9mtx}!-7?7}}aYZllVL5s$ zi%%(@CA)k$kFH?gpn+u&z?CU6%}}v4Dn$x4l08E*g{@sWAZ-eoU%u1=HPz`vyzSR) zkrW=)cArfaE}mMf(i*J!qw_(SQ8mqM>vk_4P5xoXJ}^S>@q#Tn!Yj(24)7j+n02U`ol$28FNhCnLOj4W2{#mL zO*{Oz*_SVi%-z5v+?Y)1R|D#OeD?H=SW@+@Qz`;%rmaZu8?SPZGP!FO4t^F<6S#S_ zumjMPCDb0_BHXxF13E4Z9q4W|)r$Dt!xs6yPDb;r3uWpTm49N7p8S_Fu)bSD z>8{1W8~89#+PgQT%OkkfcX^2&8wVIVLbkUV35e}CAAZ^I$0?OQ`ec+1zQa?y`~9jY zx!lLb{Pwp)4!4~@`%qc+7t9VdVdD*FUZV(n2q=OH1=zf zt+8Q;yvjGVCWlh0#9|`<^y3*eQ0{MAibmFPQJdv(;+^t1&y?J1Ef7?~U z-yEbck-}ZvGijLZYZ2xcyEw?yXnz?|kLF z-botr&7E$N(-wv0G=*PXN#32FyqcbL6pOO^I?jmHRZuiMWDVd~4#USbd+@glnfsxn z4LtKvCwfQrk*l>WsKRHg9z8pRNn=~wrxXlPq5n(h8NZ!$;snW-ciP%7N#eOX>IpPtd5jC_NzioS`C#;tR35-YM zs2UO;xh;YXt}2nRwy>YIeB^wM{m-9o`d?VF(I)0z(d}+8;40YJ8mu#&XntRT-^lP0 zmK$WZ4VW}S$2PNlFLICa`-sOee?(q~Vb9Ek>bl`gly|h;g}=+Ha~7cSJIR%egB|Li z-y&>u^~@V|JSA0uX}T|jB0Je~3}(@;I}|iN`1gRL-iXc`wFE3bA7YV`RudXRhfmeq zLQ-$qgWow2hDbIac_{Vn!t~Ab^~a0n<18{N>@re6=&Cd6PVveNUu?g&GJZ140j$&Q zpaULu`qJz07ftE*{p<{>+|YT6u|)Xf^9NGG?zDR{Q9h=;*eydqqYS?57HMHVrm#YY z_war3dw8;&gwMV;)Oj3s%?$bQqO()=Z4Kl%^~aKguq(~ENNnibWjTv0O^naCcFCZg z2zYIj)O*oxQKEdl%u>znY|esPfy0ng_W)SOW%ei#T5$k$R0e3IE# zedwm7m2fU@?vvkDZDO3uRe=K0(N32_tczoLl24A>!Y}oani_S@rHV@Hg@vZ0BOMo) z>b5ow6A{z;8Z7O*pJEDv>YyRITcr{db*nEWgLIr~pe`8~b%e1H>axjrxj#Qh3CE(? zDL$EhzWU}N9x9fIIzi4xkZ6rbK&Ci!e7o=bLega;a5v5{R+x~e&7r~;B`ISz^Lc~; zk2XVsoU*~9*aRC{!E9zj4e(6=S1;z~i7o|pDkM*JMDH?rd_rbqxcKUIv))BzymTy?XriI_Q=T;jx8L zAC44~h{;ZJ{Y^zY6k-ZC9PMN3#E>;Qpw0KudB+eMsK*$~tQf|$j(X@)Op{c#?RZm6 zGgY!f`QZc8%0BVohDinaD1R_b*$fs);u+XzQOy!2K@kqoHszq{zQki8?Y zTgyN`oUWMJb5`S@cqaL%5o(s=f08^Q0*3yvYjzV`ibKrgK_=GCfA}JWpu0DbcNmJS zU(@j*GIvD(!*-C2`Dw@V?O$7i+Rrr&{1rEBXfPR6O?BvrMb6W~omA18EEb}*@Htc` zGM(uc#j}NL*}h7ay$A~D>Yi$cA|=q)sv&Bg_mnQfeWe3bsc)!%aJ4=RO-;?+o_YxS z9EZWk_RV^3^3pJlCP3OV_bn@rjVuWZr>I2+5m&j-WG53|*y zI}3bvTMA|%#}`>jwh@z`<~((lAGw={(w`%n0Bnt)uO$InFb8#Dycu;EF8UE8zDP8k z`dm!@AJIuI`RN#P^BSsy!31_K3}^0mcI|((&Ocfg11Rca4SZYaj+}jASKEb$KjW-m5mtBSNm<^I6*?@~l{9gAe!3gwaz$>ma7UB42`;OXLs>4;_i$hSRdQo#t4pVa8V z4-|oDdE#hWNpNki?aXny$SAw#Vvo;SuYxJduA&&D*o+}*Z-w@JGu$}`Sqe83DlJ!E z97-|HPY1lbMx-wdROr<4L-=+Zhd+hiBW(#3&EEjZ-4*i`U*dVx{ZCco!Lsdbw~&D-Dm z2>}l++J$IGuVS5On!ind2y!@wKIy8+A2EPhC}z@v4$ehJBge^~ywI-r;XH`AJl5b_ zSK&QM-?Cn2{1SxX5TCkJ6yI9zXWyy?Z~Q8$|E?ba(aX-F&8#=OAWzNEry3f6{ln#d zi;kz%V^+JXd7G=Ii*3cm`P|L1>EE|g+fr2Bto@4T5IQnkDmi3`td;iVVJp){QtCmk@feW<0WzJX#1Hc{95BKr-nHT;aE@1ohw(quOBp z2Ccc@v9*Ub)Jx8Hkc-ZD7=R;RbZ!>0=4-#u4N>ExuOWNVmc>$8G!3D^8zca>LOD$& zE;&@{ZQX+M)O6JH<_bN4R=eM5h*}2y%)|I=%#suvB-ocD2gTTvgKbC)9gz>MC8I)S z0iHUW4yWV4dlCrm_?iH>e4e%^$XnevP8H}|EH}*+m`jJL=uUnB61^hA%daWk?f$6P2O)oaxcFIQ#q)v}+;O|qY=?J!}{?oy-w6#g1G z4VH~fHfl-Q2Y8c~tWYZCYC1@y3Q?q~bj7oox3jl0P^-GLKCkn?x_zU3acPF;OTRn2y8D`S7dNdj*RYIp%oI!xkgRCDel3|nuH zI2w{1)W5voZ_g$g*_x3W$%`2gVvX#)Lf5{^=kidOYvO4C@>oL7TF$|uG`&2}D%3WI zoimR{PmfGAsY@!ojeFr;hh}l^vP7{yNLNfX3)oS#t~q-ee!&uHyJL)5mU{ znb^Zy$LaUj{-lo)m@u&?wT@fuv$nAP?|M4gWK6rY3h|-yBo|IEsh*kp)NLR zX>a`F(leaebc*z6jTn}5siyo4c}ypb7m%H!FwMtjbf|FR8?AEqP2|HV1SYPc&(Zz3M3`b%SW zD#dCo6si5piZ2TMQvO8T1L3;yMhukyFm<%Tb}U&el@;Hv^ge%$hw|b5eP0 z9UjdUpT5?u#)>Zqdz{rrnv(sQ8n^UCmhzQPDKkGxQyK*pM)>Dd+2{k4$F0aH8$L*n z)G4H{F)|_jW&WV5m!9MB^}sK|f2(iWPq{R^m>$QB9BxRnl?7i!E;|`f729&y(Iz8# zsviAk)PK@Q@|HGNks(friK2|(RX|B%ISh!JL-qA84D`BE2?bR)gkz(Nb$}-;gw-AL zYVSLy@W9x<)uQB<)?IRN(sB~HUQh2kR`ZYXUlGJk(a#Cf6-yKvom6#4oDu4^(p8@FqHc=+O^n3ljEd!qpyZ8ArGZ|1w=jhAcOw6F(OY1K5yN>Sg5hcsg3=0aT zaRiJd>U@ETCBf!1t5b#Tc@l0yQD?r`tA82Vp0$zc%)eITMS*;owr^Ua*Y?>;^Ts~= zF>SxKT7>Ku(&t9Oc&w#B->DP9yl)+2$5d9xC{xGQN6DBS1T}u6v(1*lFZ=Jo4_#3A zWfxdHjNZ@+h+hKc`ZPBh|05dJJ7)RSi3UiKLHH7oDDx2fSXg|4MML{;@RAr|lVVo+ z{5FOlouKo?yhnfYBYnt;{m6tXg*M|$PGYMOE$yDPdXb7sr{;JP4eg6}O=x5nx-bE zj+9l+u%R{!txm$LtTwi+;MSbgH{65naaEIU9-^D>VZp1?R0)C^)=K;u z=*}Vo&mx!4BH7MA5A5GXzEV<`z2NXC|E0gt_*Qt(z45iV@8-)*j@EC+N&NTUD}DO_ zeDT{>r_r(EH!7f8tNrD!nmrqvv)~e3!;bJ3pNG)~wk*VUv+=t?Hgp_AXjC*U zCHBV*5(2Y^;o;&XBW_S)->{0x1W8ChX)()svf2ydqLn=Tt7~NUY|BRDR_%>EPxS-6 z557X>YuYhQa_TWdw#IGJF~>ie{~s;>kCp@USW>3~L*L9B+udj(;oqrA_4@F>u!7=? zufGfyr8oQ45Lszyy>Vp_h(i-sD$sX}01xHR@C~~8%2WLkN`_^v z^N8$Noi^)en>IH9&vRCh;6y8YiEM|MW;?^k>|5dCdnCen{AMa_aplS5+l-Wz6(#Me zmCou-&Qq1;2ziI)vo5L+400(oS$)*j;+d!$YCvJ%Sd8SCb}v~G6ZYnCfuiE3T;I)t zv}Cj1`Nc9o(q%H8ewq}uy-DVC4G{l!p5oj_-y&n(;rXTZC@&UO9muZ!=G<2XB!Zr< zXI}X9)5=2eh$AdF}sM+E9UQ*pp02DTS&T9t^`KB?s;l!zQ;|%B-Gh z(L`89w3so#L1-o8B4>(>Zpv{5=>BWarE1Bv-iXlJC8J7fi0w-v!xfu0)&K-^lDaa( z8RbY02@qI;ezy@SC64=LR7Dz{jhU!pUUyMs+yds3kF^|w<Ya^s zN`oGNOb=?)){6X3VMeemoptfBl0*JSl+`#=YKHvT){5AC0l{oi1gf2UiJ$UsQTK}; zeTezLip|UH&&}h@80CbDx*8c%^fC_tO5Cr+^n$x>&SEEKJY;h6*Dp!G;bpsbo-A((qrmlNlu7Xv<7! zMStW)FxO+c5{k>jEXkBPj5qNKlsnhXro?V(S+i9;^ABlM&bfHV3_00wW@zwrsq*C- zS1thUuVkAyROh{+{cjAASR;)&8z;qxq|Qz!tNzYz+y)BuVsxR0i{y8 zy(u5s3|kW!GyIIi-%TU1Jf>{;>)v2$RL43g@>;aoOOb*%BPROvPjWO#|14hUH%DiC zSE$6P)A=>*&lkv?R;o4EW{=*bsQ&IMUDBjwz{$<#6T2d!QKkB4`5`8G{NHO6Fw_ke z4s=m4W%d87C+X>vG8#mk6QKToTZy1JEldDr|BYdiz;~QM!ON(!mUuQdx%&EN)Dbm# zWQT!%JeQ#*yP}T47zA0VmMX7c+PbTjYN=rAv9Csim|bC7Sox12^(0rB79y?vqhFG% zD4TvIC0ALi+bGK{Hqp5rPEA5P535A0~bowx$eLcQ|GZ8Pg{H8UmQtf`&&0qQb#=maGY7cUb#9o;3Q_ODZie{4UhFId5Rq(NhCX=CWB`C z_LOuU5Rx&wAShEV;IAd5H|dpB-VJw=iKel>sL9&1z=+L~6?L-_7|;P<+36W5O8fmw zDn0-Qd<1@LRDXe^sU3!GsfsSU9x_+)w#x@!rv7(_5Ah$3{EtQj zG|=LB|9=k>fJ5ze$BTYVc>h#}l$y-mWon}O0uWR80McCkQIG!)@#?3!0ToVESAMs2 z0=eil)*ww6cY#Zt3jCb|R^21uLecHMUoESyVHF??(3h?Or(NOS88v9NN4u$&%(%TD z?;eM04_mhX{5cGP4;y0kQJh!(0zGdiutORY70p!dmJk%h|0Dd%aT&8f%Pbip^Mx1z z)0_xDmBVKR*vnu2Uxf&N8c+3_{wFa4ixVKAT@c~FbKuYb@?CG#@AsPa1&sy6 z=D`=svd*?LA00@bZTe@UY_S%&WlXor9q4~NT-CW(JN_oYrGEK16v)Ix69HkOf8G!q zM9mvMq=u5eLqc@S!&epLUWKo>h|F{hljagcuN^c+~Px;|I1 z4n#Ns2kpw-{?(4Mm7Kcu%opDO1Nz&q1`-r6?4MszwKc*7Xld$#cxICzh(Cq*2d_0j zblUUskTe86O!1s+qs5gdGRfxYr3$jjeu6@mVx9I7J_iqijVAhj?;ixq@7_}c%Y#tn zsn?C2$?w`x?lQc=)BA$Zp^iBi^ml;_ucuQoy=7f(D^Q zZPY^>8O9Goa94lq|38>}%dj?{zG0gXB)Gc;cbDSDp+G6pA_a;=DNb=GxD`MHq_>O=s0wLbV-cV40m3>Y-ZSYAQ-*mF$Z!=m;BT97c;*mO%j+Xt6Hh&{K z5Is8C34xd}#XLqY?k|H-rElE65^>1hL_qjjYC#02rgsIJgR`af?gthd_Ug6So||y^ zgq3(rSHMKNc*SD`jn23BDlqzP_gQsNcEhZ1#UMLQ_(Y!FGA( zoH25$ax{|}QupgZl7T^p^9KDPzJ& zX<8XpHn~sEArPW6-24=x)e~bEPo5@mQbX!!E*z9p)UJ<272>8HeS@T=o@8KpZZqR` z3(V-2XewY1t{4+>ssIst=8IU9R_A-kuk}DgWJc zSLe7rrw=>tLaGvyPOCQ%*bSzZxH$G~@oV+J7rvkHR-I`e#}%qX3I0$9T5|$8dtC4#i^UvDFPU=|ab( z&|X&PGN`DjeV8k`SSqJ9C~iEJds;?>1H;yVd9laO5eE*Qnanxfn|%w39nmt19i2W6 z?itP$6sDPoUo8SB*3-4CRzf~qU92RsSMJsa+T6F8267tV8Ed3GsC|}i+dGYQC|Ntd z_9dI^y)Ky~nN~JJ+8(JpIq~8UJdqxY+c^RLB=5VXQsZ`ab~|%Y0c-PHZCxhmThyCM#StK+$lxO|38Fay13;J$@!SQ=-cOf{UJ3^N!AvtKS6UY^ z*-<0aeKYj9q#5-t%A%BrJ4^J9Ete>*7@IpX+5k+*!C4rdwwA)TIo+k`^QOVfLF3qu zDgX=>C?4!uOdefK?xa3DVy1t)?UJpdYP5kvVaZCndtdp?*RtZ9{uA?69VF6BA}8eRRE5Tg;j*2ae9FDGb$YyZX1P#4y5AM{hDtAB z*ZRiq-lHvN$*+D>W4YY}-32l(DcA8*KCeBG;O4I5*vuDGn2l`CL`~e^2bhJGz+ddg z`+j7jVjS2juMArLXkPJdp0qBdhA5Mvs>@XP;oV&X!|&VPWP2AL6`f#?a;d1PTz{Xt zJ6&pJTWob60=+R{sJz3cl)L!U)bVl`?)Afx`iRzVS5Qh43Sk9kS~M}PC=mr@As#Du zzXZoqV)Mzl+@ZvTXFK+JM*+59R?MFUpSBs-*sqyer#BB5`+RMG5OO7+{_&7_)25N7 z3AL^2J=Mprlf?v1IM|7ZT_&2w!m8+)Vb22HKMilyFA-DSL_pnRCs14^k;2SpZ7kqc z$Kq{ORAypspr}6Bh9Q}0A=WN$%X+%0-*m&~p8kO^cwvMMoyGJNSV{fyn8I4Cv8y72ou*KCRat@QD z2e{--2zib2e6s$7icMDkDl*H<9uxA(Tz`Z+yM39pbq@cPPiXX8Z#V9eb>U4Ybc z634FwjMwJ4j^bwRT79HPJbXe(q&z}Js@++bberb5yv#x2B4ph}1(S#J!j2)@xH@l< zokFx7t2<9m9{fBd)y@=f5F=fJ)E#UWV!b@N)N!n8(0G1!QG^!Sb+vyn?rGBByf_9s5w%nKFzdmgi3WEh%Ihe>BW2wfhjlXFLrNah{WA_Ge#*Nl7JQd~ISP>rCl zwb(3wkL8wKId%CqW!v@iO-x&tUBcWnfk0;S1MjF6;-1;*&h>5m;AJX~?)Kfe%bgG- zy@O5G*f5{IJ=fLQFTCRBl$zA4QscRoDD}>~KAwipX@Z}|rb-f3E*ZFBk;kB!EB1F( z|C-@}Mw5X%(|Cc5!mhWR)Dv%%%Zo8~^qqnw#WAszzqq7(v(s=cgP==ch}RzNP)KJvCXI$bm4pCfq!I`|1TPS-m~s&6o0dJK z5ZhtLzoha;w2@d6i9qOY<=gZ0@bb2`%^eiCV1*LD-HiQj?{t|m+8Fl{#XqB?a!Kx= zI;G%GtziB7P8XmPMV;<3mz~}~aPn|*ez$)Md}s1Qjl!Lnb|_^Enz9|NeLN_+OA0>{ zpODS0GXnEx``jU^i0y{bzv1CY@k6FUsoLHGR-|Z5x~W)_Y-8N~rP$`%`rW9j6;F6C z)1T{IX4I6c+SrBZt+8JTDu^a3F9bO%;v^Jnj5pUMFhvoyDRM`uo|kG{rWm}??~-Se zj_txf(cvdu4F1^eV%(wG9DuBXpdN>YfX)Y!l4e3+=|e(5PDjXt5+D$oBL=`!+X>bo zRe92)2Rj%iSZOidH!|ANu?a5KZ@pB0Si^-@th0yV0S#7|E?Bveo$uRSS7^X_w*&}F z$H&eJH`}j$d(qVM_$oKn;PI%h+#gVEN+7Xu3b7o8sFyq9FCOFYH z-$(6nM9*T2ZR0=n^riSI3TiL;W56WU%bu-we}9G8UDtVubvM@Df%vL(Vg-=|Y?{l< zlQ=?}_RPu_lc%~niMJXdwFY%A*~gsQH*qXsXsq|R%QO7qGc0EP#nq>)7|tr*|F z!h$cH^rc1DjK=rj;niL=hEpGT;N@GZuCLffF5K_wWv2(meBfgPJav3~`efJ@m^k4t z^h4#xr6n;aPxO}6k&v-H;!;JsiheM5#c40KY=!N6X09ZsCZBGpWv*Ic4_gap6$#h&O9aL+J^6oeKju+MlBEj-HsYw4XLDZg+af`Disg5&9- zh9mn=6={*6*omMJoXDY;v{bMcNskXlure}UJBw7=2RZd3WGQ!nWT`i47S1Z#5 z>O2}FrZsIxp=>Hk=#5=dxVAd9Zc%Eh7$=jbAAXS?EXTacRyr{IMmRAdZ(l8NUQ;Dq z=7qik;ZDRQl&#r^?VW|l#tC-3d~tBjk2HZ6E-_iF&^eKD!_>I>QxnrB!64@yYjIVA zLH|3}Py!Lrulb~E>yV~UQ!m|u)nArZUC|9%>QBt{V1|+m5at#ie|Yk z`$e!LW$ngW+W#`0r(B?88~Q}}J(7kjZ#E~$9_x^JdNyiz4>{Y8r4DP`PL`K1Gbn{T z(k_%Bfiiho-Ikq)!n%&4-+90+-g}C3V~S@;pCxL3&Yo4FZvJd%)3~JAxm`cITWC&C zP%WNwgh&6*{P#IAB0IS2@$cwQ@Vq^-x#KNw8nW}cpU5% zEcE`JT6|FOx(Vl)I>^&SA{oZi={Tyv{0~DY9eA@hOlFj1OAo-DXccBpK$XP9pao` zB!1-j{}gMNQj~tml!LH=OX+*;$H)c0(Pr2xW1mO4qtMAFELSpIfA zkx5Nk-zUNSLQv*l#!AP(g{~)&DJN;}M znFhhpC!5#5#EsIozI(nIITcH@ZGss`dQA}_sr;-31kJ)6S7epK z)r0h`y0NfbluyZ)^(|7A5S1Fu?G)@peNl7CiwR89QnVf+$0#F4qjY0iaQzSYH1HsT zZ>wEE!v48eot=##jxFzM{2POldXYPWfn-9G@p0n9j_2Q_I*3 z#5lF0*`AFEDUIBxX5Dg&+|vG&!YY17WZefK00)H&Md!U@w04$E&Rqzv}1woKVY>P)n1Ld6}4d znW$gDP#^ubH=x76sT%8L$Q>JZBizKfYR{Ec{! z@Z)hZ@gQ)+!+#=ghYc(pkq6FB(a*h)t307u{$c$B0e56Va}VkFlru#39jlwNt4(A7 zK4`t6R5V_$rj}6OdMiUZPe-LD*{zZcDf8Q7fvD`BtGu+hxyrG1lzFY` z@A>-hTw7K*+eTkdaB!WqI6T!JHG#hhx9OLrEmn3%2*OZ`vyJZ5hrV|K;orO`eKjW( ztvi3y$uv30VSWi#x&&oQH^m-c{xI|~k87B!-!}-c8|CT?b}Rwm^MXJxzzn81GC3$p z-PA}DSaIPJka|)mp0{(md+W|g613eF!|8HRWOYQ&8#_mP0Jw>(39cW(vN;iu+U=zE1(w(861A&!n$I%UevPnx-`coBjdzAe0ig zS`0%bqm|y^5mZoW7v;be!S8A5cA=pSylc0Nh-*Lrtp%gvd3PF@>QXgRSGni8GgCdU zl-9u0ktKr22Gc{V$e^aQiflO0eNBO^`Vs=# zB8u5l8N?nFJv>^FceQZ-UVOTsF;0pE9=;MziWVL|4~Q!t5|I|LJP*R(LL2Bf;gg|D zp_6%HVNF>;ahxVHb}c42=Brj1D1w***_LGfA$2JdkJ2<6A@H|UYQ-2NR~uf&fPk12 z-pmL@V~g&<0A^hhC+ISowmc=oBVk&O10zKacmzYnLj@X${B3~Aiy#^g?kM9~7s0J2 zDF&k=UTiidS>Ro|At3D$m3RU%B)JdRR5hLm?h%qU6j;@qBF>^iwL37cb#(|mAg?to zR~Yx_lTkGe3?^o5B;WAT2(UDbX~K$BJAo?iV~1#=V9<-z9b`!~Y^0EO`VRDdY_t^Q z2M9J&bl4LZ7__z$wJg={;Xx-1bU}@djU?Xx05sTTMrn8G0Q#<}AmvgwC-5LKhr#in z@mM5W?}{C<_&R<=;`*pD_OUtkgmGP%Qd}t^qVL;l9R;Ho++x~a7AQ5S<#f98xjoP` ztXejZ=X44l5}puXaAF6Gz$ClSxzUeQEwM_xp>yc$q0N(eb( zJg`$75F~JQ!$!OKRlp%u$3poUosm&a&@3>)ITcgx{4q;|pqiNH&W<|>y97|(QFO_T zPZ9J{X8ZySd0RI!yHtV~cysyGcN)#0Lw1DnR~*MX7`k>qn_`kPF)sH2bxXQXiPu zjYh7A$Uz7mDt@CSMk#%Xh-2pzsFX*H=bL=1D|PUuCKT-uqQnIN!v_>@uP6E9fF) zI*UuWzK^yd?NMhRGa>b)*E%nAO<;_SihO&B5X&X>1B$(`nIpZ*hu?PNF|rf5MYf-} z#pOu+c?M3J7zN>p@ht(Bn;?q|$z_LDTo2UX7MO^~XYUBU1ay*`Frnx(xKt#a5} z+r2(`kmsT&>-cJdRg7iBW2YNr-9@fSF|~|=h4HOtWX{15lB$HgNJCwsbXN8{0{YHz zl4n($u6}s^G7M)abmGv0>#I(mZDY>C!HZs0A^SJ?!SwE%7AZ)ObS4ZLw$}ZKg4H@d z3fTkc_v#I)!^$p z$G9S5?0omS^8y8bVOokV_t5Ci443>@ah81g^`dUdoiU5>1hN|0e%oL9g;t_T=c@7! ziqM**4Tan7ldecSl}6L<&dQmQ%gfM}FZsI|SChy-{vac+4Dh2Gt3tey%uCdmpNx#2z-BaSk?nP0YATYg3Vr+Y!cZ#KLk!iW z_+1%3t;!_In-A^x5OXbMxqe?{-!@ycxFi|bCh1RF zls0pbP7y}mH}n8cqmiN?oe$hC(}$o}WC@jTCjp$*AiP1; z+>Tc61_gb=?P3{{H-|Jz9!SQ0&^EH4r23x30q!pFY^p+e9v5FNLf`!&9%LFv9B}5x z%7_>qp}k1toE_rERTTURn_U4(1l&!O0Q&N&UurubVQ$_tWh@iksZ7Ga5gJ0m?b)|l z0HyyJq2k^X?pUS)J)WDXvcK=^rh zd>YBhOk&)w2~{{~%z7v;j5TSLP}zh|!k}`A$$=f>sozMP^|JyROn^)~&wl9>=3v&k z4eNHGzptkY>#D!3YUM@}p`o6#p0oKwWOHfI3$1~YE7``PoMco&aj@nVv{k-5V?HHW zJibqdb$iMX*8+L4VgH4daz$5D4QngZ{0plR%k~!>La0+tL)MXj$QvG*mvNXbxlD8*&yh^tSBQy1W#%mtFd3$78FW-kr^? zn4j#8d4?C$DyOhfDKoi9*QbflDqto@?h7*?Jk8NoSq}J4-<)D_?0P*`Gr+}vgEUB* z)61jRb#^2WD$>>&RW)iNPA#pBOS2^5dzK4Q)}~{>nL9pDpTy5>as24H^QM0ZrwZrG zG=7kaf$cIMV!!Fvz@MLHq~68YLhbw`y1TEax}Oes7DHxgBy}e{9KxF0zWe)M`o;QW zZ25S3`}+C&+kn*x`~UkcORzGGX##nabw$_?kJssIc7_p{$EiU9I*TAML?Y?;X;mnvYi)Qow@nahV#y6BAo%Pv-tT2~qoQ@~h58 z-GG_*7zXXmD~@QpVsa{dfz_n?H^%6#PJ-w`R>~MxQl}P|;$$+1vA!%=G@LS;s#&VY zGV^)jot>MYjd%*tB(}9kO^cUxoW6snS0#wKv;|j@%k;3%{vX}NfN+}#fqw|Y1Zq`NC@Lg480qflP!Ii6MGa#E+YS9@Lo@!wY? z;h94s%5O2hj8s5(=h6AB%b^tDTG zmXLB)&W_b*%WmSECMy1=E#n`zBk#2nAf~qxZC7-pqI0 zN+++9vQOw|-I2ckiBth=Ar(T>=#A0Xh$ugbB=}C=75K)ju;nT4d_*4xNv!@R9CJ^K z8L7L!Nb5y7{y-eeLmw8vOqB%sAx#FQN(y8|?91^$d0aYwCyavmq$qoe0_C!af>{z_ zxX3SY`QD<-5E@Ef__*OiP`U^ZvPI+3ZvcP4Dzps_c{o`?b>My5QvHWp6XT(|!_I(UG(v zy)^(7gyAJgm75DM{8}WLn_Iv8*=}m9ww2a9e4@3q-h58f>Mi?>Rk?)%ZcPo+YrSeU zjqz$)(ak%jP+r9yIh{Z?7m95;5}29`^S0bHknwM?w?MbeH4(Chj2jKjQ3eq-;>e)D z2ZEfmWdKVbCN6S1r~t|@MQDx^4jXFc+<=I`O&amO$(}{YJI(P+)$52!xHB2=vPn_n zt|N;r$m?m=B%(JAs*1fLuNHm~k!pGh{u&*dNQcuTbUx1}#%@*@OSMNadgPG!+A3h?jICN2Pe0_4 zds&CXaW!wio}1S_hsT*C1~o#5j@yL2Di%Z55@R@JWDvr-erODs_XECW@7OU0HZU zfukt8XjXYNk)%=k4$E&x(MZv(m;&q)P3>$!$=&-L0qi!`J@g&e2na)@O z)l_@~4%5~oa`%@~+8Kt8Z+`@L9wR;l$+=H%fu1B&|K@3;QNO6zyn#J3O zpZ#-|#8K8B4rkn#Ge^cEdsNo>CsWLBPdY9t2%jpB<#!v1xmrn}h_Jq2lw9T2Z5f7X})f3mFWfvfg8T`_C){K73avl4L}S{=ib=J@ zBQp)Q3bq>Na-73m*IMbcv<-A;0kZ}i1FF)EXRlgI7^lx9g1rLnq3 z9?88R@0sO$%%wE32NM|Px)DHBv4-ulk#znFDakN2V76}jm^LF-8+#_utn{Kb>g;QS zRAY2e@k$|mi)s_DV=V@V*>&n4`iFBoBGBQ2Sde{Q{!H>l%XvIEA?hK?;H+f5;3*@? zJ!|9ki+*{3Wv-h<07|IhFw+1{rA?-LMY+rp-}=)nw8%3(+yi^n|g&o>ZAMx znsrrbqk09J)1CvnBGCL+y*7$eu=$fFzo|wk-VRuMm}_Km&(vg?3sS5j&xKyBgUiKL ztYgGg{BuvHX`?;F%VoCG2|Ol`U|@jIiEKJ<1yg+oBfE~XeO|rM@bJdqOqc{pLH~k4 z)rz~amIMHPhkJ0>i&ar?C%kkLk`7y^_+DZXSz9OGZXi?b{Mjs!W2zrGt5mz7l%ho) z6;;>Rex;6iN<6SCf>-^B0~f9@!1dGW}gexX1=w2GCriH4MdJd@W&>-M+L{S zEweFX=$tKOb713G^pmT8KS|O}=X^X$qO1K{<5xrvvRtmA@yi-VJ3UDB=cRwR->OUr z7gx*gRnwSF=`t0**JOXOU)Xj_hVgF{`8+hsBr}7ZMIj`snNFUG;O{0ChxcTfh3^|L zGd3H5Y3OSytgN1ljM!&oF9v36Z@wMho^52VQ z&_yx{pPcJQ`qtJyaSUuOZtxg}G`wZ4lf>nhFn!A-uNxDTC`L~J@LE8C@Es9egyPT?_(?xOaO;4fGesTAU{Jn}f zbW`I}D?@1>d5K+g7~^j}9bC#s6v#6%$uDA;k28&>&OU7K87PdKVp=rDNySv}(&w{R zLdK&>5N)?i+@{>h*D-@)UlhfI3Jmh|nL}Wl+da3Pn`)w-QLCF({lqQPI6}0Z5-iI@GQ3k@O8B9kX-7g}P?h!t;Swj%>v1 z8IZ!|3ke$KUpYs8UgwBh-wRvcykFnCUr%A_=QK;G#rltgZwr1!+cuzL@02$GTks#e z_g^D`+Q`i7evAk!{gZgG3E|d06>vWITc090U&p_r>QRPqF@oyxfWnE2Sn!Xpgf{ez z5(vSkUkSwmo4!$Y#=VZr)?9dP@9d2rV*go@rZj|C&R z-i8{uIJ25Q88-9-i@h1k;exZ}X`+{$tIYb5RQmQt2(%`pb}2`iuUw{-QsPzvxc@K!2V9`Xl~}{)n~k_-^wx!6-J)C@ZMG@3b@)>%GT?tM#N{EM)EwZjNr@W?wyhrj4 z6@;R~q+^nqfJh)V+)0bkONt7kjgsd_h3QBe2;&MM(E9^soj8S)yI zq^O`-K4Iy&K5>tD`+RZEo-y{z_-JR>-1w7Ei8D7c-BLNL;@M4mnrfr0k9j7aVgzD)nz3NIug>#_lIf z5JUR6$cF6ae0I2?!nOLuO^ewr*d4>_FLsZvuYPwpH#60Ho*>(g=h?VT34~6NwiEKPvmF(s~Tk@RPaisOQxdc^g^GclQALaGOU*s|NgT! zT{yXcV01%rpB?wc{YqE;%9(dM#y%0Beq~AX?Zk25hfJNPD}C9X>5L$m;(l0Mq{D5| zr-q6pl$0C!D#rJo(tXj+zhp{hI!ES0BuXYf-*@dsam9#DUfvs+=<9KXjS5Ce|A^bC zvo~6Dd**?iM%k89{XJ0bQZTM>>vsBSoeCZ&j`B9dla*Id)P=0TTwzb0FVl@>Cmq{D zLTh{uPl>~#aa^+LuG+L)vy!x?n!WDy{<}$o?e-0#pDSp|s67?q$_Mm<3uXWxVw6wkuztV{naoS+2c1LZpWnVrz}!zPe6Q(w1j!j@B}JR{VzvVc~cZF55RZ**&4W&24F$Br{2?03e>Xvx3%l8n(W zj)1aDe|?tg)BF~wL+|($aW+Y_!xIVHW{@cq9X}!|b7kpwAa?8p^T$2hU-o3&R2w7| z8``dVzbi391(D63R`S-J`W`5Jfej(l;POdnmy#*r@yH{XOqIg;bl`3% zC&JFXrZN&PK}8EYFqB~5KpCx!Qac*rj1tby05;c13>*V7);FGVOnN#r78T8{y9-;1uHqaTuAatm=~-x%B?sChbVF@jhQA9I-=_uryxe{ z;?eVRch)e|PIrmog7YTQXmPYya#@o)<-8gU+MX|J^YnhLF*Ad!hrQtB11_nAch6E?AWe)C}sYQEYYU*3oX0_NP}PDA6ofJ2KZ3b{8wNum8 zzdoCPip$7ekIkr(jLk^Ul&3$Gg-ai*40p>SxTJYe#^~6-7;mUjdDY~zt!t4=<_ojH z95qWTH}Ucy@5(Y-flqJoP{%?U!($I~ByUC$7}?{A?)R^5hTcUyf73AD;!?GK7&eVM zYZD3?>0rr z;rEi?ze~0~ZM|2hlc)z~KW4IBI*6&#o2l$M^1}pxpRuSaxoX(6pdB9TqnK~kS2wPc z`Bo?)R#`%CBWXn$=JeRPCCfIhzq>>q&S=~r=K)KAh9gdZI0`D%E&ri03Vr!}fX&2# zLu#)PnajA*u_5@Js(^7_N;jI*mj`*Nfjp#xzX;hU@A`{(4rcykuVhkaEHN!BlUgw% zt-U(6iOFfeNgo!KaQd z_V@1}4g%pw3(x|kC*kKkQ}^XzPomEqi84VwfM=NY)sEB&Lp!?Rn9WV1z3+_^eD(Pz zC8Gd}-j;Tpt37i1*H>}R^pVlrg-Eiyld*Y!Xc5s%ysClgKiY*?(mG)DT&`u-p6PtY z6vyvwLUTv4sm(NJY3p^~o!ak9mS|}3h<{pni_iP}`~7)1kv6)ya%u4P>q~5@a#E;I zpMC!xPSg4vHd~2ItoR3!v=;&Y>RvznhK0jq{AAFs|M_(FN}4pOGN@;=Clt@5oD{Do z63Av&#fpukyT@QT-K(b=c(w$K_@9u8zJBW%5A1Z}hTz1O5Z!qjtAMt?gII|3h%mi9 zyR?aq79HEE zppLNhv~K5hDLBHrF2P^y>bsLC1^-cfm&Fgmauis_=MSP(*s)uETMuzv-w7T_7_Qj{ z)UMPX*l^ zhs*-56fk7c;$_!ABOiQ8NY9D*A~(n+y(;ag)!$@EKFAO7IT%6E>Q_%V`KxAycVo_n_x;FS`)B3RB5bsVQoNX|a}# zkmsI1+-kY=*@tUQxp?(!039m@Ix?&p^*}z1+mkRDqQ6w;0*1}V%vA|`+de=3<`Kp9lQG~ zZIu`MP+MR|WjJY@_peW0yCOPv$5;%-Y~YJsinL{yfc`x6u3hclHaxe1xpq(-ftPs> zV=q}8uJc~DCRh>ex)=5TzoG!@1ir=9RU}YtpdfnBO`xhCPo`1hf=?iAuCV>-xvKPW zV67#Iu#HVC0mprgYpu;U0!(_ixYnZDt@$0;Xs%_q^qF07tVacq#*K313gC5NZ z#~*lam<%BevmpVAqPv~6eHq4|!#u1qj734?BVJPsk6iEHsPDgXJ%9o}1OAc4VTrK- z7&JckpJ4E@b)ig*DRP&5My6q5BU$|BS2f=6vcYrkrx}LjMYC|T48x&fU{^8>1wPHn zM`swmEG4V?^fUYnRAx!C_0FxP+L8omqKu9Nd4e56LNZatO``SAjdsC#S>_+>Z<`K* ziwj`|v1XfJnn|!D+DEC5X~x;WpPS1o3nT7Bd)-{lt0vVIZZ$t7IH5Ki)g|qeygRHS|`8fk)AC^#03=1b(5fYN?h3C2U*lzFM zIn_o_=ttGQ%c9fIGO4@j|GCRaBJC15UL?GdoXOLxQQm!>SAap0RTsncX?qnG$pvJ4 zJ-_ytGX91CnArgcNbC^ZD47hsh*?FcoBYOwzq0o-`5)Z!{IOx7Qb^WM+j8@SBP&VKk${I~FvLC}qGT2|q;#Zg0zX)s6{ z&H*O`uy_h!{3%NRHU3rr;}3<`HR@Gx!bFH?a(KI2iYdl>HawF{4!FzcI)^^%q6WuP zXC<}d|4sQbXt6{x_)4fx6P}(=dMzKIq*BB29-UjU^k;-Yl2z~!P$geat~jHnQuE4% zI;5X79`D=FN7)Y)(^r~ey1SMI3LpPWwvGjnTdyT#$KdMYUq#mb9`~`$y-AEf)FKs) zOQoclKYwEAi|mJA+FKd8_QR(iJX?iEbv8X6a!5|aGq=oh#BS9urtFY;s~=szv;x5O z>%c!RXNO=vvcR;R-~ZRFKNB78V>T_(Hx@9mC^k1Q!2SEA-IhKU3pc2&3_tj)%KMo? zWDY8FY4nKy_E6E(4p|hgx0aJc<7;VfS0^;1?Pa^QRBDC;(ydtlw1*wfCJLCT^{TiM zKQsXrXaR$yJnDb8L~`SQ^}p{7R^J)A>3&4a7qC6zKVo_33t8t=zmroxXO*P&6yE+> zl>bO*b%CGbj5ZkSg;nj(GqRlG{zsZ`4Z!5nx3;2W_$QH~My?99PMM~g3bg((O*j5m zlw|UF&ZC7;>|sHFT|^~g{W|hn{5&;6rze2?q!wOd2P$#G)k^I-pEODYP>Q@_PGAAv zHSGHa{E+i^tN>aR405dfTVNIby^q)BUE!@lH&i9u zg=UPb@OFCyx)7p>5@F*zH}Y!cVh^SYaR;Cu0q)UX=(qkC`i)Hh&@ad_3WQ(7jA{T# zeNKO&-{xQF_w$hm1~mYcfGbGMO5hv}c!BYRtoSHWxvOuxO?cinq|z~%%8(9GVpl*S z-cg~}$~qbhGzbq}2TQpFn-swOAQ_TBULq{uMG2=c2>^^jN<L5g)UW-fLALr-FZB^ zY*U?h_(X&lY?vP*5$Nbd(5W;$d_k6%8M<2vN^Us2A&!7648PPmLHsM3PSNA&L-9* zw0OI?Ibu?D#dK2c0dlGzWL@Z~`B6pvOa2;uJc__q!-Xw5^8e=j;eMjz2zX2El#B#u zc24z=u{`<_xb4ybn6Bek@(rl0hder}B(arUE0Gserm2fR0^b@xw3pUdE z@F~F{Z0E1-=e#O47M5oIxP7fh;%5v4^uI(8ufP{Dur%$FQnkz%S(ruO)4#UghezA* z3b6fnsB-`bP&_c~k@S7>hgo!>M}m&@0TlSmmNOKCa29D1Io;R^Ny!`@5|zPf5TaTw zipcP#SEd;ZT*gJpjn9-ihiiJ4k!W%;Z$1$#KYghD@m75hF2In z?bFUPe1%>=ZQsyjnXkW!{s#fpl!4s#UuoJKk|nn6aFA#y|e6_3!kDZ7`5h(Ru6MHrV}*nRJ4+d($?5Quum?6kD%iE|F-=e*10GmDA(NpbfX@_NVI@^99n= zd*#-cCA>Zz;q>3fyKZ?^IkTth0a?e#{SRGgeDuF)Uz$+)zudp0kkF9VW?TF9T)`>~ zsE0VQS`0U@D&%kl8{UyR0Yj8y`ft0!9J+Qc`AB}To7Wk;?g+xQxySrd|7kKwIW_Ublln#7RO{qx~%V`tqL z1MFNI!k|LhIr;h4*8PMdN*)nEP7`l%#DNVoe)O`+-!_%*HFeS$-ifAZjLSBkTz~XT zzPZr8`VW4p0k7hMdiprs0q2jtF4rdT@FD|VQxhHAEnqyducKZc28@Dd%JJ;##lYJo=df1Z|i`3X~z0l4&KT4ScfI>F6OU2Az1j4 zuOln)?v^W0u_YTUs`c$vL|oB3aFxQcAJP}rBG@T}aw_FHCJ_TZ>yxgwNiz!SeVo8q z+OG?IZ$t;Ug$62qfB9)hf@y=^<7Z$7JdODe=77~;kLc+Doel7l<&mz07 z*_LKj;?@(MD`O;uo~;ofY^+XfN*dA_j!8S+yMX#-{P$o*)5p{VivV4L7nhTHXngoW zR>4=Sd{US{5aSlPi{ub^#|N5aDr?6^ijt}afEN#H70@8VCq*ns2BA`S&J-KP_o~&^ z-GK$etB+P0ar6ub&CLazc1B2@xB>1#!cIKRD<6)eXmv<1A3_AWr>?isd9ys9a*$-pv7+Wj&1bge%BZi}6d?qMH# z7Pbh(&GC6yF11*eY7Uk1lqFS0D2v`_7nbxf2c7d)bJ~45W)~Oj-vU|6kICuCGhJ#BR;{JJMJHrt#mr$-W%gr zsBKgS4;K&j3%3#{);Xs;`{&p9$NNvd>^FvZF7cY&y1Dxdce)9N0;=#os^7oKpL_Xm z?{rlewgf+m_}W)#EzEJ+*^$?t$9kNjz0&HD#O0(#?KHLB31YqKnPkDtVdY!~52r4innS)ny~r2v`3iZ) z>%>O{aSA$tU}T~uDcWzVe~xBXPS%*MJL4Q(%SHDI4PRx?nHQwc>kxEIOJtct!53U; zhrfTdP5@o;0L}>Nz!~ACEw!=v7wg0Sg8TsS?+xv--_vELT2cV{L95h3R@~y;4i1Nx z?l>Gw+bDSQP15C0>JF?VT1?8r%4RTmrn)G4fI-FK`oIN-4>~owAcc!ac47y~yKtJw)vsJYFL+zALu!L} zztzr$`nSyA=k+qwFA&sah}}P2g;(cE&aJIH+@9V7ql$0-4|DGrUfHv6jm9=R>8NAd zwr$%sI<{@AW81cEb)0l;CwKKe|NWfvzIUH5_tSlzSv3lCCTq=Fl~oyYj9<-DlgP3B}$eO}w3OuEw4vcF>XvO~o6UhRx8iWxvhrs&y9*|vwkkHGcI!_Zf= zvPMA}4TaGI$b6$Aj2#AWSi%sDdt!{-l_>qp>lA*P(!4787zDH!PXTv#?yBEApjt5O zSMdCGKvnvy6W^Q5p)$}5N!)Gl4!P`Pc#qg`2V)hH_Kqn>Q3wY!RpQVHmBQjY=yQ7u zycZD6OqA@yP@9Ubvs+|VByq(z8c%MRX zF0-$D2*%VDT*qULcaZNtsc7M)k>dyff8$6>mF=UB#2;1eA|C}pD*W(@Vkwy+@53sT z_;(aIIX50RjPO7Q*));*qi{fSoaG_JAx-=MkOD>Exrg;PK~+fcB8~z%d`pEuaZyDo zkOoNo4_`KJ3IJS(}PV)2snKP zzfE63AmC_EUYxyrFcRleUcG#_t1Fr{a%Wyc&VR_h6>lfloMq)#>F3s^U3XUv6Vm3!>-tEpvsIj4jq7~xFPt7?EUOZY0ugDA;fj& z*HYk?ohr^Nqt zAJO#gWxiTTSSd&KBXXrSa-~jPZJT+y;D&#G;=>!U+ZnOZM6HC~_QMAX_3-T!^O%4v zx=rS;Q179VfvAw>Kkx!rhPnFF`k=w`=Agm+GJOH-<9uD1aV)SIgzNGHr|Jn**4=Od zyYFwmurK(5ym9NC4hqk!rYcJvAA6nZ`5O7h=LeFL+Hrr`@2KalZh>vID8AzegrYcI zEM`A^JNPx}@fJ3s|H4XThTDf~MSLiUvEyg7E5Kf6is!()va#W9+YTcf*vpxr-@jFw z=9#V^5HT4RQIwblMaU#52JrkwAZD?2^lCJ~nzD45SB2^Rf@SM-)&5ok4y<=C8=O#alQxWc~Y6&I<&lXbl(73nZt)M2bXzA*!=<{ zdbg!1Ho%^yfMWicGwB$H=f?!LcL0XM3={dkyuJ=|``UiiQQR{00G@AJSKExP```4R zh_S9VSi_JAo|ZOT-Ovyc02rW^uIAk%Y`{&4rd)|MWId>9*N;H(dn^w_7 ziX0u{)6>_m5V0V`#zgXV^;a0`Z!-BoU+&Xj13o#)8xEV@-g;dg8Of0WSw%Z$ZW84z zL(hs^aAz4%_8rZiG)*DKp~!OsDa!zMKpOQiq^bMKOgw)2aN8&$eaUf#mz-KipQzx?OyQ9jJ-V; zqTdAo2_OF?+|Vc;h_GgaNxG6dhh&lLK>;_BF~G7)*}lZy?+S2=7u=Cc+lEJ#7X4-W z;OvEE0hUnwV>tdO)hPlyegeYWB6SUWfgEJI+CRZKA6*Mu>9Z6a()JphTiMXrrYX3V zi_M%XLH1TTc9VBa9^H&Ho_C#T$7|eVcbjXW^)0qkVg5yb2|u*IgkMT2fbg>y2Z;ar zrPXE*8iRSY+4!rNI}XA3_|+ql1zVicHs^ob)#aM@ip$V9`)j>ZzKnwzeQ!;s?Z;7v zIJvU{O|q_8X|T~c`>D^hX3Dfw&pzvb*ij#$mOg(&i8`sST(`i~7bVj4Iu+zYedOpO z;#^`9p+NGNglqdsaQY%K;}f_4DaJ6)2U+qjvu|nYU$ZY^j^=+b`=0z`_7$%E$Lt&N z|6jAO@c%ITj{Z-xZ|Of~-=P0*X5Y?#%)UtfGW({85hKw1j%xtFWb8hQP7~l9POgj` z-+9Pzdi!{$T)ZraH_ldvvVL!jJ-bI7`YCi?4itX-PQ-AC?8pSrBv9}pF|qO&wEWnn z5J3uwBXsaP@+FwRq2JcJZ)V@iir`2ikt7yQA^Bi~f;oxxqs-%DF8BLPD+75AECV#u z0~+1|4Xu?Rujqatu3Kx_aHAl<;mNexS%L$a4+TC#2nt=Q9E>3LB$E@B@JEhkVh5b! z5Y6iE$(vr9G48Y3!tmGY$L@;Iy=B;CDByqe;~(pE^*o#$bIEpm4qYrobih2| z_a`4*%XG1JEm>XzV=GzQNB6X)b2&ZKrRH%zca1579j9nXY9I!a6~2#nGJJ!ZO58pO z4!L)K2PV=wt0@F1MHw@WiPcK9HLi;bc{MBfjLqvlpPh$qLqqIj4sAo?hJZupF8Rpo zR_Af~twG`@CJI+;d4#N{?G6JxM(9S?%Iuf_AaiJ^_YfQ{O)Ln8J&0+ehcLC{^p7YY zqjk&cL7U`pgtXFXR(#(0xGC1iz4wSNhY8cqP+ zfh^WC_jWe2O+F2TRCx%FGIa=cM^YYeH48eh9578n^*aU%eYnv=v`6HBb~u3!ZN~Y8 z=cRq0r$S!DqTw;7r9VYhfOs)~fXW%J&1DBuWm{okT+HWn+3vl7K~U861s%~>maV2` zoWpr(bUs*^XK)XPaK_)00N)Jv#$=9ufxbtnc>4 zC?ba3?fPkvrbY*U_ov7}w~N`@q$yqcDtG6Qh?_{^X=dk^8)C{L=FC!zidGcl;km-4EGN3~d&P7M6oQ5op}1U`oK zNM_O66@#rNSm1ui#qZ`sY00fyQUVa2+G0XuoASK64vZ})v74W%Z+>AEv1fkd*>s}z zSV%>8B5~a?r6pHe&t8*H^d5igATLnww=J%k$+|_3eD8TBuSOb8JigBPCcd9}*Q{1E zqG@4lJl31kT`-+dn}rHiT4mwV*3r7QASciCe2bu)D5<-;v2{NxZ*eiD_0=-#aq;tp z5vnt(`#{i2*^t$0m`;#%0_ut z0u%xe-Q!vb)!CSF?KEFlLvG!s+8^NNH! zp7E>*LC(F6+#VD7(=A7qXk0F#vZ_7FaK)>bd+4GFj190F){~sw0`Et4ZVA5zng-4}eGO+mC7Yk*i#L4qN8)oFr+8bhN z1FD5el}Ui#5-?-PpaZCSVVqq6dVe>lCtxncdK!yqx0P9~u^0!wM z);})4Bfud-hDwzLbLC}3L@1H~nM0V(7Z&GV2j)zI0>r=J4@LRmUk74zW| z3s#GlC!{MO{|A`!AQ`OWUzNDO6&37TN_datkWNV<-J%~g%WI}8jv1fMWMos+O&(1L z&R75Chkmw=id@FqW5cx9*5T@Pc)JDyh$iW-<(Qw5dV2Zh_Jq91$lwg$UEy}3cF!2R z{t`p(SOa$ePdPx8#(q$^`^L9jL;kR?wBjJN9rvVSUH9bZMQy8un8^A-Z=r;EhrP?6 zoc;)k4P}ua3{R)AP~m%aPbID4(E)Dt@FX_Zj!*GGvAmn6^WN zbms{st7psjcX}>s`H{oS;>RhPC-%>>NzAqxc8DNbm#) z9pq0bJMw<1{8J34l4ZeSxT2e5yR<@&x92mdJoFu=er*%^qcfd@e>L;-=dEKeuZ^h8 zazy=;tA1ikH#A*nN6F096|Wg&Kkw0RB8mo3gYPFW-XHAV-8BQ}`P!{W1+|=lXc$sq z{p$)R<(RxAtob-yPadAmSt~2$Vs4JuH3e5xhj*VBZy3|r0OA(lXGE_}et&t*cXH-W z6T;jE)~vni;q%tgczd|qkK;fxlrO^8YAW;6?;j<8C=V3bjNrXc;=@rjkI4URl8BRC zao`f5j_k1seoyWVbK=B563-(zk}fYe8OVk*6iU>UZOiC@4(v(qn zr0nb-yx;N>KWIi^*2g&1VRpGRLo1gS3KoD30_{n2fY~P|foNKAYlVApSPw{rtA!3I zg&%(aBARHo{AGn|ktyd&(`?BV%E_}&@$#*1CfXm#b19SgPjTk=N(g9tVCHT58t>%0 zZ(A1TJP!TAVYCJ_iWMpN^;xR;5MHE$fifXiPqGa}?mJh3*C9Gbvp-lD6lUbdpvzTGER{hsv;IkmC6Utq0oQSsdtT^=B0ruQ8thSxi^`npSLuKD1z z4E>6ts6>~;+vGF5fuLLDR%mWwQVwOk?cIa_aa%w0rNYWsqz8UKS|3eqU?c8wF`vB# z>~FWJV5q%BuphU88J^!D8!K_obaL}#xvYXbsBr(qP!uBTZeu}@0@ z+hxaz+?Z#lf9W(|9&bG?{xFHKqOg+vh3~p5md{?FIU@&I~-Wvie9yc&7=E{q6^HWmJoeeiln z2>%G1g3bI>$IOTd42V58VB5)QtV-{$fC@r(NgGb+lhUb{9i`04r^RNoS*49nK{*>G z8#$W=M*zA5&|7XOTO@|k6^uykwn~9SNXo$VYCa&11(}3xKqNjhy>N8Oadk9j-a0&b zK4n0Vqx;Z0Wl?oBS(x#)Wj~N=A_$jA(Y5JRG|XCa?w%Fi;^#dc&Vj8>?-;{cfc8rA z)s7x7LR##rYBL%YvDHjH2I22yymVa3mIUxaA78seR|-7*>!;_(pYjP5HgWy;C#$7D ziEWg5{;-x`CMNTowPIL22yYbymwMJ9xh+vC{GsbRrc#2j$$pKs`xm!&i<=Wbxz#Be zpt8~E7&rp#@Qb1gI;7ZYh**)Kru^Jki%5CO<443@KbZ`5*`|+!qRx7m(GyG?KaqH) zryR<}5bj)sj-JGylcgyGVrQt~kKNM?=VveO&(-K&3$SA3(ue%}sTa`HO{Ciu%pkXi z12<9LL#}sCu8x~jx|T4} z1q~`(9v7C9k#4(UeM*uff^GpUPcfV^XeubT7%MwR?S|~{%KN7IY&L!r&F@SBgjCO8 zmD#-Y86515Eukqt>u%Dq-d5lZZ1tUtvH+2=N52*zSQ+?f!haDCSGFW|Y3@W>i$1RV z822iHs(;rC7QZmFq*U72*m$+&`R%eDHtp;|8@b?dWmQq(YHp17H4%N&&8m22tq9q4 zD(!4|_vwD(a`&9y})#zbIVw5gv|!D@z&^5M|^X}M9P*$T@0{ zKsIXHtOY(Xu{=5vKXH#7uzt|#9Sme9KrN&EA#FNi^@E#rH}X@>0mg=fn{AHzn>h6k z8R>+8G(&(IaY1HXq4=#xdb_i4)jcSm^nAOuk+G#(kk+NmSAv>}8pCH5wRW2?5^H)iHEFTXrnYHFKDR0J2dYW@oVweq3hVgOX((h-K{?#%4S z)fk2ap&$e0!v!m1j$4qgNmHk#F*q0-P6)?_ml_`N<5bDN@1TjPr23yQAZN3Qcn1{F z{?R)dXHB-cF<*!*W?K;KDM`o3*p`NsDY=@t!!2S{5?%>S@eFwim@j-p8RJ6^=S3dl zi$``eydo+TfWs04Netl(R9C#}A;Kv1}PgC0L~aG-h2Yc@j!P6a#}LaA?!31KkbEmXP_w;6ZoQijX){=^{sV!;m{V&VKM4WcI{S{HS!g#{d0cB0(X$)K?o1#xV!xN52FFsMv_*e&gDgO0iRv>mfDOE* zw&k4mOg;c^AQ%0LSmdSN%1U%LVNZ3<_gx%W)+8r6JA|k^^!x9fodI}ofdpG8#5J; z4HM0cxlV8C>vC;BYu`7$+i3ah&T2AW&)PrR%X=OlRup}w9@NTvieII8ceP30?k*3h zUFi6VE)PoaU3&P0m?O1R*Is+liVPH42VnWXn&L(w-$%?-RY!eLJnGTe?LI^EdGO z!e+#tILsc$_V<(xI()LEcYp5ZXzu6Wzeg$2tm0J_WZcTTV9YYg`}o6(`9!>D-chOc zsWk2B$@7MqQeoNH;1N=)@`5Bc%t_>%H0v- zj)mt4(X9R49^8Xp&T<@^7UR)UPD=CVR3__f)+@9dEEA@vI>-?dtPG0NYyu6oEv#iD z5`3f|>}Mk)e3uXV$v&MaV!O7X&0?o;5|KFrh#Ym1`14fHKR$x+=gXddWJml31upap z%PY26b5l=YrVS$OdAzyFM{=c=yR-dcyO9NhkC*-3A77mqLr(7A)PPvpDoLvO-pcD# z<`-%TqJJ=zi5-*r3LSwyO6`VgL?GUR+AeKbOokppWKNfzMR+7>#MbK}8ho zxqp>xY6eI-7(pkJ5KFZ-2T@6_YV{jJ_fPFme%;j+fb~Hx)E_*@_iiQ380PG4x2^-c z1pDMGF^-4io?;p}MPq~qWsfe!$)|=wHx<&DnOVyBEs_)D&+5J=5<{6kBH@F*mOEcC z52oJ*E$AMmo`dHByYpO>;gA;|P4&oYirl@;A|!$)=xxOBqq ze(n0BS1RRwC+4m9nJl(j;B&Z*!u%BfE+}(DHF1_g`(?>||9#%XJAY!7NXN&dtGS^9GA+J)q4Obdc!^^b$BI3e6GGR_97eB0;20b2)gJuk_}aO3+5GsG`WwyrSBwT6Ws6xTBmb7n(R5=FSYyIv2l88J4J8m5Pl??X_7G z*f8IwXK1)`S>UNduE)8Df#HZjaU%gz(qclHS;~9TTfV&UOd9zi$w?4|X+kSrZOe`$ zto5UN4BpnxZr0|;J?r<)s*wj}Nd9{d?N`nC7kp^yLFRMW?U(XrBn9Qy5H;|&2Lh$c zIr2M_Cm7!#ULRte*!8~Yn}e1Qwbr8uHSRl-6eQo^d|&Lv)WqYFi6_%0N)ci9PQjh2 zGs^`xem38)y9Dc{!Jm4@h|JC3u2N2P)@?arb{ABi8_{`f>|~q9H!>F~->+RlIaOAE>rpyXzn4D=KWJns!Yr6??`T{himpYCTAr^-O*WhYyp@slL-3 z<~}wu>q*|#sOL(h4=>iJYECE|fj+=KF!>OczO^4Uo#`K#pB=XMZ>#>^s9_YawX>*j zYbX$lX?Cps1V3{cy|S{iu&XXgS7Gx)@Q!`AKoq}QXWj{VP*a}~J-)N3SH83UB-|IF z>@K=cO9{!nt6{DQd=NIqDz!7+EFoOoS;IOj ztai(@XikF5*w@j!+_Su9NJ#lg26~T0NLiqUs2k22rkJp&EzX|=8q&3Sl1yw5*0Cd6 z4a)=Lgd*Ct&C$%nUp!`f&YQd@E$Tm#=m^6QGh%T~L!;z1*;aBehjJEqW%a zXh|<%KEsi5ke901ceu`<;YTC%=6LrHQ%yb_<<2I$T6Gy>^qoA0osD8qsgF=UY+{iH z{skwEk5CQuJlm2rE=zv)t}}7{msoqtJR9$T__UgQC5&Z$Oq?Xdjh?!bOa~R7iDPuz zun*Q&lxKObbp&5 z^IqUGeDhvF*4uktKma8VykTAc=5;%&n&(||V!#USDPH@C+ULAa7ySn_LC8Ds}oZ=Sgwa31S@u}5gG`ERn^T^RU zPRpANT|E3)IXOE*_M8Bw06~s7GLC#=#F4ivxsR(1 z$sPkLgWQU1a+^2q&Z8;}nGDL)4mlMGc2v^jJ_?4HA7%}X55!)-hi*@)*dE@Xrv6D~O)X&@y^>jOZb<%aEvaas&*_|9GX_S88tXn%V*kWBnyS;49}N8H^s$*lfCS1aT+5^v{07w1aY;DFlg!3N zJrY;<3HXC$a}jHf@!jZlNZOYedyjxqAXb@sU9mUd{}j+GVFstSlTR*+7N6=TjmPThamt(dNdtv|O;sXUNGC$6WdCx2Bwb(BWBN3`gj=9YWqz~c6W z2p>`Oq>4#I9T^MXKNxgy++*i($jV}umBlGh!D)f%NryN%mZREzkrDYGR$!1d|yp#jOUfjs2>-+Xp}6= zR+XyI(VE$IT~|HV>Fp1Jryga^x2UgDtTs(IjyV&Q z9Bef^OHnAH)P+oyG#+zqIo(W7xUX0rY*B$purfzlm}1`8zJI{HnycATw zR8g(GTt9iO;_|4V^iqRi6G`qf{)w zDdE0c^_xoln;~0$H9={yoj}7D&3f@deiL5eBNFuZ>faiFdD?#yu%7!27F^+}zvD@W4&|Okdy2})6`rafWt|wQYY2to z@*J)G2b?f#nZ(wa>FThTq?NO2U5Q_MClW|2ZmwdFV^jA#at?2;H;<>3nsXLdE!>7W7^ZbtO)gam zv#BdS&bifCH54q1S@VZeZa^e?)YXbMRH&um!vB<7;Zxdag06FLWcrbGG3Wo=c{=Rj zY+(bsF4xHs%n4;>bXuYOo3f;dOVRVw?*Ukyw3+6oa7#7jd0Qtt+x+H^5)B@bhad|y zxq2$X$h}X87K*T^8aMpVh2xhCC6FIdWgRlAxQ$(U-55zlZcofEa@RpFa!OZcnL}U0 zFzk}Rm5Lyv-BrMFE9+&@Ay^^#iEInSw*lo#cYgSov`5n~2E5M?u>cQ|H zQ!b<8V9I4weE}wW6J!RMZ?Ks3ePq}1z)~&pAvR`6-;}~C`&zd-K3vU+H zHlrHAKh}5(Tb3Gze~A3i*cYv#7R|$C;>BR{!C?CQ*Wm{!V=@V1ENrhWnl=AKiqUE}7lx=OB$E>gvpW7nh_ z+Zx-M-RUwr)5TY2MBkZ=ODwq1R`@PoM`SS%#execJ_-e+=OGX`3KiN_`xUO6$)~hN z*xqcwQp!4wQ^~AD)-OWLkE0Y`rm=RO_PDg;48)4w2pVhkzmjbA=C|ZBP+XdX+joVY zT;;u6_UG&dWsH?B%vfjkXd0?IZ%Ea9b`KvVPFP`&Qdb>xsaL1kKi{TS)A0=pW&Gxj z`pg&luBK*ra^k7WW)XtLI=273unIT6axiEN?m-<2YdA_$^({(wMYKMRCovme6S9 znJwQ}*bCiIx!@!zF+R@NZ)XU0PV~B4x=m+x1Zo96=h>8d_19K>3!YdQUfqtO## zG5;?3Az93B-z4A7t$9;*Ss{qIA=wtq-o-fu*JaCq_4C4e*0TkPNKIq$z-xXr9+eDI zgpvtWRQ3p4(I$sfs$6kNJdw=7OiuMRdkDTp{t`2F*3KiM{69218lr@H_qXjR4VN!dj}hv_jkQSU3SDTh5i z7+bG<&MD&y8B&KQ{?{)mk^StKcRLQ`3AH!hk0h!mxc9ZfwF_mlmTQs;L%Fm0IuaMR zPiEdKY`T>Vid9<&eCjU_T$*Z_!t6$ih$`xf^3 zW*Izv>l{BF9Wb+~eQ&AHMvWM-v{liLuJd)ZnuVfWr!-ExTsEf-I@|X1VBRosWoYi^ zSr`AT$u?BNot4(cKbar6V0%p z^7&A%FCq!ZzcSki>HTu_I&^Z8)cuLLdALw`ZMAAtQ)pDTHCw^Uyyy02=5ceIul3Vb z-otzxSV(B#%}^||@vuY|A0xS2cw|cEuv0^oO{FK*0p!NXsR(87V(d;# zjfT4ZC!!b!)UO%3!yr*x)_NCb5ix%Fq3oTy>J~3?#){W2q5B^d(qv|K}~H_Oz$ZI`_> zrI8kyILUkER7&ZtK`V*nS(i9}<|I~64$ehJIt^spV!Bj+)(a&%0+o={48gOXt(%0t zyyt*}&Be7I-ydfcZnT}R8ej>6vK{wwaN3utN|g)x_6zh#+zhufwmW;$<$|5V_8XWb z)~iZ16<`HTXKxA>U+^rp*YaP&*>R~O01H+Kc<41-#~L86)rb+0^OFmA}sOkjA@4 zc{yMXyUT$8e2&vr|I;iJh@?qEODg%XS@GuAcH~0MYx>;?epXSa0oK>1YJ`hLAwn1A zCU^Pb+Hpyam6h*jXQ|WeSDR7d#i?AD=4AETGJWqyp{*L^ic{%_5sk&hvqhe-3Z46b zx%JZ`tdts5jxzje_lO=BT*VIS+#;U`d$VWamT#R|_JvOr2l>eKnaloAP82g2^;g63 z%VNVYT~?Y4nW#<2MVUm$gV{ujmhand!xH9*=`n3(Q5h&IG>a-ij^Bx>C{O z3qGgGy3N8V*%Vhr;nyE%<&BmQHrtJr9NOlM-rpi^0%SE+gMl9=-PMWq=`wF67Ky4J zuTALYVZ=pPbv>>hoRm^;Rx4MzZD%X4&+9SDtrkrz^*g(_jg%gykW;8it#rp@+5GD? zd}2eZCf~zjL`9*ysv|EA=C@?q>DF~#?|O`KXQ;IXx)_5$CLZtFIbA(tOy<`hR%*Pv zL%(`IINGmP*>I1?WfCI4$9wF@UJS>}aMhCxHv_*_V_#s`M>luko4GxTa`|rQS8|2& z)m^lx>W+E$=)KIW%@=kAe<{3qX(ClR)t+-7atLtAU$_bsf3}<-eC%KDbi*~bxp~+f ztU%-yw(7LxZUHgNd_;ctc70?nEG>5a?)=rl3iKuu61@ke?k!nQLD>>LXLA`XM{KOI z)jIP2xnEUlzJKXECad3L!?3RZ{UT9BKJm@g_|S0cG1AoflUz*Ua~*Gg`OMeRm%c1% zi@Qt5?ZMZ1<`RD=9QJYW+#C-sUPQ`VH{yM1CU}x65?lUbm6TCwjTP4Q*Lss>F3n2G zjxtkHIU(eCZ)4b+KNd|-X15+NW5rLmu74~PdLBP-?{c@^lw!rV_?81q#LZ_%{WoALHNM`5 z^`Dl%%DZ|Vy)4v5FEiS7SUS#3n?V)4zDnhZ7)`lmtuZpHgqz{l{_MI2O?G^k=6WyJ zH0%Itvc8W^@pZhoTQJSq@~%$_v|znpvccY&?#$R6-9-0R+p0QzEt=}>sBpGzRWx{d zxYo>Ef@3dVd(Sl0Eq#5NkS%Xu6diqDyuaLkeBmynn)K0c0j>VHmXk_qkZTnKrJfAk z#WvdIQiH2r2MUa2>-~+5P}?P)1J{8opq_0{Zku&)88rmIgNWUY&+Nr#{;aDxQs=^o zZveQ2x^g07$Og$GsxFOAEzk{NT*_%P#A3GFVldTX_R&Kj0}H5GyAyQ0^Kh6-1_fh z>(X+Gyh$5Wqk>&D>T2Opm94^py))eZIYc?GWe7?J-~9DchPX-|l|DN_|C#Mc>N zK8au^w2VtV{nzDJ}3 zZU)TkxplPmBs%!rlMC8B;}_ijyo_^G4b|~d4b}5fee!vAd3y77l7C`mDC%4B7WFQh zMee8Y-yx8Y1s9{~<0=LfdVPOly8Ga9WwHX4!0WZe?g^2t<> zEWL44pn4&&K{q=!BJ5F=P7I)v5JAXuOu|Wsht8!P_YZW214-I69(FH3-amqvaLPHw zN?Q10ocNwj)9J6ipB?BD9xM|}DatCxb>rn`F(*Z0F^|c`lt7;M*))z6+Dr1xe5kuq zKj!3{OWl)uITs$1TPaE|yPnN8aFcDU)j=?-0ZYTy)YuQ8A6QAcBtE>{h@)Oou;}*{ zNdH{xxWX&4di&Qin9`^KG31_41v=&!%W55aKE;NqvHALt|ZODiWUVa9bdDyf< z-Cmq2kR$Q{{q5soY=!+0#tHwdXhr5iiGD632BDuM9pQSOVw!?kjLOh~Y}@TY-Ed!w zY{y1$>Iq7&-^@}D?ro~o&4pXb@@K~?FsZ)&r5a(kC_%X-w{d{%h{PY#+ z=hH(tBkt|<2Htr49=2951GBmssMR$0I!Mtoek>2Ne3<5uy)6~|5xIz?VlGgzBQ?M& z%Yt8o(lQM^K4!^5SVUWoxNlMI8hI|}f$ga$-y4}gfF*J6z&{jNM4|!lw3HQ`Zj9@OOv_Nfn?s)X!o2Zoa&YN9RcW(SM z1CV>I$sRDLy8SRAcHr>Ln8-9H8gS%KC(C<&OwFSXIa<#ZHUOL#dcr$_YK_d1L1jb= zYC;NXIehJM@pL8R2@k1GDSF3ZVB{x(g)qyWK^G{IV@>4&IPb^4nZZ8bF^;Wyx6e1e zn4|GDlfaP$?T_1h60y6(dR-zEKGg8sA2%VQzc}zu7&{G#a7sdPrVg_Yd!tKUI46L= z%Q?ABLpI_}Es-!_^@o#-IbCHQR!05pTl*%r@xwc=+f~3+#pBy8kI+gL^>O~z| zPHI9)B^4THqDpo!s=dd=9)+6V#k|{aV0kkqHw3K1kA&C@G{O z2z4BazJC%B?7x35FormEEx)86IG`&jL5Z5AL|oE#PZpj0MA<*!zu}LvzCZ~GEGN49 zY*}xBEI5WUWo@34KX^6_^~v9^?ZIqH`PH&~3qpDNdvKVLZvOnFpyKd<@~rQLFTk7M z4Dx{v+lV}*BVdPEa#Z}l3xe0^Mj@?}=#V7z{l!6Gg;CTA5_;XqD%HsoK)?wpPH*l9{tuwE4FXR5KSqy$mL$^~SD^D&LUdQ~c-8P2a#SWvJ9Jbf z>|BVrdIN`W(-a6(FsQ+-_I^V(3VBfMu$ecSV7n#pejaF#4s5UjI7|&sz7(gPAXvQ= zM;BuJM{|c?@c+oc#|^N^5%jgCi@nLU{hq_X(Z~_}L56M#YnX*PPB-E9zfcp~+3Y@Ys_w-PQF4DG|`wkEcDUmS@pN^$g#rXh~3x0-F10V_%xk(ilVF{S!Ip9=(Bc` zao4!k2<-xE&taA`6qN|!A)p!MsoCU^wZ7a^MQ3twUqi`{PPF%M3K2iWYqH|S2li*o zZyQ=+6dn`R=QQX=ul8yMlG}>s9~b>+O~Px2^d=*4#V`y5PR&#v(=k{%4Mriy=V`kf zTpxE?xN_WPBd9ZLr@6=0GsQWEPY{!;jX6=iB^ITY+J9p12IIa`5}% zhpdZ=9bAKRzl7KFDPl-S#t{Og(c3{4T*(Z}f1?6cG{4Oz(ch!m)>&YEhP4GoB9G^R zhLi*P65T$H&}P8)XrXchr!%PjO)`nz8Ppb#O0RaG zFyA|!)?PRslD!rx?Owkd@VB#iKY>nGAGvtl(8oxzd3_~lLv}O1gl>3GP&Pm2?8306m zT7A<*QWd-|R(;bhX7o6qSZr-WZ(a&P_j)+s&M8

J6$L3P|Hy_&dj8r9!!v@M?0JxZl!ne=)de~Jy?wEC_X3N8qGm2W0tl}WIvjqkY8-E_ z(rYjVnK9?PG`N=Mc&@O zu7pvb3w$_-5&RJ2o98yNvX=h&nt{Bvf7$60LTFCIK za%n_R^YL&FV5-hUf2_`Wt2U=Zq=FcEYN*@)tsonDVln&!uGIS}>v(-h7s%3U z{}J-H5Y1SoyNx%ysT7*OhNk*aOj@XIWm3qsBBj^b&BmL*DY-^n?hX6KH|!@KpFbaP zc+&pn@Faf9;z{tV2JrLeQ2c#;F+e!15mC6nVRb}646a}V!!baEtH^%exImDM#DEN~ zcWi|0>6J&6EzDzg^GDZg_c=CfxXn7EkF8s7vMyM28n%X=nm635OY03m)Ojn%lD5Fp z>$zx^T;0sZ5m2Pp@`EY32pCL4834151F9?5d^(OEemk*MW8-#7y7FJq47pRh}nf~}C zob+0@KSc)3U?Ht_yy#L;Z@!lA7&G~(^ z7AjS>S1r|wOf7Un=(T0SWDk}~ONi{+rSU{8tiG^L?|Wlpc#6TA(e$sXk+rM-tV>nd zwVJUYRR+yjCM9=A=-N3drl)Kghl_B=S1P3z-`i#q;0+FVMomIB)8X!6DHdJhZ-FZ> z6jK_o;>@7#9G6{_GoP?$QE^YoX!tReyk(k8pGNJI{@dTK^h-`Um zpdEfiQEw2KiuklqmRz7b)d|arbwmO#uqTthnq)MX(5Vc^YG=XRK^y%932(4K7+NKe zjJ|9hT4f%o{k>j4?&2!tlfcX#w+U! zqaRTbZscKKa)AgulXl=^!Kp(2g^u4$LUtTfMn-06H9r**bt8;&goBSzfPtlDmv(f0 z-|8879XWHl8I@Yx_M@<6lI^yhg~x!tH0q5G(Y1-4G_f`7Qo^-=Bx7#bji@h#V0KR? z8ga)oo>1PH5tbd-ip(eKVOz@cq~2WSRC0G98BwQUZc&~bT^Y>y4Q4`{f~`|Nv?_gA zf{CM3o+1IYmjp#VaTR#m9tNy(`<~IoA{u;%RNRqFflk>Jz5;ZE2x>1;>K;^;yKrR| zx#;RIIXUYwW)tctDo>EyWvi)s25YIt6MrxaW}je7Yz>Sj)OpMtTk^SDSzU-yYuN}* zXJ)eB>Dt}pcnR;bvPZpY;)7T;(rN!!unGPOk=_^#qSCUPPD2%%qSc1u^fbNaQ!kTz z>3&PU34Fr*OD+TkleZSq8wO!jT3S)fe7Kn1HHk+MX@^#gLa}+PkWG--hE~B5n8gHX zkL?^ZsE&opN)=1q#+TF9T=U=`dp=vbXcGz)#Qj81$E;bZ-KJC6X#A_*99WvqxA%Q?-@bFRmgAv1JBwJ0 z7w(|;j%Zb9$1srUjx2&s6z@(P6WjKPsY02?A3CyCku6bTE|1_+wuxP7DW{;KUBG(D zUu`yy1` z{`xe^5vOI1$I*qyjK!_<@=tInso$fIXy(Gvpey@R@C~`+9D;mhYbkp^THxJEh1l@u z;pt{noyL`_kfO(fIbM|7T~N9N6J%q#W4dfP3zN!0hnSQ`h?HtkL6rRVt)|*Xzn~tSebFDOioVnHbrm> zFWE-iQAV+ccOwD#OxQ#Dp#c04?4eHvz!|Df1;7vJd&3P8@zF!=T1~9W;@7fKm&iu+ zCzvTqX1o&xQ71{vDH9AcFBY!8@ke(5Dzvl_pIe46sKyc1VGVCFN4A?LTF(SDJ$g5K z@%4aS&O~}Ez@Pc4&%RA9c1kE+6Nq*Dpg&$I{ewgoY~zS_vxa+HAV1C!on-?Sd4tP5 zpz7niPU(?-Myggv%|it+ox0|mEYFAXs!Jht-mn8(o~YK>fyKrZRP(RLioEPUC5#+D zz9xqOzGrXyHLb<1--G;Mz+nSV0mmXXpqBA8EevPLvC))yqdQ<8sSqN?CF%lY zP}`W8Y*~z0!A01S!>ozm7yG(5&TjLdMuhaDwN`@PSfK8hp)L*K*C*3&LaA6!eg)@Q zBbC5!1*3N*>(lw))$fi zMlprMWb_n}k4xu9-7KDu01rh{cW8xQjShviAV9!`EdS=&msh_&3Jx{#$-_Ou;v|qS zcwgHP)6}c3t2KY=)c3R+`#B81Zg=s%5(l4*Y(iFP{?aPcuxKu8)Lzu0KdZuYQ;*_# zkN}(Og&Fi0!hXUUs53w@XUCJf0?4G4j8o4omTv?-9P$BA5EgmQWv zCX(;P9y-Me=K3&3E;WGPdx+{kC~JSfETdCxa#N{u8!FzCXUpH_-b=*H;TNFaNdgl< zzwsX`E`DGBs=ZWbsTNnDh9g$V60UBBTs=XgodRg03AR*&nyY{>Q0soc3|GI5RKJ8( zkKsBC1BZYeAc~wK;)n&Pf(Mr|K`AxE7ocG42AKJ|+Q_1J_Z6Nn6AY*CDn&CA3}2SC z)NL(dw*Ei`w_^Y9$So3;hmA=>1r-k+nNJa9tQeVx-2N;W>>_5f$|X~{B$n(F<_IWFs$`8}pOLjh zAgVEyDoXmBm?e9B3ks3UH|^m(llHs>JVHWi9b3zn=-P2H0WxaN>~uk?uZPx}5cH5^ zOLfrN(kYcjpM`1+f>CKWkXUZ%)j*5QS|{k5MdlTgw>x71ROBngoVVyW7J$yEsJtbT z_8u$%&^65zwBY1(?!2D6y!MpN*s6F1tS`I*?jR;SdvTJF74^qh+*E-ZGL-p5vW!X8 zD<%U3A}b2u@05Ho&En%ox~YPF*~~)-t|pKw_kR!sf(F8XsX&->*WKeC5hpI_U8j|D zZpfGzRtLI${%mm7P*abpU}RjDL#9XgwU?zyqpIoL8&)Uxh@#N6vL;A2Js6@4WnhI0 z;9E)+Q`4xoBUn~*NJ^=K9hBiQ&2Ho(kAP**wGmAa{enOE5$MYr?*Mf-E!2ZE#tHsn z{x7G?1+U|`i78Q>D+TTxs=*Ix9dxrc!5I1i_}6?CG2mZ-DhCx>WbabY$o6B&tg+{OFz!yeaxg;vs)%RT zHoUfx=Yp|x@G7Dri(_=~v|+2)ylp zQl(p`m#ZeN8igN7oi-TjHW-=4q5F3qS9Q8+z@?PQz`*A2Qs^Y=BGQI|!FkP)W|pzV zc)ewY=+Qk4wIAsdLY-IE>gzq~!qpJtSk&i{wsq^d&S(2;>l(2VX#{+^Vg5=Oi^=Yn z-O88JE8K3LtH@T5O|!Q)Za%$j29FOJ8aFSIQ!4F6=<#o4gwqU(x4$PpUJEZ@@60=U zB^9P==yMsqRAGmamU#*Ygb8k(t7Wm4(73KGoSu4eSO2;m-QVNB_1y09@tne-p}NLt zUpa7%@p~}UFx#N{k-FrZD(}1I$)iDi+r&kD>uRsEXT?K#>&l?IX9X&?H{GVX=lF%^ z*PXlMg!_rJi}(KC*ijR2mw*Gq$)-S`fLXoTgOb8%UF*29Bj2-UKAF?E16_fKHjr*B z^n!C&*i#G^D$|1aa$(W+vpdZRaPnD_fKyhpVAQ^c3>IM+!&h0YeBf)6uING{hY~cX zA<>yU&)StS0&poq!_Gu=E`5Hv7JIcdAJejt5>=`2<9=A;TDp7seBsFV36w8N%&U9h zY1i@9@|4dhceG$>_jWF{v+-VuMEM43XrIFMjZYrZ-UnLMeNr;!!_MbPP1yim?J9RB zPUaWKw-43*KTUeQ+YlLHA)WGGJoqOQS}PM0eRL&QXLnvl&3bcP#`EE7%=!##6iY1P zCsS6@-n+&bB)Y}ZXHCw6c{HYNYofY3-Ksr#b)#n8mbA88{m$Y<_P2>q$_6P^P|9}5EN$wY zA~aA=FS;Isnl0DlH?grfe#!3ext$kVX_<1$n^)I;+?6*zuaogO_b* zGh?#XO!pH4E~hB);vj4-IMzIq2iH8w40#*JM@T_8UUWDJU{>wT{zH>r!hBw*b>ce1 z&Xgtt!+v*KtKWS=z>BLNG#9!smRx9Mii*oT$HsDKiJRff79-1T{RK-$e}igmjLdL@ zs@g7jnM0a`1!k2)I&~R#l>-*i7kDc&ikrdyOC!MkIn`^Zgitq&aWNs&QN2)9v!6zwL_R{H8%Q%i@|Rv$8f8FJSv7m}85h__>;^U#IhgCp1E=BXy7@2L3YWSsoh4jkwtH-7 zbai&;bxLA8x14*q?SP$!}^Iz4eqfTC%g}^?-p1;Jw%c-Qz zU=uz12)M!FspRCrRodDk!*Qd3iiGfRw*(tGa8@ue9A8dKG?rom-(!^X`UPKQU5M+- zxYXOFtqqZV=J0Dmx3_4p9aIh{uZPxO#CRnH0(f(_-FH;1b8}M5<)LR+g$Oz#>|@}( zF_fsmm;FtCvqRMUhp))d)4#3x+BNpayKxn+mOPznN0NNlb2ck)5At7yvd0IH0X5l?@3 zARQ*m$pkMjRlohN0ZCS{A2+dbrPkwKd)MqUwQ|L5&^hXRNpRo%1_Q=*-ae}JlYhqh zN(VwU>QtAKXw`Td1WZ&byeEQCJd$#1xEF)KG zvLYH|d4;B`My;(^-H01;wqbzl!kbHejh#!=&>=7zvgDQ@TxBn_FL{T;*4~hMPF7P| z5-l~cFa)@xkP$VCyry(IYd(T(7QT<~2k@OL$TJtMiZ4}A2toU8asRn3e*x?59l!rJ zLBFfz#j*WWD2vq4S7c+hvj*!}n5ATC=SV36h$w%P**Z+_wGu*~-+h4uVR2YtfL_gT3G&+WP1GWFjD z+Luw*?ConR^snd6+8t}>f86Y!R81~R$||FPy%ojBhqDMoO}&}XY4hrtx-%MtoXSVF zk%fkQ@WFQNxJZ-c`T|>3XoFIO)`9+4)76z?>8G)(8u zA7#Vk+N>P^y4DEgox^Dv%u&FPO27Q7IN}sHemmw4<9HM5==i#e?&0F zDJ<(c0L?$NID(`T^lP-5WG0We=wBMSW0J%YUzCia)2odovq$&GHoocK zuIs;^b@!2ua=}6S`+DE}(Y#Yf&waFXYpobt%381y+hU#>ecA|zF zTM4$wf*#v3w-6@K+)^F(IP2Z3s+=-4|Z2m@jE@SHJSZw+mQq#rx z>LLq$WS}nnhd$QNbD=mTJS|qEY}byj6Z-@Gt?yuiizFSBhJ}gV%G6N%eXOrZHZjl& zKn`At$<^4`4yjFFz$_oE9@U`45J;U#NhkIZQand&WQ8uJiEGz@ho>3&3L_IX-5O*f zlqUhE8-|?9g^VaeVxmsGbHVQn>T&O$NgN`Ski)UsIYjol5u4l3SG|3dbRXjjVaHRR zAQE$7W2!;A5CI*GUP&d?(NrruV{=d?E^lKhCz6yIM!}9CXN{Y=$i`M~Wm)!rCuOx( z|0$MAofie}YhsTL?8A)Kkp_$Cy=Bz?N-FPNCC^@RxA&Q0N9+jGHn^E9Y;3hwmih~` zT_yQmaw3hHVdlUCz%rNE*eb0o)fZ-~O7gY-eLxPFVwsJt+RBoq1WPEg9K_L>1|&-p zn#A61J8mNaQ9Jkd8mAH1Eb%PKEQpW{F{-3bG3dWTIuR35K;pc0Jg~u|t$_+cFYwvk zF{6XYD{3P8Z1o(i0b4;T5oJ;ss6FxTkF9M0ixrAOdp&O7a zCC@IA$Z0GfcRSU}F0(Bz{X>A@f0E>{3LImuG)hTPuxauN)(n2qYA(%Obg5neXp?+> zk1qtvgS$=Z2X9PY>bIs{TfVq>dSaKV{FzWF<)@mOuD8tj*{UMdL^SslB)S2IYR4$q z)ctSbBOzZwrX9b^3!$|T4_gpaWhE)530P(;BB>&>!Bs}#pi~RN0NzoW0oaC;{F)MC z3?oQj%*Z-m%(2zQjTUbgI~&^?yO`iYJUr5`pMO_5x}ulRlHx!|j3E+9I7h=xDZ zzerG36H{ohFCIu(KzDrmwr2bE*7QPlTN6;KMiLRV&$$0X*;0d#e>RJCk4oXmJY@hc zEo;QK>t=+!lot={a@uij8~)QU^Tf0XA|`GZcfuNd+)66M+c43yn-fu7R}M;b)lCA< z5V(Il3J98ss0~r^RW>Zp@Rm1-q+C|oesXr+rMY*m)j{;wn$+-8Qs(GbJb&QOiIo2at43Spe47|Ya7QXNQbL>i<|A+_)l z)ueNi(&vxyOCGqtQ~S*rN$0x!i>_#J>DHjc)H=!ui1J){av5+Hl;(e*yL_p$;6rG} zTTkT={fQqXQXT81Tig#oy$z4R&icx%jwOC@Yu9`5+hP`R#2m&axm)fZ$0t(fch!4h6`uWvgE?A0KS&w= zztWu_q|lP*YM_#|+Mtp)`k<1P#-Nht=0I-qUp^4I2@PC>os~pt~C0r7LjHoNIIP&xV6&csc7gQ(t45<(s)ydb!I7XlFTz!O-KI}GN=Vn>LNYj%aU#@WH(Gkm+ zA0Kr74T#d7y}4lOxn61+O6z5>x3w?lb;yL<@gzn;@D+Z%m40_}M5St$9in@Ar37P2 zZ^4$;fiF4OLG3e?mZt{=!ZFmoI)xcpV6A|!4n{@IT@I{2oMmCA#6MV&yQs}XxYsi+ z8x&)oD0tF=FQrln>q%ZtrB3j1&IvM252CP3CV)OFzQ-YFKwef*J!9$B-lTDCqrgH0 zF%MPSH}9@vvKHCM2ZC`5g~#RN$D>R4=G#TZl2?|cl=2qYh~k>Zmp`W$PW4U6yT(@3 zgTwR6VNu1!@K`c3I1H(&EV^{`W^I~(^TTdO?T}HUf(iaJSl`7!g<-;G(3+M2M>bHPGr?+Mui5#b{q za47FU!-nBzDHG1O`3TIQy1nmzrr(;OjK%)hrONcxaBIJXLvP~w>*;?B()xQr9fG1N0pEvJ#qi>0N zNjm(7$(8WE7vQVML8}t-WHYk@lh?`&=ybxO>~l!#y!4(*9!SGI?Y7xyG||6q#M+~r zf9-O3b*$FN4K3 znTa*HIvSwjdXU&|OPU=8*Lhez>Q4!-Xrq5nd#3FJ%;nH$pCw&D>Md{xtq_Tx==Mpc zL5Fh8e2chY|dz9}!I;Kou;w3<4?zl`m5I zhKi=YunZ!u;FDm(>X}oyW%OUMfQ~Sr89t2lpRpuqrxDMev7n5`GUYEDry0#wMS!t{ z>xBU>Sl|mJodoTRy)>&vit# zw^5xQKx4^H=mokoiD1V*%N*BfI7UxuH8s3Tg_qq<3>?>CaWo3J3*JJJrJv|qEPw|Z zp-Qnkv;*K+wkV#lQSDxWfcCWEzlV=k4Gq!2f8eH*|Jv*e=_#BN{)K}68k;xk0TJ3m zO7-v+kbeyg>nEY;sR%)jRN#h+THn)Bt=TMJ80pt7XRT4_fBi!r z{6n7tbpmj+Bj5o1_|Gkg{}*KU_$tOmeD+M@E)xaV!g?gdi6FK7rxt_y#Lbf8d!M8F z30y(yQKn?Ez=@{|UoZsYCJkDNtrCtiRI8W{l+pU+(k`yESFbwAy|PK7*baE(*b$;5 z%l|NWW;WW$8ip3!AoMXdv(6wmS)`WS0q`>@P544k$I#jg^uaD5W{$x8Nj?uXOMW`( z6Z^S8i~WCehX=W=hxm4`)mp6y9BtBi`%UNQQ`c|xVt$7Jeusbj+rl9C2n5ocip4MC zG*VW_H1l24Y=%yHs7rK8dZCgfKM$1Gd$!;7MOB4DTM{WxGkhRsN3m3HX%`_6C?`#aumy%EoQTJeLV=Qt({m z#2!ytHTo7IEj!4cPaoYrn_qk#9c?|o+@SX>1OV(1Uyu+>v8cIErW`y`1s~#{^S{r- zA9ZP8jYfrjkPe)fAfH~O+Eo8tmc&+IEOv+?qvy|I+*tZ@{o!L(purDIuXneycI&<* z`J7w4Fx)S=a6xTkm6}q=h9{$G?J_Wb!C)j_rEO_hlb;b*f}3e+IjdNkFE6sE3eTVY zICuJ>)Ty1LKp5e&8n{lk^8Mqg+f#pB~8abE4h zuMLGzQ}FrJ!Q*|&=ca~9*YCND5oF>eu>UdiB?>0;t1n`7Mpm=UCn&U&@_#c!t^4~D zGOyTPSyarfUObmbNJwA2=e%RzS_ADE9*BG<&|>2pQaj-gzPxihCi9w!x?tX(JdYs> z&ePEH%hJ@QQYFM5h$aaVO8u=#m2kV*x5l`&0_&9a=#KO}Z_*?8ip*`0yHq;{*?(}h zuIBk^eQ!Wg{o*j>q|RH2&tI&8W4SB}iZk@W7|iw84EeYhe(yaEkoA`({N4ZxtIlR8 zR6)xow&a3ELWLr;klJQ?E_wHe>Pl$})(5?Do?v)tyAYbmTP7fdIjA*c#(mT6RwSTv z)-6(Xf&x87{)h%v?Vxp9F`J@3--{=D3QAWRQv3$Z5Dbj8R(vAt}j}-vF{C`U8@rXWm$p>dy&M%seSOkIeIh*C8;pVL7VwbnMRXh;-2Y9aJo>x-2-dQo($ZF?)A>qRnLKS;w1jw{jYax`?qhpIX`E* zHj>x4o!wRiZ4CsoQ{NNMP7*{Ff5O=17u-jEm><`qP$YxNV}=O91_6c0 z84W@i87QJ#l16!wBSHz$(+KeB+)F+G$VZV3Fp-_Yh#b<>m+owF2^UT6vD_oXP?MQg zC4{_bXnzvU+}6LB@dYYmCkLXR9|mj^4Z@lfdQlu%JBL{j&t#TnBu_26T%GzGg=Dj| zRU*+6&EZdoa&@b3=CaMwZ>+!wSH(1CC%;%qf+Ab)bvH7IW}yLjC_zG`5P{;*U@^!c zxJK~W!|8YWb`~S5mC4yK%GJv5pP8*?05kiCv#Yvc(h1yj$4t_k7=D~Cgm`VLOgF@o zwa^+Rkv?uh+>%(_&pLiexGe%UK}(VenTQ1r<_J{OoVZ^%? z+$FCedE?AWln`>UB({PGD?-6e6gT`Nwy)Z$y2(*u)m^i_ltg2PW4b=dy4uvVl>kN`tn zkuQ`G`?x$@#Mmpz!K@)pa@<@Z|Adje`TaNpZSKNAH`HiCNVhO?c6xQQon_O2Hh81| z2Hw9KjH^?9M^F@YEABln&{7-BWOk$ROz&}`^Ud`Yi zCb3V_m}kjN@?=JeNV%hVX@sR6+9t>2=kYwI-&13ZD%5{O619;79A$!bQX$6eN02q+ zi0TLchWtT7ybyr^E!8EXCIF7gS8=>#<|QL{@$Ylu=CW?s4yK{hv{ZvGz4P%6K`eZL z3E(~`Ohr`zQA+UCv^&PCHW!I4MhfV}mI>Q+7SP(Fvt->9j=w><8=RahkpQy#Y!xaG9DmgF;FP=HHh*f*jzX!dH(CY;0U*=IbjEKyqKaK<0k6- z`_6_uL49-(0J!KFrC0-+G1_2MQwSxD-_0>NDozIMizYNzK6te70}v+GbhDVIp8%Pn ztdP1_L!DgGjm8Woh=>BY=rula3PS3`9lx^A3LCuCNcNHT>i|yUSvf(02$zW=-iWHg z-7e4MSkSR)OiXMr@Y!_53n>~YTp%KgLDqvJwhB36f-a_Wb>qGqC5z(nRkznUODCWDhg9lF&gedX|b@t|MHBmS+#sZ=dfviR=zntP@tJL$$>cvNiDA zFr-fB!nJjE_aNFE)|#(XS5$F--I?nv1q6HSf|0P|zBN1?Vk|Pe&D<~sR6Z+D`v8%v zIHyK>ri7;n6?_=`h@qwl(2!=s`N0rlFAF;eLpK-%85WU3i}QRMNi6_57F|U{5Wxwy ziu%o9$Urj2yHGxp8Kj^pl`ID-E;8}jA4`D{Q-^}d{ndc(2xNS`I!=@z6YwVrVMp7( zZBgi01g@&a5H1eSDB=*4;8rYQAhaP4!TsGL49)J(@){)#zQ%;B{2^8x2vPp0fJG!o z^_x!X3~>S^+r zeQhmtm$nH34N8zCeTNM#o7NbTq%`tZb;LX_@NDlWV1TYzsII8k@g5@oPHH0sccL;!Au4b%8thb@W z%a}J4T@h1Fb>ui(Gzo|xW5IyQpg{oQO_Kt1h#hNoNIdChkpZ)TC*Nn;p@Rg5V-|rG z<%IfqS=+$ljwa#RQg+~1Whg`=fd=uqXTm8;{}l+${F*W^1FVn~h|0p75}O zYw#}ukwZ9CDz_QyaEGLn1w>nRHlP7K!pPFQ-H62K1HVN>i1tuJq*e7ASt&?)W|<*8 z*n&zDU{MzjeFVe4IhoEOYpdh@V9#|<)Pw_*)V&DOMs7A1KxlSF3WXl)Re4ErlF+>- zEmDDg5?kP4T5otI>R?G_z{=C zi_hlEkBwA)8^zqlXfo?yxfqZ8)DL(GAa(n{@Whe*3X7=-lkJ&F$NEe&hi{sPCZh^Ly#!i`R;q#`6>G zuSKuYBObxtfuASz31&IHF6t#ODyC+cf~G*gY6%1d>LrwJ-rA+Lz>OG7Ypp&qmufV- zyZ6``gco0E^-sM4*}2Ov4Bf-B>IDS*Jkga)B^t>Xm2CT!{4#2o8a7k4%jtU6%-z~1 z&dno^&Ensh#XXwEeVWC+W%SBN9Jf40ydm}KC*0l;SIkbaRw}1zH8S*SS-SPjoV%sH z`wf7HE}vGKH}rayJoGlNybC+eE6!{1@_Yhu40hmG^eVb*I-WW}*U#7OYHeD(Yqp*` z+&BJ7I%~GBI@~+Y*Zlwogf*PrZ8aUc9iUJaBTSbpl~tUbhd!v!-o;nsDeGyf&SB7u z+%y{AQrScdVp)UAC$ZB*WD*FPn%5wAb? zE*_Vmkuy-XdP>3Wq+z3PD)3)UF#k-E)LwL)q(H#F)%5CR0& z+CVS{1Qq%~0IzOyb7tH;qLw`$eIp%H$y5Atnu6QS@dvm<@71tsPPcMazj9Wua&|#y zK7ZhCe^Jx|x43jlwy7A47+iX^(Y9@A#Z&VthL-oix|Xd83emATD4$)czb>oFQEhDg z&*J#Cf?Skf30v8~-I$&!_at?Fa<`i1jC15gwEnf8@e9TUc|&!A9&qmPK#`P^-C3Ko z@-k~>ti%00wOl);1PvqgzK=xbkv&sJRdYs9rYg=p?EC$W_s&)cErzE=jdGyJ0}bDG zq5AV0MvliK5RRzd3mq+o3yVOQ9sg3W+Fxp_rB;7m?ZGSwoj?gH8L!8t|^b)@*85B|k-j!;agt&Z(XWH;IaCmN%LuIeCNP4z;sNRZd;Mlrx6LT4%k^ z$80@dytaRuv$Il7-;{Ins$An+wVF=V5A>*7=Pi&&6*^!hm&*#V&onCtv)w!5E5p^f z__u2Mc^z2TI~_RZ-|d0P7}Nwo4k~Ya>l8y-5?RJwW>G(bRyXBOfDGBc!a;$s@`w_J zSE@dV4Q>Hlq2xS%oh--xmpQifGRJ#Z&PvAxSk7`skr~|pQ0$zsmf@ZhI=A#(urRq8zwdAPGDqjaxE&skUhbc6MF^_vl2Pop z$`!W?EU=1*RWPdPWmr$Dvie`?pS)z`XwWnNYeZ2RwT=K*RtjucIFMSZkB4b-P+@Vc z#BhQ`%riqGp+OF1drm1&nxJ1*DLPStNe#WQ48eO&dOXAGpgBS-B0Vi!EHE#6fMOkW zE+xE2G#OX&B}Fb-TfRN(Q+pLkDYjUl6{o70+k9$FyH7o057bEi5Cv)a++=M5>So`> z5?;|~#uB7ck>6`^U=qDFsCR#Gh_7=|l<#qf+5gK>^Uj1nw0rJrd`>#7U)?+`hYLI2 zUOO`?^GTQT?v{3XMKdmF_)nYoW*jm1$YOz&f7=a{deK-xFZbu82mI!(O?{j8_v$S~ zQY?iCbb5V${!{Za>!#s6^>}~(<NT3PdHNaQR)5Ie# zmgR5AS7>zjS)f7a&Hs8z#RYJ1CB^hrDPNE%Z5=nSJqD-dmP!>m73URe#CgJ@=6nan8j{}wYz4l8HF)V`10UTw~*rW>I@q(+r_jaxWQ3&MtqxMoBI&z%K5J`7bSIH#->wYmBUhJlM-u zE{QR8aXZUhgG zsi+s+qem}DMp;+;g08|m#ce;ulObKd$LnfZX1mXc0RvO0q}y5=TILprp;gppkG|CO zL zf0hIIDQ+!(UL;I?L#dWs8^llv1=KM9i7cO}wcX|QE^MafQ)#)8#TcfFo&6(soVq7s zt!ijn3jFL3));7j`Hjf8*&y&`;O~uv;suR#MypTWWRnd4>lHxZqYwlakj&e}VmkwIN)&Zn!_3vn5 zs=1HWp=cS}CTXnQZW!41_YRp48YHkFRBr3Oy+ zMF4Vl0;Qs%STDyetTqg@Jg9i$e)oobjzkT)kk7=Tf=C=YU0M|o&BV5*s)T3c2+Wo_ za-C{)YT`-O3mF=Z6LRE_+-eIo6J+~jq1@_E;8((qMx3Ljk>)f=Hb&o?`_NOgi(%D~ z3iHK6;d@0f{4tJD$tw(FnQW+)Aejf=$4VrxU%Yn@n|fz$F^Jnk#*pcVy=57Qz3IAr zd5xTNM@>G%>DCTR(wjJe4C9i#ruU&YaQ?v+xQL8?LRnLDwV}KKDGeznwALQu^BJ}$ zFjs{fxneMisqI_!A2QX@Ay|W{tLVrgX{bV|&?1la@xQ zCB>^ZPk0T>bfU#sV}>-n;UgYcKDnSN@S=Hz8&8B!W}`Upl5V?;cU?w%Zs6Ruu(g`hH8sY(BQlY;UmaQAWikH{}9t zr&#O9o7daNeKSADN4qZCo#OK%1eL#C(H@+YH0*sthofJGCD?2xuH~O$z)8pf-@yc^ zcNV||Xm{?x^ex$kyQW?dOM5*wKEB-6>4(ah7@HDm9538DHLg|uf}|jLOVEMN;rDIg z9!3jM)Av^$`Zf$%~@!bp(QRh{Nuxt*w~Ly!HrJhjf)^_ zg|xvB=iWb-ojqOoXsB(xHSzwL^DmJqN(T-{+N{pLN2X_25JgYTxalNt6k4p`;-WZ>0!HJk72!1IB3I za7ak*Cj14$!@HcHpNoYQ&2y!QgEYi51|=d{h8TYmlhj^d{XtI9Ks2z16y2U=3?wGG zKGXo9^wXNqzQ57~6Yq9*0WhJaiH^)jEENgOS zoi@1d-t6VKp7rxx_hzZ1hETxHSLE6|!#LwM0Iel$7y&*Ed;Y_mj3is&>`6(tpdM!b zg+&2dp~!2%Gi(}7B;rIyRB5wClnpZID1$@E+E53$x5}Uc8oAS{Yp2|pmJ`XrJJYT; z#%@yyt8@4qN_!P2z6bCX>%d8Cb+GSQa7~otAu)vZVJt0$chP@mC*ze zB}*E6@*+ORWL7caeG(6I{vt+EH7d@pc-lXT|^LEFy7#u0VYmW>A9*G=VhK zKu6pZc~D1lNh2N~YcMu2$Qz-2a=#7_a{{A?A?}_#Z0GJzUuqCg2c!la(5NXl>xM|R z={Ak)Rs~FoN3cZ>oV|=Z#rXm-v#V_e+5NJ%?n98Ywaj>>Y?NVuFBov56H7H zc1PF!;;ROn5#F08+kahXMJ~B#!XKkmy2;^h` zEzB@FIFZy);>m%;;n~y8e~RVk=KmzJ{tkS6k3~co%ORuv=n#SrqgxO~8vTP$b~z(& zWI5YPB}$p7T4h>kh%MMG+?s$Ag5=f;6YKYidX=oDv)!Jzfz1uifi1l>ce z5)yVxEA;h~W2?+cZkwX-|#_R_}aN+USM-5^OR&WYCCe``JA~z9N4UrZx)UN00 zkrCXtZWvZE2T&1LkU|uk1oCHDXdvqf;7b6Y8Ls16sh}AMpBl-FidMeU4{*CemQT{2 zK2pax-e>`wV*v_(1*%%!E{l0Bt`!qiWs=+Cx20ig!IY813EbJhhrT|#7BvQV=^u|O zoVXY{U=p|auO6ylHP&tSnUb6_n~<$s+j5aPNkH48Kdqw#iHGX>ghUrJTye3yA$%FZ zD7wl=$3mnyP~Nb5_Q};LTvA;*A$*|{_gCbps zMEiaW9g7{9jz;gnZ#=)ABeo}ByViUfWvG_4&$USxA*4y@3VaG)%i{CHxwxiDO@Rt2C9DA#sRtbPr57_-j?E7brHR3 zjB1T&oQqn&kj~X7`@9SRc9%C_%}as2H;dvt6a#NE7Df&a)*k3J(mzXz4Km+~ljiKh z>*tn*j?K5)wLFZL^+dnICRbR`wpILqz}0XoQ%hsC$y48&soKbJ^J%nqXpUyA?7ywh z`NQ|6`{VV+wP&;aHdzU)AXlLhYJxtQm+E)V-*^?h)gP8N5Dqi2i+OW1%ZqL{E9`Dt znH~0WdaWfjIw~vl=i2$fS{_X2@>3mXjaQ3RymC(hN)EaXzXWRCDRXRy$I8(>0L;i# z(VRy7VF~=MyO*ogr*e9`U>jHLTT=-8bY-Ka$()J=V%Wo64*Ojcd;8Fp`CdJIo4heq zsl0~k(Ge_1`=ukwIz#_QTi*a)Nwc*ZOeQuawrz8wiEZ1qCzxd7iJcwW&cwED+u89B zZqEJhf6uw!e``OrTkEY=^>%eX-K(qCvaG0KNz{`NP_+N~KCYiHl1~YW`Wl^n&VtKs zUDstfT{2Ru5iB7W)Bd^byuLteliIzXvi}VZk54$%Opi@e3&1WdZE*CIV}u0tIYh4u zz!dXke`d8r}d`i@bAXsdq4>))$R7%3)IOO6llpq*$2LurQy| z2l9v9GWT3-N0#jGW7$58|!hEIMdxFPaS} z$0o!mjcw~-gef5qgPX)vfcxy-M(vX=`EN<1`LWo#qpd-T^1)`vRrhe`BGGkn#eY}| zVmy{$m<$iJH)mhB?_>1Qs%Xy+uP1$9yj0$v#Ym2u7(jfgyL(@Bp)uMEkvkHp^)*MT zWg$ngC(#bS=0IyLv`$*1L(}YhhEoB<-KjN*9WE(A#bID0%_ksr7R*H`p3n(FB2RuL z=m-Tv@n=*dZkHwdGlNE!w<^L!3nkWQLXeD$hKAuCdkTE=8%HO{2SyZO9YC4@*MeT`$&g2$0v5|6c%=WDPTd3E1z}+qe4wmJe)N>f~(G2 zQf>c;K@Kz~i@+cSl2Yk)4l_I&A+y9xu*@MZuZI7KP9}b3k0SheGRCoZfcsZ>S{9*5 zXI9ptPbwBd-Wz|XL{h1vmWj}?-Sg+o?u6%!alsD~MJ2m5T$kRsRX<^mxX-X^ms_)+ z?TPbd)z$jT1qF7oDc92hn{v5P;{$&(=a~m#A%7E2n*kwrfMH2nEUdDgqNE}xqJn58 zEpfpzEX-7>PqHrFy<7|#<+s|i9jO%Kcrz`HpUVbiSr$IS?4A$0IA~uZ%pV{fpQ8)$ zecB}_XlT!ypi@|r}E;wrh&*NV#LH>nhaEw8+^^wJIm;w3EylX~(S9k{8P8%hj~h|LY)wnHGyUcL)Xv(|4r7 zcl&)q)UIz`lXa+!O{}7kLh(4b`I+J<$!=^g-%pn6xH3daDxq?tR7I$rX<_1MSYW&m zf)pJgy+n`bP)Hp^aBrOGiBQ=|Y*xPulT=s~&nsuPFvpOy`j+-b2sD(B6?#D(Ouw!!fSbB5M zGH~&&S@4`oDeP5CBiB%yx%pD;yAv^v%`L6B`e=1LN1{w6jZq8b$%f$y#g+gQF7J(| zd4lM5v5i?=bX@(cbGzA}q!nawt=sd+JbEwvLoBnAy*Dv$^03Li4;>lG@p{wi(vQAB|<-&pkDHBG>5t}lRj z4HTOB&^C95(S#K(N0eL>dMK7D2ADEbQhp{YfpQTBRe>E7LuNnPZ_yj0gC9 z3hJXgI1TkbU#PZ^s0w8g```wm|6-vbP=X=HFdpV?Yvy= zp`2sBG1AX0g%S=r8C2qMM-JoY)bH&m`im9X+%={|UUkTFD`C>-CN$=Aa6^{k5~(>} z*FF4uhJ+T(3XG{?7~?>g3K6#wiY(2Yrf33MKA}f409Uw2DS&uHA@YmSfqEyzL;{#; z*=na=TKUCe&t(0!b=&sdB~MkK{*E;?q+!^ceQ*!QAWI;zCzzluChO#;CYd*Sv{MUU3Cl-61zWS{3J$v0v5E`)V7A#B zUo{9O5LkXsfa@3U`54uycGT)%tMG`uM`9B?LC_%jw!&(QL0a-bRXYUbv2&mUYgX=q znwMmFy*G-q*wt;1v)O^y(XW|oS8qpabur7C1WWb!jrlUL)X%Q5f(N4JEY9tAmsQ~0 z`$r{4>FA)2nT#R!k{6`fX4G$nu~#w!QY3lPOA8!4g8{v|)5Y}mTwNrl^SyyV7;N!T zK9f)ynC3|sW57tR6P65V+DE7c6vF8{_pirkDTN7RXZ%KteMqeYutcp_UlY}z)!poSW**lv>eK<$_c}Nos4$eltZH(^ znRU>Tj(IPLZVJvB=_(Ct>QWAvsp*CenCT=7a>!THjIrLf*pKvrj{EHe?DI|Ce(b-* z`|4-lntFj+%>FD4sLAVM{uL*CBVL*e5BvM{OW0OoGDls1+SD# z%V-ohY@LO5RzKX)#kqFqtG$7ES0x?*ub+>jQF@MvrzES8vH)PKPtow#20+&@rH)Jf z(CqtsHcBsNJLH(4z|%zZd$$lE3H`>A5-0oirpk|O&Q$dFH08Mh?=5tz@@H^2WpFjX zXY`EfHZi3&;N6kI!ibSyHdFAM5{@Z{amLt>k{x7+j;*gcp+^g-A-swlE-thA7-@gYZT?WzWK5FXy4h+ zxqOi3=XDr5dRk#e{S;03e;1~Eh* z$bD`Cdgw3O7KDmnZ?wRiHbI1HXpQ?hncx59^ig{#vTrdSMiZo@qh8(1Spng9j1 ze};GF(?tNlr!|?WxL>|I6a45KGf_3Uhc(B%RJebCSVe?_J*?U8p8hQ_rr978^k`Jg z9Rfglcu>>X1vLFUh`4qNRF|k!DilJDHN4N84_Bs>Hc3^~ac+Q1;VkytU}L&Y*8>;M$LH9Y&R%#qwTJa)_kAA^BgV4;*(B%>7ei9URJPCGjRsx z)x(Cp=vH^shCtVYZgzUtqi}cO{V%7UFsIx*E}NpbAeq$Y=lplq})N?pOZ4erjko7rmk1m z`4<9X3EsGFQsbz(v=AnH;Zw9}o#eR$-Px0G({G4?aQQ ztwS|s@^V0QF!P6WnC^aF5pQMS*hkpQp#O!i@CC6*zJhS~=~`Ij_d&M^)R{1byTB+Z z@hFkXoAfY^YcBCigF^&`^)y%pGz*7YKp}++L(%rBg{?NXG4WV5eRFp+4enr*sU*y& z?S+uO$`8iEWgJrKWjbDP`Ad}{;UYxJZCI!CR6#yPZ$L=hAO}Qnd6rG70FDmdTz&`W z7j)XSs>H>-g!!zeH2FDFRQ`hJiztyrxQQZXU8(h{Vzk@CAkj*zcjzD>f+gnow?5g_ z!tX=VFs;!J2wrMlk%wRTzdMHp3iuO~;rUGFgN@T3lYWo!n4TFzc8 zA1+#ju3;pPo=PCXQypK&^9blnJdiFd_^#v0XWIQ>G$HBq3q&?p4X2wMf%bdKP`X$x zs`#ngZMT%`BDUdOhMTAdGji*3J@aBXPWRmb?wRvM*Hfgoivm0q`T3j*Wz-{{f;ntt z@r(+Os635Dw+dLLwiu45MX7IXh` z3U5?AY1O)*SfQIQ!%q!`?UhbZqHkEpcE7)QNQ8+9e6UTTo&tkr@tM4MEKOS16yDu( zmHJ0p$(}A+>R1-%_hdJtX|t{556H0foYZn4lv?_q+j5Yw@D5a1_Y zS7_`(!*H&Ke54riL52BxSp0{-W(q9Zn9=r|@M2rAEsWk9r&?ZHsI<}8tto{BA%?kM z)R2mf&!Wh5{Pzd8^fMzxN*1Q6o4Lc$+kYY4k`XxHG^s$N84G_-+nOr$Bip-^S-p)% zJ{>b*xE+>p#}6%##+O3S)!#J}cXgC zc%i{yl$^!$rOw}7$!7dTfkWKasnCRwB4j2iwdE!j!IS0kVB4_Z{Hv5Qt>JZ#AI>yK z*EKNND#8RAVRCilBy?j;yxu|3!h_2G#pmO=Df@1UDJU=tsF<$;gie9n;{wxLuTWvn zx5|@mrZM|HB7e?bBlxR5F8blJnQLSIyrvEjea_O*w7^eDe0L3_j#ZAe;Ld`NUc2x> zG_x*!eSNu|Gy?K{RgcxpZ3XH)+&}{>UfYHE^|Iwbd@r`oYoIykSDTkTFJO$d!qui= zW^ycEK<>t;5FyblAsT-%&8|EMUpcm&<_w=i}Rle*dj2 zrvnz7w^tXaIIF?q&32W~f0IkJ@7IHUZE91Wt@o0`(cF%GUFt)<*Hw!->pBDFoEO&_ z#8T^rK})34$XgZ8%YZAPbiFxe#?%SH;6#Ph>c}`wM;2G`o({$1>)z3o{|CVT>2>4i z@)>jW-b5>VFrI||u^oz!4ou2*BZ{Jnhw`Oi*eeOFGB5_0)=(u?`mXyy(m zl~*j+e5{kV5xwEIYQ8wLp;8*2Vi8D{kPGZ`r)VWCu%&L{imm$*BbO|dN_r5t$j1%z$F)cKQNWXe z(5uJA&}56P=A*%q6d+TUQa+=HYRx{i0Z5{4z1c89x>|X$ZWl(PoqfR$kT2G&*mP&J zuzs}3J@H>9SDQ+2I?EzZiEhebSa(yw)Y#e}e+*4?0SPWgR%|46_KUwvu2hd)?Wsr^uhZngzVf9rOWi;Qf48g2g4)1 zKnBudZz_CLdkZp5A z)EZm017?SlUI6fxk(@}x9b_*gXY!2v^2%a+?4nVIg4xG}Y@lTdLiaGIzNtJrld!l- z5043xk!7MGTK|?YUQFa;Q3QE-i$1My=wI!LsSr#;EkT1kvx8c)nG30Hm+ zT!v0$wH?+`tam5*QtS{cFH#DdZ$--_RVXH(`1j_G0Mw9wX*FW9XkH z#Lbj7@S6e%P4wU^OWy7Q5yjvhm@T3F4Oq;!B#yNa1Z11UX@8vd6oxb$#VX}$sJd$D zqMj(&T}PxrY=yEwc?)8N5yJLXTGF@D3N2|IvKPlneGZ8{MAaKBqEvcYW=NE}BR?aM zZ-l=sUiKRH8aen3=fzvC4v?`u%$!_T!UaU`gSJZqJud9gHf%(qFG@kke)EJI+0J&0 zOPT4jad|^gk&#-12c|9pjEM5uoLsmQd#=LiO?WDd$#$}>nw;6t<3VOaUSJmQR)oTt zna~ZBJhfLxt~O?NWPtw2qmnOh(>0G zz5H|#Cn7H-?we$mK0VKpI?XaV=hq$QojOMFIzo*{k{@814r1djRNTFyKuj}FYycpr zz_E2^w2|9Q&V5aZik8AnninH+bDgiJ0z$wfr3Cf)K|zsGzNkVWH>? zs?eDuMq%^2TzJdNC1=Fr;;xO}uF zB|XBm(r)889g)z9^^cmj>Ty~XHFZ0-C!mI^h4z*EE%*g~6`d5Sfp8R4v zfD=DVe%KC`eMowAz2gd|m%g;MspL%-(|T{Tko`|$mjnQ_I9b>n%>kjj2|IVoI|^%@ zKdSVmjiqZgk4c-Z!|H5KZ((Yjcd^wfebCQ2cJ{WY4HSzMSLn&}5i4Kgq?HU&pKPDV z6A}wFc7e<<6E2jwMPZ#DM;bU(0hzY4ApgVNA9a*Itcdh8>HJVcVV{2Jzbm~%&RS;Z z2r<@^L+2s@=urf^6+--209|#^r_bdovMGfFY{khZsoU2tkOvx8yv$j5hy(pQG3yo} zKYb$#nHD2bPlfZ2$qy_45mEMy-zuxj`;Jz!`mOz~6pj5Z{)@uD{PCCdNXEge7;FS{ zQTk+X1p};uQ@qNXJE4`c1!9g%;WytV9^Zol@CV->SG5?Wrvha4B%QOY&~9~7m?te) zhH%O~U^9S?Qg|{h77O<2()Q}L!_s|;0RqLPX5S*wk9PPQw~g_=UW~p&>f^J%V*I>M z;>(J}X8Y!IOF?@X!b0VW{?_wmncTQ~zeIz$WyqcaA-{_@yL_OBLD}flFIxH&MpeYmXK$Vos&fH^EPYXcIBUMbFqbyZn~c zU2nz6oWvSfn)>CiOi3c;=;)X3w%4~dN;7%YUD#u8l_RxLugv?J-VJ81KN(Wbd{hCl zxNF!|x?gPKUm4{__K%d~0g?Hyoz@sDt%~~C--istb%a8uUW3vz-mf<(H%E5M#*Uqh z1}J2L_u?aMC;D5bxPK*Fiq0hMnjE4}91AiEq$V^wJ4JDI(kg@=>W-$*&0+JT2M_Jd z;M3pc<%+O;TX75GVZfG-)9d8HNwJC_W++5f+7Cs`!j5R^hoxlr>tv-Hu^n%qS-jZ7 zLMOGZNNtv+((IZCdX0!T7h1}3!@3;L9~*MRqN&ZWkR4J>#?Txw%j-`gnif9NqohrX z%#Zh5C^6L-{Z98L1m6Rm#tA4*YOB`j!91RLy){N{~!*MzCdO(^UQ7${xxW{oc*k_K( zu4!4X3up6k#>kX9>Eh!Zl{+W@C=9QUy~;OIJ+y-2$&e`>;*d>=pVT}0E%WF6;^R7Y zYU^$7gY{4(!3isY;ch&k*+Tl>e5xZWk_LLOY-UZ5h7GLLcjslsH1kAO*TMbU37)?4 zHDrRz4JUmzaGu)KfrQ-Y1FMZTlPCr&d~B!md#Z0?CU-?+80eXvudpwdWaVl^{@Fh? zm7^90!`li5#|()ssx$(CZ2lOo<*GhF(P*N7R_wx9jSGt3vKrH~Ter%$2YP$77+k*2 zHCNpdyisXn>v9ys9A%Sg0p|EA$C|VVYM)hu*DWd472Z@i#+Qbh@LvaQ`REl7#?DR` z=k?R6TvwX*u>)FKMQSP<_3IRTZv#HMKBhh%*@PZPx?b1I62R(HN+rtUNn zZTW&8h)~?}UY{=l#OC#OnCw3w^DYH1F1AQcwO2O$p=VZxxDwX7L|or*Ce9xMSkt!| zZ$Ztm**~GiGEOd#qYYXP*Eh2fdPm;Znk9oBN#AxZCW+Hy9sDmIGVhKU1-jlO^LMXr zCayLKmBowO4=x^6RyeK{>_7Zy+xXsBF1Cv5+GYTo?_f#0RlMu|Tno+zptX;ImX5Yp z4SS((KwBhd^T*jlxkfId8tC+bYyJN9hwQ|2;hK=V8P&o!hmUIweLqg3(hW8LormhW za_;RVhp;<3i`=O#f1dK~_8pn#t@qvXsoyJG6GR*D9J;G-w}%f3vq_nOf_|XJb7}eL zF1IzapP&Jvb$@uqz4_a*=740zJ^%aCHK9@egVkre=C@*lH`3T`uC2dsXu3Uby9vz! zaaG&tPm#?AAM@n^4~CZKy*0j)OWdcGH6f^Jnk)F`NLNIS6PIQKDYN2NgO0agSz4-x zs|`+^?W*g8HHTiataCuin+#Z1p8m#rYg-c$mei)h`n#a2GuLazPHvKU^YKYny0e1S;8__bk+!}QL*A0%vr_&3({J}p9 zPx)(Zl=vH4fn6Rx!@^~k-45$jtbg_$KhFRc&MkMIl!bic+|LHLpmAGGyz zEzEOgFt2(imekEUsuU z`R>`@BY!+~y=}JzJU$AwpW&tx1YG%e=)O{ATfT1#1spka-G6>{LhW9_5sj{zOn(0L*T0Y_v7ep}xat);UIq?KA6;9Y1zv2V1Kt?bbUPgE-%nHY z-(F|mw#%#a{ftk}x^lM&vl;ImZC(cEyy`n~)|oC(X1fk8UxJTI^RJ#~yF>$S3Ij4| zXA3`O^Mei-wKk(lYuV(lx#UG#4G-BU#yx}FQp9s6e18xNT z=k%N#`Li~WxjX&9Gn#YQ0<83&E>3?^>13f;C&S!a-W<%xnf+{48LK*=UpUA^n| zG~tfxY)_%I=T$>8#iwh-fvNiOEF+^6fX=!D{8puQ$=x*Co_Ut)_MH8I@BqL2(KkHIXRYFzJ*z!8_c?9>e1LmfhJow# zvlz#5D1b<2K7QoLz%Miwk?7MwZ}Ek<3lMl2`tDr4z95>y=l}TGbzTNEgx1!5d*8b7 z?gej7kCHDYz8wQD4;1&H%<;2r?XP<`D#tXEz4b99mH zYyfRPzaPqoaf4=rt{ghg`}MbcWB7YFE^RK|XAtfE7Z)`7&i)1vnsXTx2OM&3xpJ)V zUwW)%d~`+G*jeMk!E+L39x5Q<+8F*o5DtUU0JYuj^e zQrUIM7Tbsi1lkEcItGgm0tTN^6#m`VCi&v1ZgiVX(V%4svG3?(zTEp%r2!z6;v~VoU!c=>Fn}f%501v zw>}FQ?ffpr{=Jh$2E$3NcgQU~o*=&FT$p=q2|h6Eth^dB>kgB;JqYiX!p{zck@ctj z*~c}pnQ#Z55m&TwK2~Fb1ner#Jy$eZi_ZMjT`6onKb%(mPvb@U-l=p!X)CBWNV@Yv z%rBo4hc$31A`Is{!PdMqZ$$=axi^1Tk`kKJ6U8>Lj6?}q)-O7$te|Jt!cQ%T_Ht}Q zTe~#=q~OR#%0UnAt;9yW{cC$}l7dIdGSNmOo}C=Qajh3*SON$8rumD163NeMK^!t6 zMOQ4JRH@$%mdJx#x`j^ZYryUmA`Io%=s4#(r=fkU8`# zrKag^>awZQE;(8dW=gjSS~P# zhBB3V6IDi2U8SMWRqwQ8@RQsFru$H7b>8%fe=|DvgOC+vAirRMfq}t*Rq3kA`$qo~ zBR~ZM!~V<&pL-?DTum(1?5$iGJ?+78s;`Gv~L=~B}3W9XUZ!eXz1P+9lyL>=sV_%D8NBkEllL8X{~OdQC6VPZh` z(l1(s$aP#PB4puE8Kj|-cin!&O(NOr>Bka~?~&>H`jow18b0#`u;xw06!<7QW*eF| z4IB%`Xkqv?5ZjYx<(0|Lkxj>{dUihj4pnBfjDG~u8Ro1fV3!<@57R_>B6rR(hqrQ4 zz$4EZ+9gMKk!jp3{my(7DV{a(5&MiP%Q&$(GUyJm3I|$b6bE=Al@;_ndIra$Y+7W_ z(0dqpLom52@FEJWKDu?s&ClcS$QWZyfyT%u=GOosFp4%U7P2j_?Nvo+d(NwIUFQ~v zw9ra6iOI?>z-C-IKT*Ab`xa6~*Qr+X5C@G3AY*$s&u>-^Cz*f%sUy}#ZV2ER&>Xb3wc9r6gj=jv3J7!r_`06d6}f9j`9sJTZ= zW9Fu?W{qzXo0$w;N410j!q%tF&pE#h#{Bsm#eKNLL#y1^T44Q0nT`PIqz<~YlJf(+ z8{MoiA;|O=8gU5Gtw zZ`;5H9{-nQhvlMmYVU+^@4cp`RW9Fc^uLZsqK(CkMEwd?$T2 zEmhPQb{88JxD)O>)q+~X+jI7nNdlMrE%!WCe2+(EA*G_*1ILmi8*ZnIB5Ce7S0u0> zd@i;P+s!A2Zf`9cp22!h{q#; c?=(2|KqlM)jzH0}NvowgL(T;#C~Sm}#Y+V3`{ z3HPwmOtpP{_x1Iw+@cPx?d(yr0y+=*FH@ydm8j?4SsP-`3lfzd!J_a()oRGfKBLcM2gsNfzVbajDs)JAF@UBJ z={8l%974@oL0$;8pj5A5oZ3It5m2DWo*WfW#?|zC-Iz zx9iTN3AX|-?$j&|lDvO5R1_gv++Gb$C0h`kB{Vu0Dg~P58eecZZXqv3qu> zqSBrQBAC?F(_+76yB_+do6uA)3h4o)~MRz2Qvs z$xqDbLA?bRpG5?c$Ns%4Hp0z_7reOT`%_U4{@|7BEy4K?! z0kN0;ErCC#Vv;ap=FF)+zkNMaqn;xtrWsXalnam^oj>h5niW=AYn;e6wgIpAp*q)IL)M#WntM`sI&{V#dc3cToi)#e@AX6?6nj^ujX$53ez&R8 zlR5HP68?_(;P5R@M|sf5VzVyfjaw@<{Hh-gdvD?g2vnn_?o}|rOZeW73H)kN7+1VD zQ+)|T$vhkCb@wDqCd@w*6j#k;31fLKZJn7YV4vO+V=hIQ*@0yD5WIzm$$y^R+FX;C zDs>Y7rZ&cl#b+I>%AT&b*-~*SJ4=6%jnP7$G8NZ6{v9CnoHE^86bMdRBi*mU_o8GI z)mili7C(bLjUl#_Ucem5n!bU-v6{__6onf8dY9(?etZrp7Ke%NThTO1yX49!1@6yz zg^!2ExH3FQh&pW*U${YTKsO=llO2HJUT-YBXg=&`)d1vOMlD_I5Gub0JPJ7yqwFp= zy>WZ%+FPR1rG79Gg}g^hD7Bt#+zUeKDz^{ERnU`DdgRT0*3OfT+k8 z17?G-Em=eQw9DBWnQPW{^GIYdntz8TR5#1go`t$6a`mzK)K|AwvX~;2m<%`I%prFV zXhAiTFXjFru}0Cu5`lpLGGOlMml@ZiGBf5$AC?d?(V;DP2`|_$UOHV#!t9OEFYTTA ztFV|@RmTF@*|%YmJIvW!Q&O~S1+PNdB1ELvdEuc>;>kR6%TJ=?cCG%&UB zqgz(uZ`X`-yGy~G&+Q>QwPjcA2o^YpjWl+n2e`ut#qMj8@$t?~UnFtp>Sm2>WSq(p zTiC*>)0NP~4HIuM=8}cs_`F3GzGSI?IE(B}7ruy9_uH(qoAR-yT>c49jPLWWmG#_7 zY#Cr*s)4Q6F|U<{!t4AvW&A3$AJqs$+23!|5OozYf^32|A3e zfIq|5xV}+)QFj$siX5RZ-B~bfFL(eYng8z7l3a#^Am)eO)9mXLsk}Q^6X2!eF#TZ4)dq zKo;jj*8q!N$inQar1I%Dw@tADW{0E-(1)=#j23C%*=w~i^@PRA|4q`c8=g25L-N*9 z-3In+Fmj%Ch&o6}Q*O_YMRI=cKqK=3?VWp?S76c4BnRpEL-sdKTF8{nB69~rtHXI& zFRMNj%!t&2(Q%Olr6f60dif&0N!**n-t!DMujM|&p9P3QzVE_Ud%#|DJuA^=y-aAd z>N2rCqvs}eUlIHmf5&#DfpKil0l6KuT;H^G${!l(EYQ7u`O|L=z=IJ!BNNEXf9vge z_Etv{sTrhX6DjPJaIW555?)>XffTUxv~d1VL-X}S%f%1Zbb)S(!SXt^7H4w8fO+Vr zdoq(8NF2Pa-vaFzp1Og2BT|NcJ(YGD#i+cKEM>L?ZB}`=&mZH!w_zPiCkdKofPQst zk$%;QlW_M`h?UC-Yi5K9tEGJM3&W74xcqHU4v49Se_8O4x#bh zO$_UpwmLvZUAJo}pDd_|z^%NsP7?*Q0taH;QQG9(-!=G1-!QV}ZK2SFNvWW<-;yzu zRB*%v{G`8BOnwYw^Sr)q$dNzLLIe`WRg*_pCfT%`gBr9FXMLl@- z!G&G$mvA_S?u4Vog8rI0B`(J z!6$Ax+f zX-;Auj_sXf5U1tP;)Ku3qF@*L_*^JZ1r>w~7{aV}t_ITqhJ+{h)f%xz{JVH5*qMptUFa{|FI=berMJdYO3Qsiwacy z#VNuY{&76%T8;Z*>V3LJW?Ls@wr}6k)j&`g@k9NIDG)Jj7@oswC~eUrj#L{)vDiGJ#4Z#@Fd@1>RZ7M zy_#v2B!LmCIX#2Wzll}#^ryT`rbJ5e`n&%`b7`%gA?{kVjY0jrI z$A`6|T6gwmEvLird$&)5$44iIB8V>PhkD?nS>Ne>TF8ym{M%p2EQ#4xVvhl@t zAi3g;6J64S>G|X;N(=$vpDtj%DtTwE&dy@^bg*?z4$3pNn z4M!hLg1=W+*I54;|Mk74`x@&^!DLF%t=N)=-sYtaeG@qfzw8aYnFK!;oN1xYn*|-h z;jM)4ofMNTF4QG<{%$Ty0ErD-IyHpGkWps8qvD4h4}!p)8;rbJYF$@D1<1i;!i?8i z`M6?7vemSzU&L&m=ecBo4M(MxOl6o^=tvo_{zC_GDC)BdoMFNh`#)y3jt7fmaCAMC#+EIOl5&&3Rg1@7{TsmUXCNk z>-edO-s_pdck!<<1;VfXHuo#D&7{)P1K008AwD{;3FKqzY`#X3%1(wIjrS<=NJ;dI zCi#6q{`585uRORon0G|!io~GfSpJ~Sv|vpr5!{y=tk9%SemafB5M-nVd$E%yv&R(0 zh290L`QeE4SF6OnLZWC34^D>lG82At>bWdE>il8e>hO>)DQh+hG%^a>saD?y|J!e> z?)-BhCn1pTFCjO~)q+69U(sA|-d~ckbrv@eTgSXBP-f4pA$h{-1kU%}SsxwZn=hh& z(|S7owvYbYjp>CdmdaZnzoN}0eo=EJ4ijV>WDSoO7uBIp_1IdEYaaHXp`RnhR_n(DqX_4a*Wz{dJQVA=U)9hODS{Ls= z3HG@U@z?sBL$YOXGFym&9+idb<#dXdxWsLSB0 z86>B36TqPzyk(xutY)5xnF}i6q?h^FGqW2`$;A76q-0od27EFUYHX;5jck%OP0nI1 zeY>so4r3ZAFk!PIzWD+#-1qw8TAA4q`pr=QhI3)h*)YOA?42+vR^| z3%B3GAB%1R!G70PrM%wY%D6*@H|ZUXew1Nq211m!pFv6afm1C(`A=pS8JP47)yeOt zrbum#VP?!8LGiG~sJ(*!znuB}YT*3#$rmC77#RBhhcio4+h2cXWcrv<2DgA3>-mrx z>Tya-#meOvUlVu-ny1;F_^{&Rb=}^siuJmjFE`er<#63nI{TjdL7O9;}9 zZW8@Ic?AFD?B7N_OS>#`_fIBUAi=<(Kbibj`rHI-3jEiasfi<_r=9ITK|lgJhf!oO zFpkvEQ}8dj&-7oQjL*ft?SN*c=Kq9ZS-6GXev0RP3L^b);D1B^e3}ve4Yjg2asDT^ zOfxmg4hjtH8SUR{|5Zd&VAbcIe`B3Zjs6KuNO}$~`qURk1qSw6@&B8e0wu8j6Y64V z>trMxN7g!Mn6 zo~CC1gQ|bpSO2@xO@XkG5dWdp)Bc|o{^u>_U&#Neup-8PApg$|=AY<)UR?i1hhY9s z^yj6fCDd(YW!=~o#%Ehjs WsU&Ue?Bc>C$6;>D&g?BG@V@|CbHcX( diff --git a/lab2CA.runs/impl_1/FetchUnit_utilization_placed.pb b/lab2CA.runs/impl_1/FetchUnit_utilization_placed.pb deleted file mode 100644 index 83594ed8df17e7fa073bd7f04af44fe9cf4897b3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 289 zcmd;LGcqu=&@-OItPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z*UdI;M^hz=S!Cgs)9USgUr9kYp z@(c_s9RJEQH1s(iRggOM+TpA!NPMmuL&GBHr)nT}uMvp63nax{mKuZDYb_WU8tnf9 zNd~8*mJAFY4wI}noI>5)okN0r&f0;L%(Vv+j!!`(!&?^+xz`m)IJUZh*uCB$@-C2U ua9HXCVt?|Hau11cHHvrk_x1Jn(}8k49R2)Uebxqol>7yf4faQaKx_bBPE4r) diff --git a/lab2CA.runs/impl_1/gen_run.xml b/lab2CA.runs/impl_1/gen_run.xml index 1d5061e..fc30186 100644 --- a/lab2CA.runs/impl_1/gen_run.xml +++ b/lab2CA.runs/impl_1/gen_run.xml @@ -1,35 +1,83 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -37,6 +85,13 @@ + + + + + + + @@ -44,15 +99,21 @@ - + - - + + + + + + + + @@ -62,7 +123,7 @@ diff --git a/lab2CA.runs/impl_1/htr.txt b/lab2CA.runs/impl_1/htr.txt index b9acc33..a32836e 100644 --- a/lab2CA.runs/impl_1/htr.txt +++ b/lab2CA.runs/impl_1/htr.txt @@ -6,4 +6,4 @@ REM to be invoked for Vivado to track run status. REM Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. REM -vivado -log FetchUnit.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source FetchUnit.tcl -notrace +vivado -log CPU9bits.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source CPU9bits.tcl -notrace diff --git a/lab2CA.runs/impl_1/init_design.pb b/lab2CA.runs/impl_1/init_design.pb index 1c6b7eb756f11d61ecf7bca8e05b96c5b587f3d1..4eba27cbafb3fd772619dceaabed25f2490c78fb 100644 GIT binary patch delta 133 zcmcc4bB)K2tDK9+IX^cyF)zhRLA97mfJKVQ)L4tNEVC>zCBGy&$HOyEv7V8+@#aA03MNML$rBlcC+}zFVzdCUxTLw* cO)V_+%q>h|Tw`MsJ!2D#$p(y~lg-%_0Ppf60{{R3 delta 155 zcmcb{bDhVYtAdNiIX^cyF)zhRLA97mfJKVQ)L4tNEVC>zCBGy&$H<+_I43hNJ3b|~ zI5Ry@LANBoK*24wBsn8AFSBH$9S<{`xw)R1snO;D<_ac8v&pMjWo!)1jM!6B(-KQ_ gN~~ZEBWW&nQww7~Lo*ZXlE#J>dL{-&lNH%a0r)a3XaE2J diff --git a/lab2CA.runs/impl_1/opt_design.pb b/lab2CA.runs/impl_1/opt_design.pb index fb1a8285f12ed482bd828736925c32cb8c44a5a3..f56b93806f0cc91003e940e82a98ec52dad6642b 100644 GIT binary patch delta 1182 zcmbV}OH30{6ozv<6zGKtrD$!T?N$3wurqTzeasXeX&NFHBMpS5!n7TrTIe`4D2YZl zu8c9pYnp|=Rg1XF!^!v)iQ&g z!4I#4(eQP!VV0q>C~Py%{xC};l#Gyhxv)ge6M+??QBbi5tZiFN29Ln|Hfo`MV^%eaUT;AMK&(QtDy3Rc@;6|a1btaxEn}2)_ezz zM_I~CAmdPZpz|qW#;^dJmNQMQ<4CADc68Fein5H8C?H{9W(6?=$S*$;fZIXhv06WPt+dE^AzMRd)FY|yA#OXWNq~q-WIFFFQ@C^hUJH( z&r~^61p<#xViaq}b=mO%?ud#pRtQHxwjKqS&j!a%ecI=ElQ8U?K(FDhZz?f`FQyXQ zw6176moDkb<@qH!S156*B)KAIxuTp6r;@C;yrgr5MYT9Xxm0Fa$`%YAEPl?him=JA z_nKWOGSpt_GIGW6eS8Ble)olcjWc;IH&ap#CC_TAK|*Slv?x`6Lz=p>SAtD{2(7`c z|HSMqd`(l-l4ev%Bs5njDtm2*gV(86U_01fHUb(#-{4Cy{$LH^(L;t(2R3?<>kuIG KpLo|#um1+@c~Kky delta 1064 zcma))OK1~87{{4x+ceXvN&4O-&DeIEs@=`*W;dHA2!+@d3%*hd9)vcJsVz;?WwWIU ziuC9~DE&Nn5QGYXpkf8-NyLi>#iIu=_TW*yc=F^XYMh2zgt^Sj@B2S?_HE`(=IJU2 z5x>eSBt>X3YgTB1oFuZGtS!lqHF(AKbs17ZO*qJv>{8jeS2QUe*WkXtAKIIqbLVgp zUNR)(yl>VHKWujy14}Ms@>tA|ZhX&o5>xl*Gu)8l@(= zPST4szg$@@B?;3a=S1yeovyX}5O)ek;r5|n)+qgYh+*gzqi1TaDhq6oyHnW^Cuccb$0Ywz=LXBdvGs@ zs!zn$fp5Y2TJ21Tsl6UrV;o_$e*uOD-~Bm)-GL6c5teEt9*3C{d*`+{iQnZoR`GDy z<5HYYG0@Q##I4HjCwBJT?zo{yYC?evOW&#)Scsd!Ktx&5H zrFrMa&P6BE6EWS8MHnCH@lH}Zzc^c7vgcMzTPozijC4ax@b}(B*d^q~!Me~P)OT|QD__;212ZJ32)G diff --git a/lab2CA.runs/impl_1/place_design.pb b/lab2CA.runs/impl_1/place_design.pb index 2e909dfde2fe0d999e6b9d17f9024dd6eb0f200c..436e04add8dc8f65d3a62434eb929487da62ec82 100644 GIT binary patch delta 834 zcma)*!D|yi6vo}eY&H`uf-MVL%Y>4&O}fd>B)iEbc!)uyRwPjBp&+{5og}NvY}nag z1i>6UdJyO0MZ}BeLO}!(?}CT=9~5t%6ptQs(`YxKU~co^_ul*7$2Tu-zR~x-h)HB< zMa@w8H*q8xRUHwPsQfT3^C!toLFdi9ETKQlkEFsch##kVn!K6%9PfcGz5+H<$iQX2 zqgF{cV7Q=GiU=F90lTI{;YC<0YGs2ToL=I~>DLme7!_h8^FQe0Q+u+8$waC4iPkgRvtfy&ut@4^7uSt{F6aG8t=wGl_G8mQNim>A2p#9kHw` zxQ2QsPWBVS1YgUpNi#Yx=H3gn@ZhuY1t9Q4X`X-0z2vLXrztP?U#;e1afI=hOD_Tm z;m9cAEiVyKGBjJM|VPu0NW>D`rsSmBpDN zIg)bhZr#V*wwDIj_Okc=7k%#EwR1^PCap`G8Zsan3IvEnQRkj}&hLEObARv&EVR9T z-*BxpvK+$V+|o>4U3ufwJ~b>aG95}_!FLRk9a${2k6n7hVqIh4L~H3Ehx1xZQPkdR z{@mHzi^^+@Gw|;U{QN-_vA!SK?lFlxa?B!P5XUx|8#2=M0@7thGzb{^U^)DN;PR{Z z%wnhbM*N6tGONn^O4UU5JeR%)Z*KK{hxM5o8Ig@oQUyku&>z4XXJ+$6X~>a1{`Fy1 zY1UM&nbq{46_6i!(PWyIKZO z&{6YCGgModwE~mggbEdGcb=*>%6B`G`6=AwvbwfwhdpMcLStdgR@Upc;dPm81c4WX zBFX6SmY$W&;NgzL4D@Zlx-8%>k9sWDJYc>TMC4BL{}C#DHwHl8L+{%zVxb@VQ;OBl z<%}9Jv|2f{_;4?479&Jh`2jOM*RnY(_{tnHh^Q3Llx9Sh!1J6|qcjVWZ(U(J0#_Ws zTd)@yK^)@<&3z+0iNjWr)s^p{N5UvDY&Qz&5>$714haNUsw#R7e~`8Jm+){KGYlf0 zW1U9;bB&IJfaQrJn~lgIgS|qA;nda;>2# zSu~%*0_^lSnwP^QDb6aglHb!Um{G|1e&}7ene3Hpxrj)^vA-xtSD26Z*7?e#%=wo} ziKX9xIYkmK-hyS};^Ya796OB29)==XY+P@VGB0LeYdPGv`;6QP@2(NkACUXpz8?|h z7=DNji9bqYn_)DclRMiF@im_rC+T~~2!Qx+SX@||rHzYxF2Pc&RG28m;}#Qq#3Yej zc}9}g;(z1X(`&y~XkC*utuFZO_h4R@WLX~nDy|r)G@AgS()VvB~dA zyT{CvaL`{P)MBhbH4_6REg)I{|Gffp5JN7Hwh)dk`ysVP&Kv1N+)tf|{gPS&e>e0_ z!S1tq{bPEdfDKE=3d*=wIapF72)>;R4%k3kpDW^kr6N>s0^NF=z=$_CtEBCC=1KO! z!-4HsDbUvA25DSrQS@%W^1A0T60#`H(4VchNo4nVzRcw2r0S~mm1^?c;k>HX)H?cp z)HNK#Zi;wHCf7Cx|E}IJ8fvRk0ROKF;P1h&3gEAZ;I9-yzadL4UB7^SL#k`)xIMGb z|FW!uRgr@+&E-6p=DcmsV$S%&B1i7PN2&c)QYYi+>D@ zj~uUKI2Y-+T!8u|7R3@k#XZ{(;k%oT=LOiP#>c&KYqmj3Jjtcze*cR3kV^H2dXaE- z36s+J7PASr2ODUM(Zqq+A#w4Dj*aB7eDyJRN@VZE_KDv+4{Z}&7M{C!;0*Cyd@ufa zuvo<-GM;MVPy7UcXP8Ef^5bOnteSLl7iJ`veV?;q*Xk-vsapl<^hH74^5OG>x9g+9|h8O%0*U4}@wT*|z!omB+S2S6#7qup@WGtPRwYD&tR^ZkH9A%Kb=Y~(7;!w0d(NN$qG*dgPL@X$8VZB3j zlj>}mvelnU9;8%B*#1#?cgOabW8;ZummTA*1E-Lan|dF9mYP~4R|}kelb22*by35O zDP*s2I1btC89t7B|EqG5Ng;J|mAFgRRy0d(HHwarFDZr4!egYa;4Gk$efg=QIa%tM zLNKqx>JU?7fj|9t`fHg|gr`VhA^Hj2+2K9V4nM;1nO?36@taPZPB6o*9r)wk*MkSdC3M(6SvdY;9YWh?BhX67mpe|E?z8X z`Yjxm#j&u3#sg^_Q2|ykexF?W5^EEb6u)XZ^csnneyf%on&RD;sPy5`bklaT6R#vW zl?Cqq*9~FP)s1ImI@y)bXp+p0USQrF@F@0nIUUzs*WayaBG)w`VQaOkffE+!H`6utLa5`84lLr zJd&(*PUjfiAAVDTM@SmjLaI-AkJAU983E@tB_G-WvLyHfH+>pI2H#59M@aoJy@89T zd1m2VCDPNVo~83(8>R~8$pvH&{#bC&U-0-4nLt{Op7Co~Le0z}Pr)MLz%*GHb_b4g zo+y`NQC-iS<16I2M&D*s&eFTYx%ef#_k`2BMvNl7)OMH|4H-et5kgGDasQlhnR;}1 z135Nzs>s=)|2lmC8Alz0J-!=2;-L{49pw8+QjD=VWDp{SDPcSgBHIZMZ&10W=&c-2 z=|0>_<$Siju}K0C-*t~;5wlc5!cbO16(!)q>`lin;71|>#PN>fkZ@TP4yDO0fi#)l zWsJB(Mbbi_naD#T8EME~6zIl{G?Mn!|B~^6Bq_deMq<0+*(B!uAUkH9(|I+sCr9>Z z2`)quvd2rR>|a-a>?5rab3ja6MT!{dFy# zV1Lh`-CkQg2w4!WG81`Koz;!@hFWjRwa553ex~gQQZR7CRU6H8q^xeT$m|^;x$G!L zuO(Tg=$vr_<495U9I?%UnPtw5t7t1#6v_F$AA`1W#>^PGWDV(?yt_WKJyPr14kH(f z*S=h19vjQgenOuHYdX>-K1i%Ih&v>R6Sc{ea0FFr=umAu6 diff --git a/lab2CA.runs/impl_1/route_design.pb b/lab2CA.runs/impl_1/route_design.pb index e8e840aeeb40710832ffd34a6be97e97b5e14463..b7f77bb81b2ed682272aed8b2525aa12eb1ee279 100644 GIT binary patch delta 2111 zcmbVLOK1~O6y?R%q_4InCT+$v#!1q&O>HKbnU^%F3ff{(L8vWtrKb6eTC7bvGo@~X z7F@VcuwD^GR0Kch!d3!q6v2%Pi=Zfo3(=(t^|KNL(ah8)(=?_sySa1EIq#nP&O3W> z{=n?zDq}+6($Pc=ka3rRuyEgOHSi%w7}wkR2*dLcdNX}EF+56dr8$mg_*fXGjjmi@ z^<7kfNJwco7Z_^-rij2sJNZLV*lyWbn3Yv$B~d06ifRtz!%Qd= zQD%itHS(%%9DpI*Tq40O*jTtQzdd;wN9jy3$=Cada0l5ayqHG{l6ebudyvQAc@H-rg82&=he!ZKla>9j$}x&|)VuIVFxXqYk(Z5CEI z=xO6)6b6gK1|Dx?ZpPk$41|X#HYKKWMN*V#!cAB5yG(1C?Hb<3^^>Y zfBkc#1_Ex_#q7>Ynk%V1`M-!>xOt5D=4KrT5 zsi$u+mK>HPIJJSJt|DykN#<$?BJHci@liQ7!c8H33@mQzy0n<01BZr31iHYXJ+|h> zBA)NZ#mu-Yq?v4z4v5*~Mc@@TgKk2?<#x(=NEEUeQC9G}_1?Okp)YV_W2f^5!o8IY z^5y0ev$7dED)NepNzxanxiX8nhwvpZyIYFLX}1Fy&uZ-t3MSnq?T;?>O4&ozb%amD zceg{^TbbPiA6-7U<++6}z#ea}^AW=RmE12;-=LWOe>iMwo~H69mnC8v;S)+C;8*jS zmcn|onF&E$3_yOtbReIC#V)WuSN&oUSD|p--Hsk8yV!aX;q2c~sI8J%EZ{3i7i{Lt zEpHHFl^0aifDut2*{ofJlWjr!nKE9+@B!7zyEbdlikjlMBq!u?iCJca@&~E;GEy%P zewfdL4J5y}Fc4hxZ`2lc`0Xp^A@|k)8rkzNY}t+lyjPuTeiK!s*LU2m2!7vD1fL6R zp`MlzzJu_2b;=_F&p_culH*cbIx0;3Ysv9aKV5G)?ylsf?I5 Fqd(F~WS;;4 delta 2281 zcmb_dOK1~O6y?>{F;8n6({_?Hrjs_c=_ixSWSWm36iRDdSrtEEHBOT02WvA-rc}X& zh$!g7%0*NZMGzHnVa-aAs0$Yse?ifm?N+7Kow!h(X=?LqW2_RGfqC!VbKbewfT%4rA7s`s(x50Or8u*J@%h$j56^>0S?N%S<=O&TyiXh$(t^A% zzy^ImI7c~B-DP*sqKU#h8wiC6B93Eue@G*GQUneMPzDbr^1+zEiLlY66{Vk*wVy~Zz3;wL% zd8PR^zY9yl<;_Lm`B%Nv{;sMl|Z}Xz%d11$`cvuB8o~k{avZIW(Zc$<@5&I>IFl$%--?5}KDP z!$WFpkUxR23}bGyIj+PQPw&8>#N z4fUP&G{QRydGnhbhjsC}HQ;rPTJPtv<2=I0vUYrOtswY+xT=X&romy%^+isMND6R{ zX7oTegE@t8a@LEP&;l10kmaaTUmM;deRN8BZP2uziCLR5T6@pXXY7vT$8*k%FPIf}x?6p|O>T<-}+!09ls?sQ>@~ delta 25 gcmbQrIF)gN55HS#l7f+ef}x?6vALD8!Nh1Q09Z!`kN^Mx diff --git a/lab2CA.runs/synth_1/CPU9bits.dcp b/lab2CA.runs/synth_1/CPU9bits.dcp new file mode 100644 index 0000000000000000000000000000000000000000..9bd46abc525050d660b1519302c31ee88a4c6ba5 GIT binary patch literal 5580 zcmZ{o1yod9+sB8L7AZ*)1nHJWI))(xWF$qAp&7b6h8h?IBm|KZL`qsZ28KpTItLI? z1f)Ug8{d1s_g?wdch1`DoORaut-a6l?Eikwf9q)A;8Fnq00KaQ5mZ}@WgP8`1pq|j z001{IPazi0{N7Lp#48i0P(j*=kLw>#kmH^#IJnd+EQ$}~vuSbX-zsob-7>)muMd(F zZ{!<;plf%|WT34D^X;dv6{D*%1ZQ698ztah7*8&&%%eLAzLx*$)hD!qRhDpY>9rmW z*C=+Yyz!B!Qk5OZySJ^LUPfE+0W_3#D&amqXrTVCU(^s!-GW^7IaO!?7Qqf6JzG=? z;i+cd?m!n@P`FiWh4$mD#z7k<2*c@;vg+r8y9>+~DK6QHbh}h`n(Udr6d=_r1IA~4 zpSpca4t}fLVFp{n8~nH#wK^h(>IfC-u7b1Go0?E1+jsEPrB21V`d=<6vjr+}yk6#A zmY|8IZKvWJjpE>yzGUxWLy{^uUA5wzr)H!z6BDI^9?%oAXeH}l8Il{ z%e&>Jc3t{&(LJWgHx4NZHuJt>k^;4^Mp_5Lt*Eaer4eNXJr_HGGl|msu4}bHoAHG6 zmgCOMq&pkDaYgO+?pLu+S27mIr^l}j?Q3r8{E?o37xaA(ey4^A03gAnM@a`P`NG!S zjo%VtRr6%laYmjzV8|f$e0b_6>GK(wGB_U=KapTTp3140WZXhhj#^OJ#0xFD^z)hw z4q=0F6Jj@|)@RUVr9Gvz7>)ET_M)dqU;@0hGl$FEiwz~Uy18o)B85;Ayu!ZXfy9lvF1L}jOn6#HD?gd+v7h8|dqy=D$zb$hGOFzgQ zBU*Ppq3ts-IU1x=`AJ|9H_I6Y8zN*1)|KNq6ei4Z9~5@Se-K5$Gd|Q#iqxZkd0w1z zuIN7Ln}VsmK24@T8QG={?Gm(xXZo%@no*AQS!xVg6!W7b{)DEdR7un)?2N+srLrS9aj_t#moGAAQE1KniYfz_`0e7il(6 z@KA|?4`}d%2DJux)CXMpdj$kE_=LZR zhzsj+YV-AJ4)m6QczXwiswzRepwB(L8eqW(U_l*0tQU8Y_y}EZ+EHLUG2qYM2k*F5 zD`A%28ch2`+pZ9Et(OWK9rEPiv)o_ExR&*Fh(R~alDWNq8XCKfRI^tc7}ib;8BIYt zwjE4@BIMdyzfEhwm!Nlc2G6`jwEZdVs-mr5>3q8&8{F@p^?g^IfMhB{PZDNmr52}_ zvPqKwepsvfD9#mUk>Mid2&#p7i~#df$!x@sEg|W!$Bx4N#y_w_kLT{*_FUy9ng}e) z8|%AJIUA-NeGYPsED=55Zd(f4Esz%$uKB^}Te}2eS2dcb4}uu9m9$PK?$Pp%zWEH9 zk;s(PNI~qw4acd52H4X%O~1eumTst>lke$C+hs-w0_#!r1;bpTlOpF!+Dy%|?w^EX zI7SluNyF-Sp5(V{8Y_mq_&_?{F#TyDf!>|%CTCpm$}Fkr%$&fm-=aRp{nhtSCUc9$ zb|}k*GRTdJl7-^aQ+*o=+p3h%y@J)-e+Ii5d`9Ds4**;d0{~?IPPLnjxw9qoiB>#= z8g*uhXFiARJKN@I=2G=gMFLtN5@a?jOvsX#HUctCpB{*9;@KA|X=XJuoQU&Me{soz zOTw1pIxv{bP_L_F3iM}jUY1wTW2{?Mrd9z<;JFch^z%KSoSK;rTs#i^)x149zYj4Q zyYygSo}ZSRlJhUvMr4&m8hCz0Y<}L}-OiuS+r{xX`(DE^d7M?}YU)%bF7|z*UEwJ* z@7Lk($jPtAE6tJHpKa1Ts8vXx=vC~mp14GZQW2eg~z{fKLE?;eDB`N9V$G;dm966kxRDXfYLA2l@_NQq7mf+6KdbZW@f(dn?vgyoQeN#-OPl8&nW^YERDt~Rni^rw zaJ$1o&1oi2ssn@PI3q0cGSD{LdQh0e2%WR)vW_{Kwe73N&2q64)ocm0Q@ zDxxgK#{{}E<;WgV{a9*mS|M~ti$^=yo%&8roZes~KRxD+Oko7fhpPWU7xL*07%j4| z*#YbBjPtN)wD-xJeQBGeSzfUIm>oWx$=IbXRLgtc@i@19vFa%q*>^5-t$JMkJ;Izh z?%|xSEuY&E3SDZkb>bYQg|`B**O@@S2PQq%>vY_!O`H(SE&YLuPs$rZH`?q4kAm)E z>^n3ax)MJhqK&YaW9Ellfn4a6;J9RD_hZgqGcbA0hfz46ERKgXC@GPW{Pk+AE>InD z627!7GNlGr<)FuAQl`LQ;Ak-PJ6kdzUkH}8AuAVOHtxNF<8yE8VvY6V<@3!IUu`Ve z$e0WBFW;z^_VxDg8C!xIl9mODe8uoCSW_H$2v)HVo3K0U>Q-(X6#||iQSHv}$GF=Z zS>N)tjBsUM3lgi**KPL&;!(R8OR;^};@0v!mhT%H{U3eiU~x&1!} zxmf2vu}RGXzn_W?u5tuQ`Kw{u+O&C9Br#@$Sl&3u_J0|D2^^;I&ytl)bOg>scT$`O zoAcYgfLTu;Pei*He3k^d{#%wF#fK|H<0H9>fFpyE8~TqWQ%UQKxiceVt@qX{Tx3$uEt* z9}tk;e9&j_1!8*}`swOot}$p~?Rd|NHVAeVw%dPxJ68JK{L;Sb_+*E+l|AUH>%>0x zDrCHxanAo+?a$BHHm1e49w|h0!Ld};DAs`c!l%!AV%aNS2xsfwm-OBopR}a+sXKiC zY$4E1`i8(2TrqWN;@Oqk{vebH1^Rikb9MUTS*>KTrE8maLCRnTWVPA&{OV0I+98bt z9IdQvHEE>b$2&F-Ob9brh!3~kw}Yqks%IIz8mxjXs1d|Xpm%~aM~B)%3khE5oV%Fs zd`H)P?z2mo!DdGaZzi=di1%{b_}Fsz#;aer$7u%`%}33HR9`UPy+n@)Ju4hPWQCn| zuWWSH2o3R5iDq(9p~9wYTvQw01%B$SQmt?=59+?We#CV%7Xefv7`}q;W@7ok^o}(Uxf=Kb-975`M>PMwL!XHN_HU<|8v15c3PL z0Zg`tBle`1qG5K(&`FpHVQI>){3aGJTNUNcj_3md-?Ws*@5@HNo> zi1~bRY+V+aPN&hw z^`EQo3qc>_C7BTbx-H$iZOUbJh$D>WHLe@UXX2sAAI?eKEIV}NSW*-kf}wAf36h$K zOz3-2^{R^q5#nM$lg?5mcZ)bM@u|64!v(0K@XguM*(S+)e##dYKK6&PbjClqWI4s0 zEvob@%Arvkc6$|t?4lzuPvOMiq7i2nA>3M_UnzJ+KNcVW$Uov^ zqi#&FJiYAWi|dSH-vCYzPnl*A`K*#GJw4ds_|h|xGho=1rc#v|QBbhfZF|75bp(t% z7+xv6kWD7MaBNq)2;=ZYb#1I(q^%aJh3k~^Ep>gpy1zxEzDAnw-u~@H+%Ls5N%I~8 zq@V`L2|KsSY76iTzxrhSiQDJtKB}0Y7I7y<-l{3a;qGQEr6w&Abs?M@J4*l|MN;4g zc0dbOP6PI6+WeyOEz_1pm1b6K2PaLNo3t7Gm?i(zWL-+3B@ zm)R#==FUWyNnt}{T9EgQJk8LojTIYCLK#9Dtd=~WHSz&bPF%Y0FYB5~wfTy;6J;?U zl+}>eMpm~vCl~$xCT%%g6VNEbRglYDtTWrL`#F}c)N4$=1 zYs(}X{+{UPt?v8oZ+psudzhA8R>(mN2X3MQr8-vd(TM?bf#}XS9Q!;dYNazQu~!$S zwMoaK^MlQq6bCMoA$0ZXj-~IPv%X|#ru7Ij+dVLU=r{j0>z}!~d%WQH1iut=Lduhb zAD_d;YxUCQBe|0Zg(_ISJV`DhQ~OLFv%)VW&3Ag-ObjfH$+vDD8Y;6dQIb=Y^@N}1 z`uO-}4l?*xS_E-b>_Wqn-KH$Vo~tSDMmm70JP@Da@Z7gOIwhytZv`4jAFy!q)_hjZ zW0WDwP%Wi{;R^e10VxVu>P*`&~|cqudH*%`CgE@1%0^q}z zTI77@U1Pr6?PD^=NDjLP-D*8rlt^QH&PTzKdOlxUzzjr)(Q!wZOn;>^iJ8{plUlzI z@Im{`C%V<%WRofh1UV!uh2Nc+5r(vq`bMIW=+- zyaVPbnoSm@4J%iPs?3-3pC&lTe#oc7!logUnd2uWjaDz;(bH#OKMKP=^T;!!luW{L zkxB=BZ;;d=l{R+h6x@36GT95$nU$q_u;NX*Y|^dzxo%Hg}MBDcj!8K-Ru5CUf}+V z{Ox?Nqt}hSik?0-j3J7>w5pEOQu`@fPbt1I(%IT|G@GXVf=5ef5RAE=xE?! zVgLXTV$R>y-kTBt;0LIPSXnFTLwK~^1SR#{pP2jDszRJ4ova`l%C7c69aS3%XJ-j( N4;~*w4Y1S0{{jC8$#?(& literal 0 HcmV?d00001 diff --git a/lab2CA.runs/synth_1/FetchUnit.tcl b/lab2CA.runs/synth_1/CPU9bits.tcl similarity index 63% rename from lab2CA.runs/synth_1/FetchUnit.tcl rename to lab2CA.runs/synth_1/CPU9bits.tcl index f511a2d..2603805 100644 --- a/lab2CA.runs/synth_1/FetchUnit.tcl +++ b/lab2CA.runs/synth_1/CPU9bits.tcl @@ -17,20 +17,26 @@ proc create_report { reportName command } { send_msg_id runtcl-5 warning "$msg" } } +set_msg_config -id {Synth 8-256} -limit 10000 +set_msg_config -id {Synth 8-638} -limit 10000 create_project -in_memory -part xc7k160tifbg484-2L set_param project.singleFileAddWarning.threshold 0 set_param project.compositeFile.enableAutoGeneration 0 set_param synth.vivado.isSynthRun true -set_property webtalk.parent_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.cache/wt [current_project] -set_property parent.project_path C:/Users/ecelab/ECE3570-Lab/lab2CA.xpr [current_project] +set_property webtalk.parent_dir {C:/Users/JoseIgnacio/CA Lab/lab2CA.cache/wt} [current_project] +set_property parent.project_path {C:/Users/JoseIgnacio/CA Lab/lab2CA.xpr} [current_project] set_property default_lib xil_defaultlib [current_project] set_property target_language Verilog [current_project] -set_property ip_output_repo c:/Users/ecelab/ECE3570-Lab/lab2CA.cache/ip [current_project] +set_property ip_output_repo {c:/Users/JoseIgnacio/CA Lab/lab2CA.cache/ip} [current_project] set_property ip_cache_permissions {read write} [current_project] read_verilog -library xil_defaultlib { - C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v - C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v + {C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v} + {C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v} + {C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ControlUnit.v} + {C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/FetchUnit.v} + {C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/RegFile.v} + {C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/CPU9bits.v} } # Mark all dcp files as not used in implementation to prevent them from being # stitched into the results of this synthesis run. Any black boxes in the @@ -43,12 +49,12 @@ foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] { set_param ips.enableIPCacheLiteLoad 1 close [open __synthesis_is_running__ w] -synth_design -top FetchUnit -part xc7k160tifbg484-2L +synth_design -top CPU9bits -part xc7k160tifbg484-2L # disable binary constraint mode for synth run checkpoints set_param constraints.enableBinaryConstraints false -write_checkpoint -force -noxdef FetchUnit.dcp -create_report "synth_1_synth_report_utilization_0" "report_utilization -file FetchUnit_utilization_synth.rpt -pb FetchUnit_utilization_synth.pb" +write_checkpoint -force -noxdef CPU9bits.dcp +create_report "synth_1_synth_report_utilization_0" "report_utilization -file CPU9bits_utilization_synth.rpt -pb CPU9bits_utilization_synth.pb" file delete __synthesis_is_running__ close [open __synthesis_is_complete__ w] diff --git a/lab2CA.runs/synth_1/CPU9bits.vds b/lab2CA.runs/synth_1/CPU9bits.vds new file mode 100644 index 0000000..b06c049 --- /dev/null +++ b/lab2CA.runs/synth_1/CPU9bits.vds @@ -0,0 +1,341 @@ +#----------------------------------------------------------- +# Vivado v2018.3 (64-bit) +# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 +# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 +# Start of session at: Wed Mar 13 11:12:14 2019 +# Process ID: 13200 +# Current directory: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1 +# Command line: vivado.exe -log CPU9bits.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source CPU9bits.tcl +# Log file: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1/CPU9bits.vds +# Journal file: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1\vivado.jou +#----------------------------------------------------------- +source CPU9bits.tcl -notrace +Command: synth_design -top CPU9bits -part xc7k160tifbg484-2L +Starting synth_design +Attempting to get a license for feature 'Synthesis' and/or device 'xc7k160ti' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7k160ti' +INFO: Launching helper process for spawning children vivado processes +INFO: Helper process launched with PID 18016 +--------------------------------------------------------------------------------- +Starting Synthesize : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 363.336 ; gain = 101.195 +--------------------------------------------------------------------------------- +INFO: [Synth 8-6157] synthesizing module 'CPU9bits' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3] +INFO: [Synth 8-6157] synthesizing module 'RegFile' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/RegFile.v:3] +INFO: [Synth 8-6157] synthesizing module 'decoder' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:261] +INFO: [Synth 8-226] default block is never used [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:268] +INFO: [Synth 8-6155] done synthesizing module 'decoder' (1#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:261] +INFO: [Synth 8-6157] synthesizing module 'register' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:774] +INFO: [Synth 8-6155] done synthesizing module 'register' (2#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:774] +INFO: [Synth 8-6157] synthesizing module 'mux_4_1' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:404] +INFO: [Synth 8-226] default block is never used [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:409] +INFO: [Synth 8-6155] done synthesizing module 'mux_4_1' (3#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:404] +INFO: [Synth 8-6155] done synthesizing module 'RegFile' (4#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/RegFile.v:3] +INFO: [Synth 8-6157] synthesizing module 'FetchUnit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3] +INFO: [Synth 8-6157] synthesizing module 'add_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:56] +INFO: [Synth 8-6157] synthesizing module 'add_1bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3] +INFO: [Synth 8-6155] done synthesizing module 'add_1bit' (5#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3] +INFO: [Synth 8-6155] done synthesizing module 'add_9bit' (6#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:56] +INFO: [Synth 8-6157] synthesizing module 'mux_2_1' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:333] +INFO: [Synth 8-226] default block is never used [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:339] +INFO: [Synth 8-6155] done synthesizing module 'mux_2_1' (7#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:333] +INFO: [Synth 8-6155] done synthesizing module 'FetchUnit' (8#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3] +INFO: [Synth 8-6157] synthesizing module 'ALU' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:3] +INFO: [Synth 8-6157] synthesizing module 'sub_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:961] +INFO: [Synth 8-6157] synthesizing module 'twos_compliment_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1026] +INFO: [Synth 8-6157] synthesizing module 'not_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:684] +INFO: [Synth 8-6155] done synthesizing module 'not_9bit' (9#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:684] +INFO: [Synth 8-6155] done synthesizing module 'twos_compliment_9bit' (10#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1026] +INFO: [Synth 8-6155] done synthesizing module 'sub_9bit' (11#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:961] +INFO: [Synth 8-6157] synthesizing module 'or_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:721] +INFO: [Synth 8-6155] done synthesizing module 'or_9bit' (12#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:721] +INFO: [Synth 8-6157] synthesizing module 'nor_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:640] +INFO: [Synth 8-6155] done synthesizing module 'nor_9bit' (13#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:640] +INFO: [Synth 8-6157] synthesizing module 'and_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:175] +INFO: [Synth 8-6155] done synthesizing module 'and_9bit' (14#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:175] +INFO: [Synth 8-6157] synthesizing module 'shift_left' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:850] +INFO: [Synth 8-6155] done synthesizing module 'shift_left' (15#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:850] +INFO: [Synth 8-6157] synthesizing module 'shift_right_logical' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:887] +INFO: [Synth 8-6155] done synthesizing module 'shift_right_logical' (16#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:887] +INFO: [Synth 8-6157] synthesizing module 'shift_right_arithmetic' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:924] +INFO: [Synth 8-6155] done synthesizing module 'shift_right_arithmetic' (17#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:924] +INFO: [Synth 8-6157] synthesizing module 'less_than' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:317] +INFO: [Synth 8-6155] done synthesizing module 'less_than' (18#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:317] +INFO: [Synth 8-6157] synthesizing module 'BEQ' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1075] +INFO: [Synth 8-6155] done synthesizing module 'BEQ' (19#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1075] +INFO: [Synth 8-6157] synthesizing module 'mux_16_1' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:532] +INFO: [Synth 8-226] default block is never used [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:538] +INFO: [Synth 8-6155] done synthesizing module 'mux_16_1' (20#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:532] +WARNING: [Synth 8-3848] Net result_L in module/entity ALU does not have driver. [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:11] +WARNING: [Synth 8-3848] Net result_M in module/entity ALU does not have driver. [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:11] +WARNING: [Synth 8-3848] Net result_N in module/entity ALU does not have driver. [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:11] +WARNING: [Synth 8-3848] Net result_O in module/entity ALU does not have driver. [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:11] +WARNING: [Synth 8-3848] Net result_P in module/entity ALU does not have driver. [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:11] +INFO: [Synth 8-6155] done synthesizing module 'ALU' (21#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:3] +INFO: [Synth 8-6157] synthesizing module 'ControlUnit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3] +INFO: [Synth 8-6155] done synthesizing module 'ControlUnit' (22#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3] +INFO: [Synth 8-6157] synthesizing module 'bit1_mux_2_1' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:347] +INFO: [Synth 8-226] default block is never used [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:353] +INFO: [Synth 8-6155] done synthesizing module 'bit1_mux_2_1' (23#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:347] +WARNING: [Synth 8-3848] Net dataMemOut in module/entity CPU9bits does not have driver. [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:8] +INFO: [Synth 8-6155] done synthesizing module 'CPU9bits' (24#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3] +WARNING: [Synth 8-3331] design shift_right_arithmetic has unconnected port A[0] +WARNING: [Synth 8-3331] design shift_right_logical has unconnected port A[0] +WARNING: [Synth 8-3331] design shift_left has unconnected port A[8] +--------------------------------------------------------------------------------- +Finished Synthesize : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 420.191 ; gain = 158.051 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 420.191 ; gain = 158.051 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7k160tifbg484-2L +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 420.191 ; gain = 158.051 +--------------------------------------------------------------------------------- +INFO: [Device 21-403] Loading part xc7k160tifbg484-2L +WARNING: [Synth 8-327] inferring latch for variable 'regOut_reg' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:269] +WARNING: [Synth 8-327] inferring latch for variable 'aluOut_reg' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:17] +WARNING: [Synth 8-327] inferring latch for variable 'FU_reg' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:19] +WARNING: [Synth 8-327] inferring latch for variable 'addi_reg' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:59] +WARNING: [Synth 8-327] inferring latch for variable 'mem_reg' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:79] +WARNING: [Synth 8-327] inferring latch for variable 'RegEn_reg' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:18] +WARNING: [Synth 8-327] inferring latch for variable 'halt_reg' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:89] +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 420.191 ; gain = 158.051 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +No constraint files found. +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---XORs : + 2 Input 1 Bit XORs := 144 ++---Registers : + 9 Bit Registers := 5 ++---Muxes : + 4 Input 9 Bit Muxes := 2 + 2 Input 9 Bit Muxes := 5 + 4 Input 4 Bit Muxes := 1 + 2 Input 3 Bit Muxes := 2 + 13 Input 3 Bit Muxes := 1 + 13 Input 1 Bit Muxes := 6 + 2 Input 1 Bit Muxes := 1 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Hierarchical Component Statistics +--------------------------------------------------------------------------------- +Hierarchical RTL Component report +Module decoder +Detailed RTL Component Info : ++---Muxes : + 4 Input 4 Bit Muxes := 1 +Module register +Detailed RTL Component Info : ++---Registers : + 9 Bit Registers := 1 +Module mux_4_1 +Detailed RTL Component Info : ++---Muxes : + 4 Input 9 Bit Muxes := 1 +Module add_1bit +Detailed RTL Component Info : ++---XORs : + 2 Input 1 Bit XORs := 2 +Module mux_2_1 +Detailed RTL Component Info : ++---Muxes : + 2 Input 9 Bit Muxes := 1 +Module ControlUnit +Detailed RTL Component Info : ++---Muxes : + 2 Input 3 Bit Muxes := 2 + 13 Input 3 Bit Muxes := 1 + 13 Input 1 Bit Muxes := 6 +Module bit1_mux_2_1 +Detailed RTL Component Info : ++---Muxes : + 2 Input 1 Bit Muxes := 1 +--------------------------------------------------------------------------------- +Finished RTL Hierarchical Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 600 (col length:100) +BRAMs: 650 (col length: RAMB18 100 RAMB36 50) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +No constraint files found. +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +Warning: Parallel synthesis criteria is not met +INFO: [Synth 8-3333] propagating constant 1 across sequential element (\CU/halt_reg ) +WARNING: [Synth 8-3332] Sequential element (RF/d0/regOut_reg[3]) is unused and will be removed from module CPU9bits. +WARNING: [Synth 8-3332] Sequential element (RF/d0/regOut_reg[2]) is unused and will be removed from module CPU9bits. +WARNING: [Synth 8-3332] Sequential element (RF/d0/regOut_reg[1]) is unused and will be removed from module CPU9bits. +WARNING: [Synth 8-3332] Sequential element (RF/d0/regOut_reg[0]) is unused and will be removed from module CPU9bits. +WARNING: [Synth 8-3332] Sequential element (CU/aluOut_reg[3]) is unused and will be removed from module CPU9bits. +WARNING: [Synth 8-3332] Sequential element (CU/aluOut_reg[2]) is unused and will be removed from module CPU9bits. +WARNING: [Synth 8-3332] Sequential element (CU/aluOut_reg[1]) is unused and will be removed from module CPU9bits. +WARNING: [Synth 8-3332] Sequential element (CU/aluOut_reg[0]) is unused and will be removed from module CPU9bits. +WARNING: [Synth 8-3332] Sequential element (CU/FU_reg[2]) is unused and will be removed from module CPU9bits. +WARNING: [Synth 8-3332] Sequential element (CU/FU_reg[1]) is unused and will be removed from module CPU9bits. +WARNING: [Synth 8-3332] Sequential element (CU/FU_reg[0]) is unused and will be removed from module CPU9bits. +WARNING: [Synth 8-3332] Sequential element (CU/addi_reg) is unused and will be removed from module CPU9bits. +WARNING: [Synth 8-3332] Sequential element (CU/mem_reg) is unused and will be removed from module CPU9bits. +WARNING: [Synth 8-3332] Sequential element (CU/RegEn_reg) is unused and will be removed from module CPU9bits. +WARNING: [Synth 8-3332] Sequential element (CU/halt_reg) is unused and will be removed from module CPU9bits. +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 568.145 ; gain = 306.004 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +No constraint files found. +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 568.145 ; gain = 306.004 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 568.145 ; gain = 306.004 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 568.145 ; gain = 306.004 +--------------------------------------------------------------------------------- + +Report Check Netlist: ++------+------------------+-------+---------+-------+------------------+ +| |Item |Errors |Warnings |Status |Description | ++------+------------------+-------+---------+-------+------------------+ +|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | ++------+------------------+-------+---------+-------+------------------+ +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 568.145 ; gain = 306.004 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 568.145 ; gain = 306.004 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 568.145 ; gain = 306.004 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 568.145 ; gain = 306.004 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 568.145 ; gain = 306.004 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +Report BlackBoxes: ++-+--------------+----------+ +| |BlackBox name |Instances | ++-+--------------+----------+ ++-+--------------+----------+ + +Report Cell Usage: ++------+-----+------+ +| |Cell |Count | ++------+-----+------+ +|1 |OBUF | 1| ++------+-----+------+ + +Report Instance Areas: ++------+---------+-------+------+ +| |Instance |Module |Cells | ++------+---------+-------+------+ +|1 |top | | 1| ++------+---------+-------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 568.145 ; gain = 306.004 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 0 critical warnings and 31 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 568.145 ; gain = 306.004 +Synthesis Optimization Complete : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 568.145 ; gain = 306.004 +INFO: [Project 1-571] Translating synthesized netlist +INFO: [Project 1-570] Preparing netlist for logic optimization +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 675.293 ; gain = 0.000 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +INFO: [Common 17-83] Releasing license: Synthesis +61 Infos, 31 Warnings, 0 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 675.293 ; gain = 426.164 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 675.293 ; gain = 0.000 +WARNING: [Constraints 18-5210] No constraints selected for write. +Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. +INFO: [Common 17-1381] The checkpoint 'C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1/CPU9bits.dcp' has been generated. +INFO: [runtcl-4] Executing : report_utilization -file CPU9bits_utilization_synth.rpt -pb CPU9bits_utilization_synth.pb +INFO: [Common 17-206] Exiting Vivado at Wed Mar 13 11:12:35 2019... diff --git a/lab2CA.runs/synth_1/CPU9bits_utilization_synth.pb b/lab2CA.runs/synth_1/CPU9bits_utilization_synth.pb new file mode 100644 index 0000000000000000000000000000000000000000..af5da266e26b1575b24a9642f8b4266e9e91bdaf GIT binary patch literal 289 zcmd;LGcqu=&@-OItPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z&;IwE_kCt}Z=c_}-Cg(d zt-f`2s=9viQlMa{KtMoHK*8F|vd|JVV6MPGK(U}eK#*VG8XMWsxmsIA#A(_3F<=H& z9#%f}%>N_;*rsneY~BrURM9s=#}|s{>plOH~9)C%fX8* z)*4Git=Oq{^34eM3_e^QzVuQ$L0^nQsx_AQUQ!OPmzlOM*@M|=uWM~{w<7OYvV2}K ze}t95BeA|&kXWapdq@J=uggclZuc7^JkUv&%IdtSmSvaKv0p*ac&q95(hyEdPc`v( zeq+0q0|&H>Q+GG1r!ax{c-RYEmY)_n+oUTU$1?3@8ZLb%kb>ci2!>I}-Ux`CFRNe( zOcTzHw1{;&2; zW#Q4Bi60q&0Rty2c32&U+Ib7f_To8g_lgc|&H@uTHmOBshm`S{A6n1KQ!Jtj!ZNtz z{nDey`ivtQdq!sjc8tBJJbL`IhB}UXPB+#<{&nh`g8rVoaPNlatS^VGn*?p9g*UgW z?)fv{<^OeX>~)J?>kxo|KK=j!!F?SZF%u^vGZh8L8oDW;#7*eM# z$BwoL* zrO>pk9A4QlY0bB}N9HE}{-)b#8Bw?HRId&;x>VZU33pii$FtMpr`IVSn9}5_oA;}= z$JN562LWHYpql?WHo5cl?CQIHjAvT+zjb(w5@rOSs^jLD zM+W~8&z1Y3QJSaI6z+fIzy#O!rp&ZdO7S4u_CgcxXTEYd{JcDP%RJpWW1821g=Z;K zgK$g_em`6*;^pMM;BGNDE?M<%9hBZ^jDBPk}!%8f9B&O zfjlpP%n1=9S@)PN0@U!yf!*Tn(bFxjU!p4wkOmTUZqP5L-?BByw#SdD0TT*#301Jf zVz8pju&nWe6?`E%01#4`#5mEd_SEs5xK-D*flk)@qtPwvTg&q8c5^~WN>s?j2vv4L z)X%#P%8;qY(|MkzCY?{}wD-fw++F@b@!Z{QOvRK>a*XoI4tf+2)+ez%v!VTq6u&{0 znVR)eC+>7~S`ANRo?LyrKBJiM_(8l&S@CDfMJK)4=f=9i>ZVKlmR8R*RrX!G2jROl zTo2a$Cn+wcM2QCTCB$1?+J1(Dg=D;~f#!SC{oog;1Soo=7@IJqZ3jHL#9_R!_0(aY zsO)YI+(yYq^V`xlh^gK*MDRcT+R%>BX^6u++S2NFJDO5&IH>c}*GFYj>75?y#PveU zPKD0}g_?CtXSkQ>!>uNhtD9*qKOS4eZ$6wHT-iQ&kB^>&iK=yV26msVJ(;0%%isfl z^ZAT;Dw=e(kF2DYT16L~;Q`X-mvd7zQs*>Qb$_IfJ1?A^>pmht%EWS)vT5D{&n*zm zFI1~(xj5F>dsVSs3*T(g%{v3;ozGPkSGApala`t0_xYoWgYk=lGurVljwe8Qqt`TU zuL0AL@>-e7TARAoT8@XjB_|MhClC)M9>6ZT*^rrY{*%Ys85eDWwSdWvvS%JASLZ58 z7EmM(^>4U&g6y`Wk0>Jd+@!p!%ymb+77&I`#aK|IZsTil%Xjb|I7#EV6p%Ug#kf#i zZu@Jib)K$E9*x6Z_ftf!C4^Az`F?~e2WU=mar9s-!NUk|L79X4ut>GWu!2mJ4~!QH z9>!3<<;GCeG?Q1=-#+j)gqEl@gz`pe+rF0uMgy0jd-EsG3)N9B3;7J!dIcH50LK_Z zGa^pjU`3gaET}ElbZ_uhqt#kp-(p(jZc)+39ztparWt!A@!hS(TU$Q%r0cXUUe;+^ zyLi(k=$-Cg->P_jjP5R~KG*BvcSep>-rhVpt9Y;f8LTY4Ic?|h_DazE+`q;tdV`qE z&}+E}B8~R8?5Wgcj!8UuS#@oZYytZ5vVXeSfB4I%;-ekrPq*}TEMHBKW$#KZE;_DM z1p6Sin{L)V)mAG^oenrx1&EHCZ$_!Wri#7*l;_;)cedPm5*qn>^pYGd-N;lY6|@f4 zR8$(=x4=*e{4Hg9;FvHJkPbQl-h^Vpa-OuRIN9#d_!LAloE9aRm!`XrgsMxf2TF?= zv5@T`6b&jB`vB3Lh+2UJatBrIED`7MOH0-LqjlCV&9RNZgCr7CDdY4Ed`NiJ;tFi$9`3>7392`>nL_dC*h z^tH<%3Y& zG$RlYeMylrf>c468&LEqn#6p~Xh`iR;Wv&I8x()yE-3iBUr3Znvdgv)oQaN=9b{`J z*SG;7X&y{S^5{m~h(&v)#+l8vv0xmwCd64Z5kCp#hn0J?IuP=1T+fI1hQhk z$9Wx8NF#4~CWrXc7%uq=kPVW*j`60Fb~Ur10Gq5=fci>$%RVG?a{+vAoyb9~%pu9- zhtI!sI=@5PMNW$Nl+$H{QMm~&|G0o41D-%gfvUQviub8iQr>_B&0Lc9%yAg$C%dst znGO{g)h+;o8$XhMMxxS(NuO3a7 zRgS!(GwU}p2Lz3r077Vahiat2*pdSSikQ_AWHJ#UpIBHQig;x>B;gQg{X`0)HQ;#d zc;W0ksy~>L#>jOd(2Q_ddqP++WGeLi^2E0}WRg(YUw$g(DT=9RgOINgz8J1AMqVg8 z&!QJovd=_4t1U!T?R8gW8*L97W0NpY5rHU5ogbLHzDT6bEPQ+@+ZVt&|a@X-ZA z9xw7l)0&NWwBj0tc9CKOueebc%_2tw`ReW^O&p6YRuU1mEpr^@bt6_{9;Pj7-1&8) zR_FsB?VmBU-xn04w1G{siLkzw2F=<}nhm^z)7@V**B325Xe{|=Z5n$ph|VmsD-#Nx z6y9yteC7p6R-{C*XCfP-gHhUX2(Mw}h%6swdYbMw%^w*#kb!no5Hn&Jr%o7bh;QeN zpxra>UvfxJwztxFGdYe2iYm0#S$*Q+cy3tKSdGlOKll1KB%Cxw1hoxf~}>hV{+URPXTO2C3u4Kvv+s}pEWHX zJ7(5SXul9sw&FtxACdjd#9UWr>D&+(an^V=l0Sb_(RmcmDPK!y%Gg6+_&muNaK zibRJt!q5|em+$-Iwjr!x)98izo!%=h|4A5jCut2;*&K2(vei%aaMOxiG0(Nm^H&y~ z3MF3%-HdYq!aBhGRpJ_o`j_r=J{eoY9ZmxSzTK=ucR;++Z{O#r#OIN+@)bBoN5f$+ zT7rh%xeN;oO)Z-n|9ig7XNT4@%<{_S(VtIXeS4=i$4cJ2uQnXKtK2fF(-EjOE$OmE zt7W&z@P+&CZa+HP| zOg+g_Yo4?Uqpjnfq$!MvDrAW~vYkdLhZuBjt)Dfh4*>_9I2J|I(p2M zXF93D?pa1qpSTH5ti&q{-3t~t##=My50-SB+S2w=zntlfGxX3rGze>aJp*K7{|j>? z46k=b)7Y00mkr{~Afap7N7Z7EV;yB|IOh#Na9oST9D^8S0Lpz^^XZ+T%F7>i6!@Gv z`Pd+9;HY&=|C8`;^_C9zkf?>#4PzB;Y;AxqnN(j)euOqqRj;~Y3~%V!$b5Y6cbk_2 zC)X zQ&4YFtO%CT%(7@zcQ=;fqNN)jy($rs@mNyoyo3#887`^@uB|_tQxdh(Lonv~i-P~i zz(4h4f61&@3$0ZAu3K91(E|vOj5}a|A-Y%6UkJ+(YZYryLIK?toi1%(#yOX$HCd zp+08>{BVG+BYDzyN8_Cqc7%bvR!)?$SxzSV^;$@yndLO-18BVDri*=lMswQ--~iUFpaBWB$5h#S4DiTpxTJ6K-nfsc7GZ{NP}VqgbwBEM^(pk0J@_c>561C zwp0Gub?*y5iso2Ij2ZR5BtgXwJE^XzAHT?+fFX8S5Xj^_N)%;k5M2 z94wqu9^d+<-@#MH&-A4a^QHgsrJs6L#W(n+kNc(1sl72jdm*ZpLyWjg?m*%X!Wg_? zgf))`&6Xx7w7f*_NY0id>}ayvCWSXLz?RKi-Hn?;jdMtQD$mvcNDqNKK-5|0xYP@- zsg^mDwqgiA9vN_B=8liBcKBiF&#A1&Ho?7`ZDobRgMu@*-BM$}aR6^(l)9I^qz}KG z5OJH~LV>d?GT8^=xP)$1v&di9eyQDN`%u-nbRBu$M20+s43g$q(fqC2F`y1l>pj7c z&hjCjPv*eyxaU5C+B!n$1@^=8Y_71hpICiB_nf6knoADR^#}Fk8Q0Z=|MMDm^PM+B zWre@<86LPxcU$65?M(2~D+`S_p9Ebsx+?jN(HE2VC^O1yZw@Z3U-%i`*y*@YQIq^_ z1R3b`HBaLUTh1PDvUW8-5|Q7!-)K)A~&edyzu6rJR_%s4e*%zkv3o?eQUX^xqlNs&U9c0_t?xJ;5} zcxQD>HPl&=b)I{ecaInjKLAtXg4b-yNId#2;i%G5k-)IQOSZ?F= zt5(R|&u)!CV`H0T&Tm%z37Xg@8kZk2I>5Ewx>8gaW-%L1M7OHfV`iMT32J@T!q;Pp zIe;CFT1F_(-2ehZT}$zE1*qIKEos(%*Hc zh<n(J=`vQ34T(OSDj@Q&!q>*hj1q|}KYO-(I9%nRU| z`B1@~6C@R}5EW#bKN}gYun11GsQflxeV=H~>>MO67bEL9beZJsbe!aUTr+xZNSb`S zPJIi-gAIfAxENVDyc}!zIeDHsnRoy{vCiV6|B+*5f7#LcNWWI|s?WyG!<0BqPiLyY z6TQy=OW*qw@3xgrBlp#_!*TDkBkXlAGvxhwx`c|>A_Ov<|(oQ z1X0R3fwJ`qREsyY_E(OeCa5Tg^0Va*waPYRghM27*rQ~Dr~$4y)U!Wee7?^u4fHf* zyh!^J-Tz*g*+ty8&uPdm3ZSXiG^){*778Dvp%qa?Y_z6)#YN`12vY3Ay_mrb&Qq?{ zRzm!Ph}}>Z8@H6JzM(hP82YT(oYym-KEhEzvv^Gc{ysB(+@`INluS`izM6oi zfVt(fWUK|_=l^!bLfWMtEj};3pMr&gxud`;7C~ATn=QW~6*e#SP4%|Q&6tABARAKfs1iFNus;?XMw~?v1xUh&n?An{Fs3eI|*vM->u5G@2iUmjuD33dQ#osJO*Ky zshHYkVm-=fHr6t|qG`+Ci4GAxXLmr_V@eg$#BBt0+iUqej6~alOMT3`&S_Xa1{pOj z3)NTO!msjh#BVvxH%zifgnJE?FY4(ULzWe+*e%}~2HXG>&D&sB0~9jOW^}+>@-~#o z-KuUw3!X*=2W76Nc4qZoZ>$sJkRa@7T*PVXJcNhT@5-Cn(^#5qx0 z{#3Un6WbIh6*XwpTU3u__2nk&lbc|Vq&WlE)4-!J*_Ym*ABk{7$6Z7WN$J|#iV&;J zo@*S6Rs1AzAXy!Y7~^7Rf@00EH`K9)P~y>~TwbJxcebfB)l!ORX=Z|lvr*Q3j!hG> zUqO{}lWH449&eKsoXyKPVHri~Utv=Q2#y9S;F+hI2%Gjw z4ufe^GXvbHg`<7&c!B|OrvH`6Dqm_=6JR*y$iUxeiT^}#Dk`vxqc>Dc;mOG2uOvb&>rtVsZ|9IpPocU z7SAebiQYynAz8Xk&_A|Vsi9rzJR-fw4Wv>VYVsr2Z0vac9#((} zFSPt|lDf=FKmkN~SkIz@KtGtLzxdIP^nRGka~AH**c%}w+~Y{1e~s@90G{MZCIXT& zgmbNMxv|JF(VwVEFV0zM-&0Aq-=R<^CNmBVcyq@1zIww)Gq-8nQwAr{+f`r}kYnFv zxh)uhAbDS6{IRKGz)@!ZO9=$vD#6AF-net)HHa3p&qvaX+_}gppK*r1x?-^PIZ)G*>nRA3rx8WHe z0&j6?zXv0rSFX*xVgcB5?7OE10bE_#r0o!&eLg?eli2pukD>YuFH*SbP7AB=uOS1H zXH0RH7_apMiC3GDDS#K8@$UH__T~!$HK%>}48~F=+j@>=<}9kejW@u)fB1~qT3Y$> zmRF;;#;7b|dPo^nT398$s~X9mv!pjCv#J`ZaEqv(f7382^S|1FwM_~N5~{j8CYj{Z zhV60DODrI4&m&4%31aGEZ(o!dJBHrjWZOHMSXBRQ6^%jGMJ zc&(VB?m$d?`x9pT3`jM8ZjwPhNg&-J<-E7W7#>!$j?E`nCH>F^bO9XpHpJ6OzejTR z+)JgBE>VYUlXCvd4BJ~$VjE@@ZvttL4M-;)MQD{Des0=z=LA8#WCdEo@`;;lin_rQ2TF{&`fMuW)X{zE zSlxHQqr9Nt4$;+-<<#MO0CkD~v}tX->rNKYaA|3KD_dP5zyp4oO;*3Gt=scEG0twKobm7@++m)hkKD_{lKfKS8hdi{P9B_>oU7S90 zi+6Cq0Ls*2^QilOZIinV2;MXjI0F>hgDksL);67kPY)xR-NrWnEo#Wy?gbkzF==nv zxfgsUamMasSWf4A1U9iQxCj@wY=D|Y=3$P>y}rT==I0CurmR4himccA>4@ergH)ml+}o5kEdvE(~_a-a8N#7HHIE^7(zZ$%ZM_>yRxg!C4* zh!#bWVm-3BC;27?yf#)vlq53-}B2Ew=N~X0$$gdM}`X3aW z74W`QVms^oR@4)tXdtpt4*sncu>mh~tRfh%i^N_cJXb1a3t|nS2MdGQ0sm!AQj2gW z7b#99HeEgc^0ihfwhsI3?*+%Wdb}*^Ea5s|^qo9ziIzliy&7lUr1w%GHzkZh#R!H( zRqsn}rc|sxUfM8$?AO`aMGlu;B{py5@0nV_#}@Okn6rf2{LwVYuPe?xhMn85{gUvQ zKVlS)&)|f?WFI?EDDi88or zgee)h8arWPc#;9zxx725+>QhsOCd{KMEb263IK>IPKS~|WuPFIMqbx9h!*J=5Q#^l zktCKx)=@(uNsS*OuE4Ca3k{g*Q$`6VmE?&bm2t-cQ|r(##-Qwv)F7V;1+LXGmdK%| zegWigQ$WNA)C)lE!*+xk%1e6LCs4N89`2NDL=^At0^hQa_-oMSR^YSWwEjG|UGu(r zsm76xKlvRIDZx^Hf{l=B<~(`73pH~(1ukySyAJSpHx)VVSUOS_DLz{=gCC!9Tx6Ak zp8{P04@SyUTn8VfP*GT=D4t*h$}$8N!V# z@Dnf0aGe!A(H%S>WHPDXc?Qu{L%9mAur?A)}E{4#!DuXD() zuuKN-H`3RKAjDjA5T*}7_?bxvm&VM0e1y;LE&^p z3oFEgBH;2s|J(D{$MIU9%_~M7;yX|W&cTCFbxGxl)8k4WZz5NMK` zCOaj2Z+j$bucIGI%vwM%_lDxu|7=PxheIV+~1RJ59*_n$MqKavvM zA=)pOwJwyIpGvD(s6A!RUEF2z<4jdMDDCmnm5v`yVgxfU`Ttz+f)mOQg*CFqKA`Z< z)#H2TS@XWBZ@Swcnrrj(4>WswhdaN&VP3vGGalUE8m*mfi?1wpf8Jd#70e*jv(75I z{gq-(9e)du(p0rE=S%2kz-LsW?HYhh+HW&CGNXllZ+ea-^E zv}fsO)k0%B9xZW7Ep_5gDKuu;cK38wKG+pes1@+bxY6Dxi!ke3@(yT$|D;;F47hS|&;V{^7Bi!Z)jxws+Nl!u}gSU(8 zBy_M36+2p%m;5Zd;|4V%T4TD?UdOT(GdlD@Q$JwSfQKA-bd{i%Ct<}2RA3dyH0y^n z881ix;^0_B>>C?W3lIED-VA~by?HMhu;EOni}veBe_P73B`K$P7kU%~N_Xxo&nGa^ z=36zUHU&lkqDA3@AEDL6#S0_>vTH7n@))eqbmi$tgQr#mcYHAt0P?2EKxCcZalI7e zx8s$!l$p!XsDeQL@-AVSa4itITQ5trDD62Z(z&dr#_9U1`FS}6e^-CE>7~`VhW-Vp zeVFm^50Y6sg&cb!G}ID;m+s~*pXYF2_qcfE)6g7JXvlqolA~Room=oN&&tPH zo9I7biEXCg+yz>zudd9v9m0%}eRI6aF0DM#Obr>XY6pEr)OsP4`4*r(o;j2%?SMOO z;-m~-@pYy3IL6Qqx#z{1`!HE;xI9mkQO@Vlt2V6V#&K`?NL#%PhCM zH*wo|)ams8rH7I|-*T;pjcQ;`)F^~@${&5!V@sb9_6`#08$Lo*?eaUgs0flNc=R-3 z6;0y|F^~-qNxh@HR5MWs46AE0Xj@IhaN{?1Gv*Su!>BC0%YLObH%y5oNW63?n$s8-YG#A$PR@O~mSkeS%^ zv@57^Dw#Sd+uK1G@)ur=5%xx8SS&p6>RjbpY|E$mBO9sw8F3o3?91>^b6p6lX^C3S@x7Q9%8kz}maXrAIJK@auJ~<*v;!nzz-Lc; z(F6Q`0C7m=geg3w0i-9+CvAgNxEStcxpP9hz+ahyz#d`wB#FdvjZFHsb$)w_se(GS zB}lT3dJ&%I7{qbH_E<6|T>pkuP9D($1(oI|kD-dw9bhdVF-5PUmA)KDcZJn*GCl->CIdMttDgJa$FYXg;yd z{c1g-7WE-Lu(CoFqI|$I#72L&8*W7rYsV3GEPM6OAm}xbZu2SLqhtuK%v5l?`*qh3 zN(WUuKXI!Ks75}RZav`^k6{q!(4ST_E{k_o>yYdrkF9=Wpp|51c=_urT7<2*!6kpE zhg9fe@*1Hg^%uihpBV24lhvB}?O7^H8baG_FPA@&@!o3A6|3*JUdM+@R7!s4HUa7T z%_kgtQhXcSG7TpMX!lpt(C-BFl#OrGW^)JU(Oo)l_dwU5i4is(_4&J7-%m$0f))Z6 zmuOw;x-*lQz1jIQ*(ZJ$;9c1Ml4a-3(d()68j*~fqgrpMzEIH#WBTns`bg4x_N84XUcZCxRl{&l6T+)f#0UHVVZS zwsVo%IZMaizfG#`u&L&B%SY1`S!cK1^$~+k8p!o?#1t{^+$q=g1olyWXhxz?+u&!( zoQDqiOH;=mk;{~~%foiBpp2vIY6+=%uL|af(z!;J!vRjOG?SOS5P*KvV+aNK+Yglr zIOefC!OpYYW#!j;S1d(rJ~n#9XMGcd_QKLFaRyL{bGEyzgp+E7C z9y2P1*ibF8qf6F>jX$pQGJIwT?8kV$ikRBdHQ(SBQ0>VbcgB1bve}3fx74vD7r1Ic zaSlgez}-0rS&1Lne3r=4(yRwrjk@Hh(D3@zw^v-W;fMZ| ze|pNtGa~#RSfYI6{kAN=u9VNBfT4!}6AJ9WYR4y6Pm9hC3u_Xn9~RvVNTEdLso=3Vt9pWg+#zFq z-gT(!>!WDRjcc+Olrp`pn7p)pU>Qui=LxX^5TkNs_f%C$cW*&74w`m9g>~>+BeZq2 zFY$s7tS-F}G=rO*L(l3h)+~Y{jpB$Ilcf(bmZnT0Ln9hH${*^z!<$+1sg8I(`_0-#2miRpJn>zI-rkP68IlPILmGr|80o{c`9R3B`-{;WEOLl=?e=nwk zyJ9R`^b})WE^J&RUCL}=@&7qhx4xX0L14(XB^ulegk7+T(LOPdjD0lkB7K9aXzH-U z0Cy1|2-aKntrphiDJsT1fW$AR2z5OyH|(2U|3HqEcK#&dOyqk;aUc6sJVr2g6$YEH zk$uS{>Z&Tgp>I}I9_KMxf@=cjEY^s=XgdyE`hm)ok)lhGZX|!AiJrWIwzprXvf9ue zO(Ug=BRIIyV(C|hE<|VlE=bu&=^3_|wIVsf8<$>|fbLn?qoJVrfoyz!u8kMx0pIo!Rr>i? zV?c|g{%LSscZmjJU@k87Gzud3aU8Or~?e6s# zTBiNjhvfD>^X?(j*u&D%IQnseISMIydCAo-11*N8N*;VVx`0-D=L^)G*16`2$Wtk4upIouw8euT7RwFXDePvGv+F`BfRD~N*#U!M`M{?KORPK{#Cy@-WUpPl^Cs| zwTEL+Q(CQQgrarVL_#4bgzeQnBsJ_e{#~&(5Nw7x6KJ1U#~u=s{aieODrj9}o2^Fb z-En>IJ=RHx_pXue@b#9^e@gte=6&u>o&#rdYt3w5@Lp3%`ZE3889O)n%7!Lxj_RuH zs^eW?%Ih(H{^q6j!^OPgF)W(#zP+mVgxNC(X*mi5Rd9~Mu_;AxeU&jFzH{6zFu(&ZHAH(XjHtiz+f}EITr94P;oNj@aD-Q z-D5uMyB9CP=suDqzIfVOBS);e8m1H*hMxf7q2;pQoWTU$RxxwA!Z!C zeb-|)gCL7M$*!Mm9G3la#(|4PpjWjWK~R^mS^`_X`)WYoEj+RW%>|Q+9@p>rIHZ>q ze7r4Z{BSCRNXnc|MvIXMFE~a`hQamRhI&e3I_4WCx2%=3`mMJ652qW(;R}6i6WxCL z1gsYe({s{NcE*JK1!%dmE_ zp-^TTWQHvpKK2ruQ6Ara^ny^JPF$WqUA{uQZI!p=RVI}VfKNlsNHJ-MsjXVkr; z9bdjZ*CSX3P_(N-R8-_Lx5l6s>L%6DS3=dwSsSop{V0FBt!jG)xyIM;PDZAP5Wzzc z0#Q5dB>bsKWgr^{$M-;BXT;e`mP#xY4$m#4yDY_OrY7sZ^qd(W#`nBu!~FVsw4+{!@_L@7|#QdS4yfyAf1_DO6j zKIw1&v#!&cgW??@L>npuUpvnUH<>WWe8x;E`v_FvUy8z?N=a)62q5F3Vasw0ino8r zTupZ*zI$YG#AT;yD!GZS8m z9y>Q0!Do|=QY^^Ys8<r8cwoz_ zd-QyUq~Ou8qB0l~Aa40$ibW$<D7%929Bz%pUO=rqdAv&%x~AhMne4LUtkNMfQ?|L1VUm8@_1CeaI@ z8TP57AJf4ehXGo)b^!->0&m#p4$m`lh|MPMXhg#+w2-DU`PDjm>Ky{CQO`)kqZEDP zEl6?ODLB9j`1>Nb_e4g4o>3ovjqG01AHe1)TI$RpI45(Y${X;%W9AW9$A#>e=FtrVU=-u#GI7#xt5g6$e9#j(_wM0=q+88RK=M1;AuYL#hK~ zb6g)kUHB2`C|ivx;Qs==)ekkMQb|V$uE+_aqcglhFj4B5e}Kh?brs;OS#x{}f-vI5 zjbtWm>$aLk-Zmt*^%F%R zA~nM{E;%jQcL;iVW{$9sLn5%?=+|NkjKU%>?W3M^2A??3PU&xD}A zp}(i5{Ilu*KG{OAM{@t_k5Bty3;QRV7_N#;CZ}jgD zjQ;`uLCwC-pa1;g|J{%AH~e??@*ntrcGsNnzu~W1=HKYwHNgM))g}6G)Yay1^6%Ti zf1Cy~{ulXwZW4dfe_tT~p_`fhZ~E(Uk(UC8_-7;NuNUUm83N1#1VjfU%FJx3;_AXK rEF){}Z-^t?}aWAB5G{*UdI;M^hz=S!Cgs)9USgUr9kYp z@(c_s9RJEQH1s(iRggOM+TpA!NPMmuL&GBHr)nT}uMvp63nax{mKuZDYb_WU8tnf9 zNd~8*mJAFY4wI}noI>5)okN0r&f0;L%(Vv+j!!`(!&?^+xz`m)IJUZh*uCB$@-C2U ua9HXCVt?|Hau11cHHvrk_x1Jn(}8k49R2)Uebxqol>7yf4faQaKx_bBPE4r) diff --git a/lab2CA.runs/synth_1/gen_run.xml b/lab2CA.runs/synth_1/gen_run.xml index afce82b..5e68549 100644 --- a/lab2CA.runs/synth_1/gen_run.xml +++ b/lab2CA.runs/synth_1/gen_run.xml @@ -1,11 +1,23 @@ - - - - - + + + + + + + + + + + + + + + + + @@ -13,6 +25,13 @@ + + + + + + + @@ -20,15 +39,21 @@ - + - - + + + + + + + + @@ -38,7 +63,7 @@ diff --git a/lab2CA.runs/synth_1/htr.txt b/lab2CA.runs/synth_1/htr.txt index f27554f..641d4cb 100644 --- a/lab2CA.runs/synth_1/htr.txt +++ b/lab2CA.runs/synth_1/htr.txt @@ -6,4 +6,4 @@ REM to be invoked for Vivado to track run status. REM Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. REM -vivado -log FetchUnit.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source FetchUnit.tcl +vivado -log CPU9bits.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source CPU9bits.tcl diff --git a/lab2CA.runs/synth_1/vivado.jou b/lab2CA.runs/synth_1/vivado.jou index fb5db72..833be46 100644 --- a/lab2CA.runs/synth_1/vivado.jou +++ b/lab2CA.runs/synth_1/vivado.jou @@ -2,11 +2,11 @@ # Vivado v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Wed Feb 20 11:35:49 2019 -# Process ID: 8280 -# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1 -# Command line: vivado.exe -log FetchUnit.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source FetchUnit.tcl -# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1/FetchUnit.vds -# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1\vivado.jou +# Start of session at: Wed Mar 13 11:12:14 2019 +# Process ID: 13200 +# Current directory: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1 +# Command line: vivado.exe -log CPU9bits.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source CPU9bits.tcl +# Log file: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1/CPU9bits.vds +# Journal file: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1\vivado.jou #----------------------------------------------------------- -source FetchUnit.tcl -notrace +source CPU9bits.tcl -notrace diff --git a/lab2CA.runs/synth_1/vivado.pb b/lab2CA.runs/synth_1/vivado.pb index 04f8b110ba1d716ecbcaf44d135036da4f121660..8fc136f2af8763c3bb59bae802d8a9770e6d5605 100644 GIT binary patch literal 44563 zcmeG_YjY!4R*_#JcZbCb*=*unc5kwnnQ>NfKO|XFG9JHUM$;2BV-C_1aD&c(XBC zF3PgH`&4&gf8xW!Q`2Lpfu6hN!0&Zq2lqdNLNP9v>wRF*U#p7T|Lfl^pw|~2hjhB` z0FH@wh=VoU)|yiGKxXAyjU);^B`$9cHdB75)+_m=x0RjSQRHGu}Eq5LR% z<`zX3P_?5d(2qk@qaSZf$qPlbywDHihXo{EGaWIYCTGS~SsE%(bpUE|f6}8nP;PA2 zo<27>=~7+!ask;PBLe&%65PP==pBMD*cThP+3n#=Sdtp_pNe0>q^)&r((1oi!Yia> zS`YArl_mH|muUCkW3{T5RJ95}+0k?ZZpe~clIs-|r62%#ZvUJIL&EdlMDc8qrr9Faz<6$; z%jxi3(rmrC;>wpDgX{}vT&c<;Z2j{B`lN3J@mAYx-ov_$4YEfp+ylh{2_9FJY9PQP zhrJdH`(&*Mfb>h`H^tN5aBS7L(o04fVJ z(Z2}I2(Y5KN}T5Ix}iH9RQZa32Q8-abHYc(I60!8tC)#{2|#PLHtE7~U{ptG2*B~>Y(fuj#$8L-i$a(xfp;@jK-h9TTY`AK#^)b z2sMZTF_aRs7cgVcxmC%JOQfno3;SHTM5=naE|Cb^K%^J)A=0qSPi8VB(_c?-CR0uR zK&#r|w93*|V94|jLUZRQ(D>qwbq<<*hv;qeN;-!K9x^0XNH`E+_qOum3W3UT9z1xPEvf<}pMDZGW<;k1+CJ;_4jT zhuf#^3Jydn#?Jj&`sv`LO7a{U13?b<+ro`EB?Gz*rc(^zzMY>CmD!LNDyJtK!pKv*ArP(}WdsXMY%;AF+4xT(cmC4|*}M9- zv)Lxw`H1BL#YwtwUU#FC^%5bY-Ysz|Dbf>EvRUiwK1g4d)e>vuydk2 z59qy?Ng$mVN(*+iJ%U@74vEF3>8Y6swX7&XHZDX)En-6%#wMpCLy6sG8^L}WWMrbk<0-)LkMgGjleL9 zW}At5JD))xSvC#FGTTBKrthNVbO{xG4;xZq#R*)7l*m(=5ZD09mR5|*@Lxh}XN=B4 zZmPVQw`zE(iLQV4Y{K<^l)J?R?vF8ZN>N^UP0w>QT) zw78g?@;a?3KaPGl?3!|1gP18rE|w+QAtr2%@sBWP>c%#)EUGGP4JswwzAk&PL9A{0 z-9TUlA6J#I6$ngJP-G9@-6p(5r)Fiu8(K>B*g6{kwBITC`*a9u?Or^BBV3TuC~myV z4lA4^=>2sH^k&f1)%6(OjTki%&i!o))WG+K3Rpc82&d8H*kW#c!wS6 zHT3e!#h2Md8FSfp@v`vH2l#*i4=xE*uQff^9$bgzmu5g$Fnl09^a!CI+R)i6+YOf6 zWrV$@6y*vuE$l*vDp>r&JqKDU=}kLHda}ppO%@{+edaQ8H0b5l!YopBRR~riL9lA< za1a!x0{@dY*X-oqZm_>yLZxRg22*w2==L0V2Y)hN(*3t^36ugz*K4^0y)%lbw_fswejRNBj zdkKh3{}MpskIwLgKl(t3^G9c3F9&3$z=p8P^lAvOtK8AkQ$|+%>CPWLJ?!l0j6Q^v zsSb%U{Lu%3J$u7;lU1k%^w}_m!WyWStA#yUDh`;>xZpgT*SGO42Q zoR-Tlkd2KMtd7^V@sz1GYQf_B7z0r%%1B?ZX!keT4(*glm5G-;kVkW@OhE&sQzq01 zWNHAvbs(8~oi*#wD#MqlzJ#;Kj9PqOb|AUpdiks`%O8CpUJNig-BM)p4HU6x_XXr6KX8IO(^wMlU3vPUp`2;N{xETK<%%K&#DW!*F{^uEF6FlKU18oT$LJqx-+G`x@rgWY5{?awAk8h9-{;bF(LDbdSJOmSxMd zZM4n)-<=K}I2ZP?~;%h0r@OG2CcY>(EVLD+$`32o*_4})+| zf`FVyHAtk4>7)g*)}LBlpAR-1aa2S79>}~@Uva^Jtj^KMG6cIovkr5Eh9t-Z;wiTVgC~3 z*GvAoI~-X!9ax7W2d4w;aAe?Hqmltyvj;XTK1=S{`W4Nkm^C)Y!X!R}tj^0ItH)%JRjRd; zT&789{#lHwR4qwTnZND{&tlA;f@c|IkXIi@Oh%jc_84W5U1gWcB{bv30{q&W{#;GI z3gjqMl)Vyu_lOyT!)*`3a21E7XGV)2vm0vdS=#H+EK4-TH?*O%SF`9`=Nm23+%-&S zruqP{Xx%PE=gw?av6w)E?;NpNUn!uUJG5C5ik_LQGm~{_vCsUhptd4G%U)ysEC{N5 zChPi5$j*fW)@gM|!VuZp-RvQFn!J@pLDw&4H*S?o7Pa# zOv1AQ`+l2x_&&+JKGu=O*aCa9)zjN=_qbcg0TXtI#^sKAX@JgYOC5a-!anOV~42U}EqY^c{`%dGu7_4d`3hrcub{ zp6x(d^`hfg`c}^&XUYH`MS?;2<0BKpZxzrl9Zn4Kq&-uItkvQ3Y&1hbpN^dwAl!MT z3(2|wwGm7}?l%hP3x~SES?N)_o+(1siV)`_-GMqIIxPg9yKU^XcT2E{+53EptY$;8 zajJ&BJlYC`k*>=xES~E`SC@e2G9TbKur<(b0wr+et?Ah#v;! z`-s0xpoVMR)^ACDxEY_kJb{8C>us@t9G9u(1ou!7@}0I&ppeju|C*yc=%_ zF2rH+1G+bt*01_8Tsfxa;E-X7k1GWth-nRFvW~pPDq0o26i_1!#rQXZErKLHiH;bs zEClVq?;LPInF!HuKH+9b~yWP2S;h#hhwy{grR>rY;=7N-qDI+w_(_QuWZ%s4-99Q*!n}*OM-1VnoEJEC!yheMVfmW3blU*4zt;ql#7)G zde}_ZIR8y_aTOY-L7RLS!~dPzIUmwT^(Hp^niPA(mFq`_llq72!EinIa27a7M>pW8 zQK%~ihGNI0XOXtyYzqttsc@%6ha0Qc=EmU}3e+%wXfWHs#e$TITnYGdMxtVdLTAQ0{nw^emC{PXn>k2nL#fFF; zB^7+)%0P)zmrg5>JjsrUZ{2IUpm-jU_Brvp14|OeC$pr&16l+YG|6di*HDDU;ehcOb z-UVCp?!|Ioyn?%~@q%yp8~FrIcLJLOH~}Kl6EkB_r;B;@qB)G{cLWp|U!1<-~BP)8UeN~hk6Az8?dVK-zqAe!Q3 zIvvN>>hO0uc1DG$ZLODSo$dJCKD2$Yj(zEiomSsFed$bJoN;=xfrupvf`M|mQiurg@NipCu*b=J7zaG9sfWMIL-5xI7RHOWF2TFn zx{JI%28ha5U`moOXSG5-z3G*_)19p#S!jq?_zQ5l3^t17VQqy29F zYbBn7_*I;Y&DsGc*Lq-LO+ij>l8nz0{+XnY~Nwe2L1~1W8uWSQuAa zj`Ol?k!@Ko++N?j7jU)2R%)kA%A|;;Fm7rt!tTKL9ro9`VZ@cdd2wCUl^MMb9Btm0 z&gv;RZ*F;wGzfE@<-}?DW19<3l{c(ePnF7vAu)RFAPXUX4BVu{=3;r8^|3Eb0n58+ z*3CesCj(cz`j#bWj{+Z)%dwuH{E?sz4(#qn8yl64Xi}D%R5UDL4-*L?ic}c|;&S9D z8tCfB!;x@G5l}c9#r38jMLaI#wnP~V$Kyg0sj;wx|1cVp<53+m8|?VNehuNO%dT!dE6PJip z@WDK7(Y`$K!OAtZQj+oL`FNJer}FHthLv)&zG}}e+#psc&<#E%SipbTq-}z8tJ2Zk z7H#uqpRP*t(bpqx>S&ok#fss3(uQ=TY|}tv84Eb`SK-qrOF`FNf~L zE6Jhk;;dLE3#WJIQIyyALc`onOGv+qsfD}POT7j4nL2P}N?}_D6@nkk)loQPP>9DB zl!kkOhC-}mf+R9}kZf{1s|VqiX0os+2!(=KCQ2uTQBhIxS4Q`r!)sZ7dc7c-{(87} zja^|4Taquka)9cE2iMyP7nIykUy4y%@X+(PgaQrl^hV!`$=b{pj|r2PqdA^|AE;{h z=5{N5_tj(KC%AZXh-7{EMykPAWv$lm^%ep)&{o)XtCZw8=qjp$i? - +