diff --git a/lab2CA.cache/wt/webtalk_pa.xml b/lab2CA.cache/wt/webtalk_pa.xml index 40e7053..ef11cd9 100644 --- a/lab2CA.cache/wt/webtalk_pa.xml +++ b/lab2CA.cache/wt/webtalk_pa.xml @@ -3,7 +3,11 @@ +<<<<<<< Updated upstream +======= + +>>>>>>> Stashed changes
@@ -17,37 +21,61 @@ This means code written to parse this file will need to be revisited each subseq +<<<<<<< Updated upstream +======= + + + +>>>>>>> Stashed changes +<<<<<<< Updated upstream +======= + + + + +>>>>>>> Stashed changes +<<<<<<< Updated upstream +======= + + +>>>>>>> Stashed changes - + - + +<<<<<<< Updated upstream +======= + + +>>>>>>> Stashed changes + @@ -55,6 +83,7 @@ This means code written to parse this file will need to be revisited each subseq +<<<<<<< Updated upstream @@ -63,6 +92,16 @@ This means code written to parse this file will need to be revisited each subseq +======= + + + + + + + + +>>>>>>> Stashed changes
diff --git a/lab2CA.srcs/sources_1/new/lab2testing.v b/lab2CA.srcs/sources_1/new/lab2testing.v index bc468ec..4bacf92 100644 --- a/lab2CA.srcs/sources_1/new/lab2testing.v +++ b/lab2CA.srcs/sources_1/new/lab2testing.v @@ -59,8 +59,8 @@ endmodule module register(input wire clk, reset, input wire [1:0] En, - input wire [7:0] Din, - output reg [7:0] Dout); + input wire [8:0] Din, + output reg [8:0] Dout); endmodule