From 443d01eba131af5dc6a547be62979d0d609ae04d Mon Sep 17 00:00:00 2001 From: WilliamMiceli Date: Sat, 30 Mar 2019 15:59:43 -0400 Subject: [PATCH] Modified sensitivities for result; Vivado metadata --- lab2CA.cache/wt/webtalk_pa.xml | 30 +- lab2CA.runs/.jobs/vrs_config_56.xml | 11 + lab2CA.runs/.jobs/vrs_config_57.xml | 11 + lab2CA.runs/impl_1/CPU9bits.tcl | 152 +++++ lab2CA.runs/impl_1/CPU9bits.vdi | 473 ++++++++++++++++ .../impl_1/CPU9bits_bus_skew_routed.pb | Bin 0 -> 30 bytes .../impl_1/CPU9bits_bus_skew_routed.rpt | 15 + .../CPU9bits_clock_utilization_routed.rpt | 154 +++++ .../impl_1/CPU9bits_control_sets_placed.rpt | 68 +++ lab2CA.runs/impl_1/CPU9bits_drc_opted.pb | Bin 0 -> 37 bytes lab2CA.runs/impl_1/CPU9bits_drc_opted.rpt | 61 ++ lab2CA.runs/impl_1/CPU9bits_drc_routed.pb | Bin 0 -> 37 bytes lab2CA.runs/impl_1/CPU9bits_drc_routed.rpt | 61 ++ lab2CA.runs/impl_1/CPU9bits_io_placed.rpt | 526 ++++++++++++++++++ .../impl_1/CPU9bits_methodology_drc_routed.pb | Bin 0 -> 52 bytes .../CPU9bits_methodology_drc_routed.rpt | 145 +++++ lab2CA.runs/impl_1/CPU9bits_opt.dcp | Bin 0 -> 37264 bytes lab2CA.runs/impl_1/CPU9bits_placed.dcp | Bin 0 -> 163734 bytes lab2CA.runs/impl_1/CPU9bits_power_routed.rpt | 151 +++++ .../impl_1/CPU9bits_power_summary_routed.pb | Bin 0 -> 722 bytes lab2CA.runs/impl_1/CPU9bits_route_status.pb | Bin 0 -> 43 bytes lab2CA.runs/impl_1/CPU9bits_route_status.rpt | 11 + lab2CA.runs/impl_1/CPU9bits_routed.dcp | Bin 0 -> 178325 bytes .../impl_1/CPU9bits_timing_summary_routed.pb | 2 + .../impl_1/CPU9bits_timing_summary_routed.rpt | 173 ++++++ .../impl_1/CPU9bits_utilization_placed.pb | Bin 0 -> 289 bytes .../impl_1/CPU9bits_utilization_placed.rpt | 211 +++++++ lab2CA.runs/impl_1/gen_run.xml | 167 ++++++ lab2CA.runs/impl_1/htr.txt | 9 + lab2CA.runs/impl_1/init_design.pb | Bin 0 -> 1837 bytes lab2CA.runs/impl_1/opt_design.pb | Bin 0 -> 12471 bytes lab2CA.runs/impl_1/place_design.pb | Bin 0 -> 12212 bytes lab2CA.runs/impl_1/route_design.pb | Bin 0 -> 12222 bytes lab2CA.runs/impl_1/vivado.jou | 12 + lab2CA.runs/impl_1/vivado.pb | Bin 0 -> 149 bytes lab2CA.runs/synth_1/CPU9bits.dcp | Bin 8046 -> 36344 bytes lab2CA.runs/synth_1/CPU9bits.tcl | 1 - lab2CA.runs/synth_1/CPU9bits.vds | 212 ++++--- .../synth_1/CPU9bits_utilization_synth.pb | Bin 289 -> 289 bytes .../synth_1/CPU9bits_utilization_synth.rpt | 42 +- lab2CA.runs/synth_1/gen_run.xml | 2 +- lab2CA.runs/synth_1/vivado.jou | 4 +- lab2CA.runs/synth_1/vivado.pb | Bin 45172 -> 50521 bytes lab2CA.srcs/sources_1/new/CPU9bits.v | 2 +- lab2CA.xpr | 3 +- 45 files changed, 2585 insertions(+), 124 deletions(-) create mode 100644 lab2CA.runs/.jobs/vrs_config_56.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_57.xml create mode 100644 lab2CA.runs/impl_1/CPU9bits.tcl create mode 100644 lab2CA.runs/impl_1/CPU9bits.vdi create mode 100644 lab2CA.runs/impl_1/CPU9bits_bus_skew_routed.pb create mode 100644 lab2CA.runs/impl_1/CPU9bits_bus_skew_routed.rpt create mode 100644 lab2CA.runs/impl_1/CPU9bits_clock_utilization_routed.rpt create mode 100644 lab2CA.runs/impl_1/CPU9bits_control_sets_placed.rpt create mode 100644 lab2CA.runs/impl_1/CPU9bits_drc_opted.pb create mode 100644 lab2CA.runs/impl_1/CPU9bits_drc_opted.rpt create mode 100644 lab2CA.runs/impl_1/CPU9bits_drc_routed.pb create mode 100644 lab2CA.runs/impl_1/CPU9bits_drc_routed.rpt create mode 100644 lab2CA.runs/impl_1/CPU9bits_io_placed.rpt create mode 100644 lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.pb create mode 100644 lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.rpt create mode 100644 lab2CA.runs/impl_1/CPU9bits_opt.dcp create mode 100644 lab2CA.runs/impl_1/CPU9bits_placed.dcp create mode 100644 lab2CA.runs/impl_1/CPU9bits_power_routed.rpt create mode 100644 lab2CA.runs/impl_1/CPU9bits_power_summary_routed.pb create mode 100644 lab2CA.runs/impl_1/CPU9bits_route_status.pb create mode 100644 lab2CA.runs/impl_1/CPU9bits_route_status.rpt create mode 100644 lab2CA.runs/impl_1/CPU9bits_routed.dcp create mode 100644 lab2CA.runs/impl_1/CPU9bits_timing_summary_routed.pb create mode 100644 lab2CA.runs/impl_1/CPU9bits_timing_summary_routed.rpt create mode 100644 lab2CA.runs/impl_1/CPU9bits_utilization_placed.pb create mode 100644 lab2CA.runs/impl_1/CPU9bits_utilization_placed.rpt create mode 100644 lab2CA.runs/impl_1/gen_run.xml create mode 100644 lab2CA.runs/impl_1/htr.txt create mode 100644 lab2CA.runs/impl_1/init_design.pb create mode 100644 lab2CA.runs/impl_1/opt_design.pb create mode 100644 lab2CA.runs/impl_1/place_design.pb create mode 100644 lab2CA.runs/impl_1/route_design.pb create mode 100644 lab2CA.runs/impl_1/vivado.jou create mode 100644 lab2CA.runs/impl_1/vivado.pb diff --git a/lab2CA.cache/wt/webtalk_pa.xml b/lab2CA.cache/wt/webtalk_pa.xml index 2638199..652ae82 100644 --- a/lab2CA.cache/wt/webtalk_pa.xml +++ b/lab2CA.cache/wt/webtalk_pa.xml @@ -3,10 +3,10 @@ - +
- +
@@ -25,8 +25,8 @@ This means code written to parse this file will need to be revisited each subseq - - + + @@ -34,7 +34,7 @@ This means code written to parse this file will need to be revisited each subseq - + @@ -44,9 +44,9 @@ This means code written to parse this file will need to be revisited each subseq - + - + @@ -69,7 +69,7 @@ This means code written to parse this file will need to be revisited each subseq - + @@ -107,7 +107,7 @@ This means code written to parse this file will need to be revisited each subseq - + @@ -141,11 +141,11 @@ This means code written to parse this file will need to be revisited each subseq - - + + - + @@ -166,7 +166,7 @@ This means code written to parse this file will need to be revisited each subseq - + @@ -178,9 +178,9 @@ This means code written to parse this file will need to be revisited each subseq - + - + diff --git a/lab2CA.runs/.jobs/vrs_config_56.xml b/lab2CA.runs/.jobs/vrs_config_56.xml new file mode 100644 index 0000000..1e91ffb --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_56.xml @@ -0,0 +1,11 @@ + + + + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_57.xml b/lab2CA.runs/.jobs/vrs_config_57.xml new file mode 100644 index 0000000..1e91ffb --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_57.xml @@ -0,0 +1,11 @@ + + + + + + + + + + + diff --git a/lab2CA.runs/impl_1/CPU9bits.tcl b/lab2CA.runs/impl_1/CPU9bits.tcl new file mode 100644 index 0000000..8e1b126 --- /dev/null +++ b/lab2CA.runs/impl_1/CPU9bits.tcl @@ -0,0 +1,152 @@ +# +# Report generation script generated by Vivado +# + +proc create_report { reportName command } { + set status "." + append status $reportName ".fail" + if { [file exists $status] } { + eval file delete [glob $status] + } + send_msg_id runtcl-4 info "Executing : $command" + set retval [eval catch { $command } msg] + if { $retval != 0 } { + set fp [open $status w] + close $fp + send_msg_id runtcl-5 warning "$msg" + } +} +proc start_step { step } { + set stopFile ".stop.rst" + if {[file isfile .stop.rst]} { + puts "" + puts "*** Halting run - EA reset detected ***" + puts "" + puts "" + return -code error + } + set beginFile ".$step.begin.rst" + set platform "$::tcl_platform(platform)" + set user "$::tcl_platform(user)" + set pid [pid] + set host "" + if { [string equal $platform unix] } { + if { [info exist ::env(HOSTNAME)] } { + set host $::env(HOSTNAME) + } + } else { + if { [info exist ::env(COMPUTERNAME)] } { + set host $::env(COMPUTERNAME) + } + } + set ch [open $beginFile w] + puts $ch "" + puts $ch "" + puts $ch " " + puts $ch " " + puts $ch "" + close $ch +} + +proc end_step { step } { + set endFile ".$step.end.rst" + set ch [open $endFile w] + close $ch +} + +proc step_failed { step } { + set endFile ".$step.error.rst" + set ch [open $endFile w] + close $ch +} + +set_msg_config -id {Synth 8-256} -limit 10000 +set_msg_config -id {Synth 8-638} -limit 10000 + +start_step init_design +set ACTIVE_STEP init_design +set rc [catch { + create_msg_db init_design.pb + create_project -in_memory -part xc7k160tifbg484-2L + set_property design_mode GateLvl [current_fileset] + set_param project.singleFileAddWarning.threshold 0 + set_property webtalk.parent_dir {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.cache/wt} [current_project] + set_property parent.project_path {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.xpr} [current_project] + set_property ip_output_repo {{C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.cache/ip}} [current_project] + set_property ip_cache_permissions {read write} [current_project] + add_files -quiet {{C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.dcp}} + link_design -top CPU9bits -part xc7k160tifbg484-2L + close_msg_db -file init_design.pb +} RESULT] +if {$rc} { + step_failed init_design + return -code error $RESULT +} else { + end_step init_design + unset ACTIVE_STEP +} + +start_step opt_design +set ACTIVE_STEP opt_design +set rc [catch { + create_msg_db opt_design.pb + opt_design + write_checkpoint -force CPU9bits_opt.dcp + create_report "impl_1_opt_report_drc_0" "report_drc -file CPU9bits_drc_opted.rpt -pb CPU9bits_drc_opted.pb -rpx CPU9bits_drc_opted.rpx" + close_msg_db -file opt_design.pb +} RESULT] +if {$rc} { + step_failed opt_design + return -code error $RESULT +} else { + end_step opt_design + unset ACTIVE_STEP +} + +start_step place_design +set ACTIVE_STEP place_design +set rc [catch { + create_msg_db place_design.pb + if { [llength [get_debug_cores -quiet] ] > 0 } { + implement_debug_core + } + place_design + write_checkpoint -force CPU9bits_placed.dcp + create_report "impl_1_place_report_io_0" "report_io -file CPU9bits_io_placed.rpt" + create_report "impl_1_place_report_utilization_0" "report_utilization -file CPU9bits_utilization_placed.rpt -pb CPU9bits_utilization_placed.pb" + create_report "impl_1_place_report_control_sets_0" "report_control_sets -verbose -file CPU9bits_control_sets_placed.rpt" + close_msg_db -file place_design.pb +} RESULT] +if {$rc} { + step_failed place_design + return -code error $RESULT +} else { + end_step place_design + unset ACTIVE_STEP +} + +start_step route_design +set ACTIVE_STEP route_design +set rc [catch { + create_msg_db route_design.pb + route_design + write_checkpoint -force CPU9bits_routed.dcp + create_report "impl_1_route_report_drc_0" "report_drc -file CPU9bits_drc_routed.rpt -pb CPU9bits_drc_routed.pb -rpx CPU9bits_drc_routed.rpx" + create_report "impl_1_route_report_methodology_0" "report_methodology -file CPU9bits_methodology_drc_routed.rpt -pb CPU9bits_methodology_drc_routed.pb -rpx CPU9bits_methodology_drc_routed.rpx" + create_report "impl_1_route_report_power_0" "report_power -file CPU9bits_power_routed.rpt -pb CPU9bits_power_summary_routed.pb -rpx CPU9bits_power_routed.rpx" + create_report "impl_1_route_report_route_status_0" "report_route_status -file CPU9bits_route_status.rpt -pb CPU9bits_route_status.pb" + create_report "impl_1_route_report_timing_summary_0" "report_timing_summary -max_paths 10 -file CPU9bits_timing_summary_routed.rpt -pb CPU9bits_timing_summary_routed.pb -rpx CPU9bits_timing_summary_routed.rpx -warn_on_violation " + create_report "impl_1_route_report_incremental_reuse_0" "report_incremental_reuse -file CPU9bits_incremental_reuse_routed.rpt" + create_report "impl_1_route_report_clock_utilization_0" "report_clock_utilization -file CPU9bits_clock_utilization_routed.rpt" + create_report "impl_1_route_report_bus_skew_0" "report_bus_skew -warn_on_violation -file CPU9bits_bus_skew_routed.rpt -pb CPU9bits_bus_skew_routed.pb -rpx CPU9bits_bus_skew_routed.rpx" + close_msg_db -file route_design.pb +} RESULT] +if {$rc} { + write_checkpoint -force CPU9bits_routed_error.dcp + step_failed route_design + return -code error $RESULT +} else { + end_step route_design + unset ACTIVE_STEP +} + diff --git a/lab2CA.runs/impl_1/CPU9bits.vdi b/lab2CA.runs/impl_1/CPU9bits.vdi new file mode 100644 index 0000000..492611e --- /dev/null +++ b/lab2CA.runs/impl_1/CPU9bits.vdi @@ -0,0 +1,473 @@ +#----------------------------------------------------------- +# Vivado v2018.3 (64-bit) +# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 +# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 +# Start of session at: Sat Mar 30 15:53:31 2019 +# Process ID: 13696 +# Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1 +# Command line: vivado.exe -log CPU9bits.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source CPU9bits.tcl -notrace +# Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits.vdi +# Journal file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1\vivado.jou +#----------------------------------------------------------- +source CPU9bits.tcl -notrace +Command: link_design -top CPU9bits -part xc7k160tifbg484-2L +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2018.3 +INFO: [Device 21-403] Loading part xc7k160tifbg484-2L +INFO: [Project 1-570] Preparing netlist for logic optimization +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 581.816 ; gain = 0.000 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +6 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +link_design completed successfully +link_design: Time (s): cpu = 00:00:08 ; elapsed = 00:00:11 . Memory (MB): peak = 587.391 ; gain = 332.746 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7k160ti' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160ti' +Running DRC as a precondition to command opt_design + +Starting DRC Task +INFO: [DRC 23-27] Running DRC with 2 threads +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 603.059 ; gain = 15.668 + +Starting Cache Timing Information Task +INFO: [Timing 38-35] Done setting XDC timing constraints. +Ending Cache Timing Information Task | Checksum: 257e1e38 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 1127.293 ; gain = 524.234 + +Starting Logic Optimization Task + +Phase 1 Retarget +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 1 Retarget | Checksum: 257e1e38 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.074 . Memory (MB): peak = 1225.961 ; gain = 0.000 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells + +Phase 2 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 2 Constant propagation | Checksum: 257e1e38 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.078 . Memory (MB): peak = 1225.961 ; gain = 0.000 +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 3 Sweep +Phase 3 Sweep | Checksum: 257e1e38 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.083 . Memory (MB): peak = 1225.961 ; gain = 0.000 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells + +Phase 4 BUFG optimization +Phase 4 BUFG optimization | Checksum: 257e1e38 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.093 . Memory (MB): peak = 1225.961 ; gain = 0.000 +INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. + +Phase 5 Shift Register Optimization +Phase 5 Shift Register Optimization | Checksum: 257e1e38 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.196 . Memory (MB): peak = 1225.961 ; gain = 0.000 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Phase 6 Post Processing Netlist +Phase 6 Post Processing Netlist | Checksum: 257e1e38 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.199 . Memory (MB): peak = 1225.961 ; gain = 0.000 +INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells +Opt_design Change Summary +========================= + + +------------------------------------------------------------------------------------------------------------------------- +| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | +------------------------------------------------------------------------------------------------------------------------- +| Retarget | 0 | 0 | 0 | +| Constant propagation | 0 | 0 | 0 | +| Sweep | 0 | 0 | 0 | +| BUFG optimization | 0 | 0 | 0 | +| Shift Register Optimization | 0 | 0 | 0 | +| Post Processing Netlist | 0 | 0 | 0 | +------------------------------------------------------------------------------------------------------------------------- + + + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1225.961 ; gain = 0.000 +Ending Logic Optimization Task | Checksum: 257e1e38 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.206 . Memory (MB): peak = 1225.961 ; gain = 0.000 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +INFO: [Pwropt 34-9] Applying IDT optimizations ... +INFO: [Pwropt 34-10] Applying ODC optimizations ... +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Physopt 32-619] Estimated Timing Summary | WNS=0.000 | TNS=0.000 | +WARNING: [Power 33-232] No user defined clocks were found in the design! +Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation + + +Starting PowerOpt Patch Enables Task +INFO: [Pwropt 34-162] WRITE_MODE attribute of 0 BRAM(s) out of a total of 1 has been updated to save power. Run report_power_opt to get a complete listing of the BRAMs updated. +INFO: [Pwropt 34-201] Structural ODC has moved 0 WE to EN ports +Number of BRAM Ports augmented: 0 newly gated: 0 Total Ports: 2 +Ending PowerOpt Patch Enables Task | Checksum: 257e1e38 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.022 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Ending Power Optimization Task | Checksum: 257e1e38 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1335.719 ; gain = 109.758 + +Starting Final Cleanup Task +Ending Final Cleanup Task | Checksum: 257e1e38 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1335.719 ; gain = 0.000 + +Starting Netlist Obfuscation Task +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Ending Netlist Obfuscation Task | Checksum: 257e1e38 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1335.719 ; gain = 0.000 +INFO: [Common 17-83] Releasing license: Implementation +28 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +opt_design: Time (s): cpu = 00:00:21 ; elapsed = 00:00:22 . Memory (MB): peak = 1335.719 ; gain = 748.328 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1335.719 ; gain = 0.000 +WARNING: [Constraints 18-5210] No constraints selected for write. +Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. +INFO: [Common 17-1381] The checkpoint 'C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_opt.dcp' has been generated. +INFO: [runtcl-4] Executing : report_drc -file CPU9bits_drc_opted.rpt -pb CPU9bits_drc_opted.pb -rpx CPU9bits_drc_opted.rpx +Command: report_drc -file CPU9bits_drc_opted.rpt -pb CPU9bits_drc_opted.pb -rpx CPU9bits_drc_opted.rpx +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2018.3/data/ip'. +INFO: [DRC 23-27] Running DRC with 2 threads +INFO: [Coretcl 2-168] The results of DRC are in file C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_drc_opted.rpt. +report_drc completed successfully +report_drc: Time (s): cpu = 00:00:08 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7k160ti' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160ti' +INFO: [DRC 23-27] Running DRC with 2 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +INFO: [DRC 23-27] Running DRC with 2 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + +Starting Placer Task +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 1e0025bd + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.010 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1335.719 ; gain = 0.000 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: be8e8081 + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 1335.719 ; gain = 0.000 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: 154227d99 + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1335.719 ; gain = 0.000 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: 154227d99 + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Phase 1 Placer Initialization | Checksum: 154227d99 + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1335.719 ; gain = 0.000 + +Phase 2 Global Placement + +Phase 2.1 Floorplanning +Phase 2.1 Floorplanning | Checksum: 154227d99 + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1335.719 ; gain = 0.000 +WARNING: [Place 46-29] place_design is not in timing mode. Skip physical synthesis in placer +Phase 2 Global Placement | Checksum: 168f30526 + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 1335.719 ; gain = 0.000 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 168f30526 + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 1335.719 ; gain = 0.000 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 10b26ca05 + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 1335.719 ; gain = 0.000 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 171e1f517 + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 1335.719 ; gain = 0.000 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 171e1f517 + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 1335.719 ; gain = 0.000 + +Phase 3.5 Small Shape Detail Placement +Phase 3.5 Small Shape Detail Placement | Checksum: eb242549 + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000 + +Phase 3.6 Re-assign LUT pins +Phase 3.6 Re-assign LUT pins | Checksum: eb242549 + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000 + +Phase 3.7 Pipeline Register Optimization +Phase 3.7 Pipeline Register Optimization | Checksum: eb242549 + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Phase 3 Detail Placement | Checksum: eb242549 + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +Phase 4.1 Post Commit Optimization | Checksum: eb242549 + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: eb242549 + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000 + +Phase 4.3 Placer Reporting +Phase 4.3 Placer Reporting | Checksum: eb242549 + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000 + +Phase 4.4 Final Placement Cleanup +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Phase 4.4 Final Placement Cleanup | Checksum: eb242549 + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: eb242549 + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Ending Placer Task | Checksum: 99ceed10 + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000 +INFO: [Common 17-83] Releasing license: Implementation +45 Infos, 3 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +place_design: Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1335.719 ; gain = 0.000 +WARNING: [Constraints 18-5210] No constraints selected for write. +Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.323 . Memory (MB): peak = 1335.719 ; gain = 0.000 +INFO: [Common 17-1381] The checkpoint 'C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_placed.dcp' has been generated. +INFO: [runtcl-4] Executing : report_io -file CPU9bits_io_placed.rpt +report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.130 . Memory (MB): peak = 1335.719 ; gain = 0.000 +INFO: [runtcl-4] Executing : report_utilization -file CPU9bits_utilization_placed.rpt -pb CPU9bits_utilization_placed.pb +INFO: [runtcl-4] Executing : report_control_sets -verbose -file CPU9bits_control_sets_placed.rpt +report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.009 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7k160ti' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160ti' +Running DRC as a precondition to command route_design +INFO: [DRC 23-27] Running DRC with 2 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs +Checksum: PlaceDB: 7bcec753 ConstDB: 0 ShapeSum: 1e0025bd RouteDB: 0 + +Phase 1 Build RT Design +Phase 1 Build RT Design | Checksum: 16c615449 + +Time (s): cpu = 00:00:50 ; elapsed = 00:00:39 . Memory (MB): peak = 1486.191 ; gain = 150.473 +Post Restoration Checksum: NetGraph: 8cbcc684 NumContArr: dfa48dc5 Constraints: 0 Timing: 0 + +Phase 2 Router Initialization +INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode. + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 16c615449 + +Time (s): cpu = 00:00:50 ; elapsed = 00:00:39 . Memory (MB): peak = 1490.352 ; gain = 154.633 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 16c615449 + +Time (s): cpu = 00:00:50 ; elapsed = 00:00:39 . Memory (MB): peak = 1490.352 ; gain = 154.633 + Number of Nodes with overlaps = 0 +Phase 2 Router Initialization | Checksum: 10053be5d + +Time (s): cpu = 00:00:50 ; elapsed = 00:00:39 . Memory (MB): peak = 1517.723 ; gain = 182.004 + +Phase 3 Initial Routing +Phase 3 Initial Routing | Checksum: 94ab7af4 + +Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004 + +Phase 4 Rip-up And Reroute + +Phase 4.1 Global Iteration 0 + Number of Nodes with overlaps = 15 + Number of Nodes with overlaps = 0 +Phase 4.1 Global Iteration 0 | Checksum: ab64b9a3 + +Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004 +Phase 4 Rip-up And Reroute | Checksum: ab64b9a3 + +Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004 + +Phase 5 Delay and Skew Optimization +Phase 5 Delay and Skew Optimization | Checksum: ab64b9a3 + +Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004 + +Phase 6 Post Hold Fix + +Phase 6.1 Hold Fix Iter +Phase 6.1 Hold Fix Iter | Checksum: ab64b9a3 + +Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004 +Phase 6 Post Hold Fix | Checksum: ab64b9a3 + +Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004 + +Phase 7 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.00626714 % + Global Horizontal Routing Utilization = 0.0102302 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Congestion Report +North Dir 1x1 Area, Max Cong = 18.9189%, No Congested Regions. +South Dir 1x1 Area, Max Cong = 10.8108%, No Congested Regions. +East Dir 1x1 Area, Max Cong = 22.0588%, No Congested Regions. +West Dir 1x1 Area, Max Cong = 27.9412%, No Congested Regions. + +------------------------------ +Reporting congestion hotspots +------------------------------ +Direction: North +---------------- +Congested clusters found at Level 0 +Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 +Direction: South +---------------- +Congested clusters found at Level 0 +Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 +Direction: East +---------------- +Congested clusters found at Level 0 +Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 +Direction: West +---------------- +Congested clusters found at Level 0 +Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 + +Phase 7 Route finalize | Checksum: ab64b9a3 + +Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004 + +Phase 8 Verifying routed nets + + Verification completed successfully +Phase 8 Verifying routed nets | Checksum: ab64b9a3 + +Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004 + +Phase 9 Depositing Routes +Phase 9 Depositing Routes | Checksum: 148b7f565 + +Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004 +INFO: [Route 35-16] Router Completed Successfully + +Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004 + +Routing Is Done. +INFO: [Common 17-83] Releasing license: Implementation +57 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:00:56 ; elapsed = 00:00:42 . Memory (MB): peak = 1517.723 ; gain = 182.004 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1517.723 ; gain = 0.000 +WARNING: [Constraints 18-5210] No constraints selected for write. +Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.074 . Memory (MB): peak = 1517.723 ; gain = 0.000 +INFO: [Common 17-1381] The checkpoint 'C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_routed.dcp' has been generated. +INFO: [runtcl-4] Executing : report_drc -file CPU9bits_drc_routed.rpt -pb CPU9bits_drc_routed.pb -rpx CPU9bits_drc_routed.rpx +Command: report_drc -file CPU9bits_drc_routed.rpt -pb CPU9bits_drc_routed.pb -rpx CPU9bits_drc_routed.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 2 threads +INFO: [Coretcl 2-168] The results of DRC are in file C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_drc_routed.rpt. +report_drc completed successfully +INFO: [runtcl-4] Executing : report_methodology -file CPU9bits_methodology_drc_routed.rpt -pb CPU9bits_methodology_drc_routed.pb -rpx CPU9bits_methodology_drc_routed.rpx +Command: report_methodology -file CPU9bits_methodology_drc_routed.rpt -pb CPU9bits_methodology_drc_routed.pb -rpx CPU9bits_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [DRC 23-133] Running Methodology with 2 threads +INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.rpt. +report_methodology completed successfully +INFO: [runtcl-4] Executing : report_power -file CPU9bits_power_routed.rpt -pb CPU9bits_power_summary_routed.pb -rpx CPU9bits_power_routed.rpx +Command: report_power -file CPU9bits_power_routed.rpt -pb CPU9bits_power_summary_routed.pb -rpx CPU9bits_power_routed.rpx +WARNING: [Power 33-232] No user defined clocks were found in the design! +Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate +INFO: [Timing 38-35] Done setting XDC timing constraints. +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +68 Infos, 6 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [runtcl-4] Executing : report_route_status -file CPU9bits_route_status.rpt -pb CPU9bits_route_status.pb +INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file CPU9bits_timing_summary_routed.rpt -pb CPU9bits_timing_summary_routed.pb -rpx CPU9bits_timing_summary_routed.rpx -warn_on_violation +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -2L, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs +WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis. +INFO: [runtcl-4] Executing : report_incremental_reuse -file CPU9bits_incremental_reuse_routed.rpt +INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. +INFO: [runtcl-4] Executing : report_clock_utilization -file CPU9bits_clock_utilization_routed.rpt +INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file CPU9bits_bus_skew_routed.rpt -pb CPU9bits_bus_skew_routed.pb -rpx CPU9bits_bus_skew_routed.rpx +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -2L, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs +INFO: [Common 17-206] Exiting Vivado at Sat Mar 30 15:55:20 2019... diff --git a/lab2CA.runs/impl_1/CPU9bits_bus_skew_routed.pb b/lab2CA.runs/impl_1/CPU9bits_bus_skew_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..3390588d5da71a6f6866045d7ae5646edfab7b0e GIT binary patch literal 30 lcmd;LGcqu=&@-IGEZ|gHtWcbtTCPx(T3nh_Qapp10RVJW2(bVF literal 0 HcmV?d00001 diff --git a/lab2CA.runs/impl_1/CPU9bits_bus_skew_routed.rpt b/lab2CA.runs/impl_1/CPU9bits_bus_skew_routed.rpt new file mode 100644 index 0000000..dad6504 --- /dev/null +++ b/lab2CA.runs/impl_1/CPU9bits_bus_skew_routed.rpt @@ -0,0 +1,15 @@ +Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 +| Date : Sat Mar 30 15:55:20 2019 +| Host : WM-G75VW running 64-bit major release (build 9200) +| Command : report_bus_skew -warn_on_violation -file CPU9bits_bus_skew_routed.rpt -pb CPU9bits_bus_skew_routed.pb -rpx CPU9bits_bus_skew_routed.rpx +| Design : CPU9bits +| Device : 7k160ti-fbg484 +| Speed File : -2L PRODUCTION 1.12 2017-02-17 +--------------------------------------------------------------------------------------------------------------------------------------------------------- + +Bus Skew Report + +No bus skew constraints + diff --git a/lab2CA.runs/impl_1/CPU9bits_clock_utilization_routed.rpt b/lab2CA.runs/impl_1/CPU9bits_clock_utilization_routed.rpt new file mode 100644 index 0000000..be7e934 --- /dev/null +++ b/lab2CA.runs/impl_1/CPU9bits_clock_utilization_routed.rpt @@ -0,0 +1,154 @@ +Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 +| Date : Sat Mar 30 15:55:20 2019 +| Host : WM-G75VW running 64-bit major release (build 9200) +| Command : report_clock_utilization -file CPU9bits_clock_utilization_routed.rpt +| Design : CPU9bits +| Device : 7k160ti-fbg484 +| Speed File : -2L PRODUCTION 1.12 2017-02-17 +| Temperature Grade : I +------------------------------------------------------------------------------------------- + +Clock Utilization Report + +Table of Contents +----------------- +1. Clock Primitive Utilization +2. Global Clock Resources +3. Global Clock Source Details +4. Clock Regions: Key Resource Utilization +5. Clock Regions : Global Clock Summary +6. Device Cell Placement Summary for Global Clock g0 +7. Clock Region Cell Placement per Global Clock: Region X0Y1 + +1. Clock Primitive Utilization +------------------------------ + ++----------+------+-----------+-----+--------------+--------+ +| Type | Used | Available | LOC | Clock Region | Pblock | ++----------+------+-----------+-----+--------------+--------+ +| BUFGCTRL | 1 | 32 | 0 | 0 | 0 | +| BUFH | 0 | 120 | 0 | 0 | 0 | +| BUFIO | 0 | 32 | 0 | 0 | 0 | +| BUFMR | 0 | 16 | 0 | 0 | 0 | +| BUFR | 0 | 32 | 0 | 0 | 0 | +| MMCM | 0 | 8 | 0 | 0 | 0 | +| PLL | 0 | 8 | 0 | 0 | 0 | ++----------+------+-----------+-----+--------------+--------+ + + +2. Global Clock Resources +------------------------- + ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ +| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ +| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y0 | n/a | 1 | 22 | 0 | | | clk_IBUF_BUFG_inst/O | clk_IBUF_BUFG | ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) + + +3. Global Clock Source Details +------------------------------ + ++-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ +| Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ +| src0 | g0 | IBUF/O | None | IOB_X0Y78 | X0Y1 | 1 | 0 | | | clk_IBUF_inst/O | clk_IBUF | ++-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) + + +4. Clock Regions: Key Resource Utilization +------------------------------------------ + ++-------------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+ +| | Global Clock | BUFRs | BUFMRs | BUFIOs | MMCM | PLL | GT | PCI | ILOGIC | OLOGIC | FF | LUTM | RAMB18 | RAMB36 | DSP48E2 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| X0Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2800 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | +| X1Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 | +| X0Y1 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 21 | 2800 | 14 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | +| X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 | +| X0Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2200 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | +| X1Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 | +| X0Y3 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2200 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | +| X1Y3 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 4 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 2150 | 0 | 800 | 0 | 50 | 0 | 25 | 0 | 60 | +| X0Y4 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2800 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | +| X1Y4 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 4 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 2300 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +* Global Clock column represents track count; while other columns represents cell counts + + +5. Clock Regions : Global Clock Summary +--------------------------------------- + +All Modules ++----+----+----+ +| | X0 | X1 | ++----+----+----+ +| Y4 | 0 | 0 | +| Y3 | 0 | 0 | +| Y2 | 0 | 0 | +| Y1 | 1 | 0 | +| Y0 | 0 | 0 | ++----+----+----+ + + +6. Device Cell Placement Summary for Global Clock g0 +---------------------------------------------------- + ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ +| g0 | BUFG/O | n/a | | | | 22 | 0 | 0 | 0 | clk_IBUF_BUFG | ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ +* Logic Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+-----+----+ +| | X0 | X1 | ++----+-----+----+ +| Y4 | 0 | 0 | +| Y3 | 0 | 0 | +| Y2 | 0 | 0 | +| Y1 | 22 | 0 | +| Y0 | 0 | 0 | ++----+-----+----+ + + +7. Clock Region Cell Placement per Global Clock: Region X0Y1 +------------------------------------------------------------ + ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +| g0 | n/a | BUFG/O | None | 22 | 0 | 21 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_IBUF_BUFG | ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) +*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts + + + +# Location of BUFG Primitives +set_property LOC BUFGCTRL_X0Y0 [get_cells clk_IBUF_BUFG_inst] + +# Location of IO Primitives which is load of clock spine + +# Location of clock ports +set_property LOC IOB_X0Y78 [get_ports clk] + +# Clock net "clk_IBUF_BUFG" driven by instance "clk_IBUF_BUFG_inst" located at site "BUFGCTRL_X0Y0" +#startgroup +create_pblock {CLKAG_clk_IBUF_BUFG} +add_cells_to_pblock [get_pblocks {CLKAG_clk_IBUF_BUFG}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clk_IBUF_BUFG"}]]] +resize_pblock [get_pblocks {CLKAG_clk_IBUF_BUFG}] -add {CLOCKREGION_X0Y1:CLOCKREGION_X0Y1} +#endgroup diff --git a/lab2CA.runs/impl_1/CPU9bits_control_sets_placed.rpt b/lab2CA.runs/impl_1/CPU9bits_control_sets_placed.rpt new file mode 100644 index 0000000..b20d0aa --- /dev/null +++ b/lab2CA.runs/impl_1/CPU9bits_control_sets_placed.rpt @@ -0,0 +1,68 @@ +Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 +| Date : Sat Mar 30 15:54:32 2019 +| Host : WM-G75VW running 64-bit major release (build 9200) +| Command : report_control_sets -verbose -file CPU9bits_control_sets_placed.rpt +| Design : CPU9bits +| Device : xc7k160ti +------------------------------------------------------------------------------------- + +Control Set Information + +Table of Contents +----------------- +1. Summary +2. Histogram +3. Flip-Flop Distribution +4. Detailed Control Set Information + +1. Summary +---------- + ++----------------------------------------------------------+-------+ +| Status | Count | ++----------------------------------------------------------+-------+ +| Number of unique control sets | 3 | +| Unused register locations in slices containing registers | 19 | ++----------------------------------------------------------+-------+ + + +2. Histogram +------------ + ++--------+--------------+ +| Fanout | Control Sets | ++--------+--------------+ +| 3 | 1 | +| 9 | 2 | ++--------+--------------+ + + +3. Flip-Flop Distribution +------------------------- + ++--------------+-----------------------+------------------------+-----------------+--------------+ +| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices | ++--------------+-----------------------+------------------------+-----------------+--------------+ +| No | No | No | 3 | 1 | +| No | No | Yes | 0 | 0 | +| No | Yes | No | 0 | 0 | +| Yes | No | No | 0 | 0 | +| Yes | No | Yes | 0 | 0 | +| Yes | Yes | No | 18 | 8 | ++--------------+-----------------------+------------------------+-----------------+--------------+ + + +4. Detailed Control Set Information +----------------------------------- + ++----------------+----------------------------+------------------+------------------+----------------+ +| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | ++----------------+----------------------------+------------------+------------------+----------------+ +| clk_IBUF_BUFG | | | 1 | 3 | +| clk_IBUF_BUFG | FetchU/PC/E[0] | reset_IBUF | 4 | 9 | +| clk_IBUF_BUFG | FetchU/PC/Dout_reg[0]_1[0] | reset_IBUF | 4 | 9 | ++----------------+----------------------------+------------------+------------------+----------------+ + + diff --git a/lab2CA.runs/impl_1/CPU9bits_drc_opted.pb b/lab2CA.runs/impl_1/CPU9bits_drc_opted.pb new file mode 100644 index 0000000000000000000000000000000000000000..0158a2ad826bcd75c8436a6a29252340aee67559 GIT binary patch literal 37 scmd;LGcqtV(KDRH% + Ruledeck: default + Max violations: + Violations found: 3 ++----------+------------------+-----------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++----------+------------------+-----------------------------------------------------+------------+ +| NSTD-1 | Critical Warning | Unspecified I/O Standard | 1 | +| UCIO-1 | Critical Warning | Unconstrained Logical Port | 1 | +| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | ++----------+------------------+-----------------------------------------------------+------------+ + +2. REPORT DETAILS +----------------- +NSTD-1#1 Critical Warning +Unspecified I/O Standard +12 out of 12 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: result[8:0], clk, done, reset. +Related violations: + +UCIO-1#1 Critical Warning +Unconstrained Logical Port +12 out of 12 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: result[8:0], clk, done, reset. +Related violations: + +CFGBVS-1#1 Warning +Missing CFGBVS and CONFIG_VOLTAGE Design Properties +Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +Related violations: + + diff --git a/lab2CA.runs/impl_1/CPU9bits_drc_routed.pb b/lab2CA.runs/impl_1/CPU9bits_drc_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..0158a2ad826bcd75c8436a6a29252340aee67559 GIT binary patch literal 37 scmd;LGcqtV(KDRH% + Ruledeck: default + Max violations: + Violations found: 3 ++----------+------------------+-----------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++----------+------------------+-----------------------------------------------------+------------+ +| NSTD-1 | Critical Warning | Unspecified I/O Standard | 1 | +| UCIO-1 | Critical Warning | Unconstrained Logical Port | 1 | +| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | ++----------+------------------+-----------------------------------------------------+------------+ + +2. REPORT DETAILS +----------------- +NSTD-1#1 Critical Warning +Unspecified I/O Standard +12 out of 12 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: result[8:0], clk, done, reset. +Related violations: + +UCIO-1#1 Critical Warning +Unconstrained Logical Port +12 out of 12 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: result[8:0], clk, done, reset. +Related violations: + +CFGBVS-1#1 Warning +Missing CFGBVS and CONFIG_VOLTAGE Design Properties +Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +Related violations: + + diff --git a/lab2CA.runs/impl_1/CPU9bits_io_placed.rpt b/lab2CA.runs/impl_1/CPU9bits_io_placed.rpt new file mode 100644 index 0000000..a4df712 --- /dev/null +++ b/lab2CA.runs/impl_1/CPU9bits_io_placed.rpt @@ -0,0 +1,526 @@ +Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 +| Date : Sat Mar 30 15:54:32 2019 +| Host : WM-G75VW running 64-bit major release (build 9200) +| Command : report_io -file CPU9bits_io_placed.rpt +| Design : CPU9bits +| Device : xc7k160ti +| Speed File : -2L +| Package : fbg484 +| Package Version : FINAL 2012-06-26 +| Package Pin Delay Version : VERS. 2.0 2012-06-26 +------------------------------------------------------------------------------------------------- + +IO Information + +Table of Contents +----------------- +1. Summary +2. IO Assignments by Package Pin + +1. Summary +---------- + ++---------------+ +| Total User IO | ++---------------+ +| 12 | ++---------------+ + + +2. IO Assignments by Package Pin +-------------------------------- + ++------------+-------------+------------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+-----------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ +| Pin Number | Signal Name | Bank Type | Pin Name | Use | IO Standard | IO Bank | Drive (mA) | Slew | On-Chip Termination | Off-Chip Termination | Voltage | Constraint | Pull Type | DQS Bias | Vref | Signal Integrity | Pre Emphasis | Lvds Pre Emphasis | Equalization | ++------------+-------------+------------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+-----------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ +| A1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A2 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| A3 | | | MGTXTXN3_115 | Gigabit | | | | | | | | | | | | | | | | +| A4 | | | MGTXTXP3_115 | Gigabit | | | | | | | | | | | | | | | | +| A5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A6 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| A7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A8 | | High Range | IO_L21N_T3_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| A9 | | High Range | IO_L21P_T3_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| A10 | | High Range | IO_L23N_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| A11 | | High Range | IO_L23P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| A12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A13 | | High Range | IO_L4P_T0_AD9P_15 | User IO | | 15 | | | | | | | | | | | | | | +| A14 | | High Range | IO_L4N_T0_AD9N_15 | User IO | | 15 | | | | | | | | | | | | | | +| A15 | | High Range | IO_L9N_T1_DQS_AD11N_15 | User IO | | 15 | | | | | | | | | | | | | | +| A16 | | High Range | IO_L8N_T1_AD3N_15 | User IO | | 15 | | | | | | | | | | | | | | +| A17 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | +| A18 | | High Range | IO_L10N_T1_AD4N_15 | User IO | | 15 | | | | | | | | | | | | | | +| A19 | | High Range | IO_L20N_T3_A19_15 | User IO | | 15 | | | | | | | | | | | | | | +| A20 | | High Range | IO_L22P_T3_A17_15 | User IO | | 15 | | | | | | | | | | | | | | +| A21 | | High Range | IO_L22N_T3_A16_15 | User IO | | 15 | | | | | | | | | | | | | | +| A22 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AA1 | | High Performance | IO_L22P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AA3 | | High Performance | IO_L23P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA4 | | High Performance | IO_L24P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA5 | | High Performance | IO_L1P_T0_33 | User IO | | 33 | | | | | | | | | | | | | | +| AA6 | | High Performance | IO_L3P_T0_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | +| AA7 | | High Performance | VCCO_33 | VCCO | | 33 | | | | | 0.00-1.80 | | | | | | | | | +| AA8 | | High Performance | IO_L5N_T0_33 | User IO | | 33 | | | | | | | | | | | | | | +| AA9 | | High Performance | IO_L5P_T0_33 | User IO | | 33 | | | | | | | | | | | | | | +| AA10 | | High Performance | IO_L4P_T0_33 | User IO | | 33 | | | | | | | | | | | | | | +| AA11 | | High Performance | IO_L20P_T3_33 | User IO | | 33 | | | | | | | | | | | | | | +| AA12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AA13 | | High Performance | IO_L21N_T3_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | +| AA14 | | High Range | IO_L18P_T2_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA15 | | High Range | IO_L18N_T2_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA16 | | High Range | IO_L17P_T2_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA17 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 1.80 | | | | | | | | | +| AA18 | | High Range | IO_L15P_T2_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA19 | | High Range | IO_L10P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA20 | | High Range | IO_L8P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA21 | | High Range | IO_L9P_T1_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA22 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AB1 | | High Performance | IO_L22N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB2 | | High Performance | IO_L23N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB3 | | High Performance | IO_L24N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB4 | | High Performance | VCCO_34 | VCCO | | 34 | | | | | 0.00-1.80 | | | | | | | | | +| AB5 | | High Performance | IO_L1N_T0_33 | User IO | | 33 | | | | | | | | | | | | | | +| AB6 | | High Performance | IO_L3N_T0_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | +| AB7 | | High Performance | IO_L2N_T0_33 | User IO | | 33 | | | | | | | | | | | | | | +| AB8 | | High Performance | IO_L2P_T0_33 | User IO | | 33 | | | | | | | | | | | | | | +| AB9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AB10 | | High Performance | IO_L4N_T0_33 | User IO | | 33 | | | | | | | | | | | | | | +| AB11 | | High Performance | IO_L20N_T3_33 | User IO | | 33 | | | | | | | | | | | | | | +| AB12 | | High Performance | IO_L22N_T3_33 | User IO | | 33 | | | | | | | | | | | | | | +| AB13 | | High Performance | IO_L22P_T3_33 | User IO | | 33 | | | | | | | | | | | | | | +| AB14 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 1.80 | | | | | | | | | +| AB15 | | High Range | IO_L16P_T2_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB16 | | High Range | IO_L16N_T2_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB17 | | High Range | IO_L17N_T2_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB18 | | High Range | IO_L15N_T2_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AB20 | | High Range | IO_L10N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB21 | | High Range | IO_L8N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB22 | | High Range | IO_L9N_T1_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| B1 | | | MGTXTXN2_115 | Gigabit | | | | | | | | | | | | | | | | +| B2 | | | MGTXTXP2_115 | Gigabit | | | | | | | | | | | | | | | | +| B3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B5 | | | MGTXRXN3_115 | Gigabit | | | | | | | | | | | | | | | | +| B6 | | | MGTXRXP3_115 | Gigabit | | | | | | | | | | | | | | | | +| B7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B8 | | High Range | IO_L22N_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| B9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B10 | | High Range | IO_L20N_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| B11 | | High Range | IO_L20P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| B12 | | High Range | IO_L2N_T0_AD8N_15 | User IO | | 15 | | | | | | | | | | | | | | +| B13 | | High Range | IO_L5N_T0_AD2N_15 | User IO | | 15 | | | | | | | | | | | | | | +| B14 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | +| B15 | | High Range | IO_L9P_T1_DQS_AD11P_15 | User IO | | 15 | | | | | | | | | | | | | | +| B16 | | High Range | IO_L8P_T1_AD3P_15 | User IO | | 15 | | | | | | | | | | | | | | +| B17 | | High Range | IO_L10P_T1_AD4P_15 | User IO | | 15 | | | | | | | | | | | | | | +| B18 | | High Range | IO_L20P_T3_A20_15 | User IO | | 15 | | | | | | | | | | | | | | +| B19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B20 | | High Range | IO_L24P_T3_RS1_15 | User IO | | 15 | | | | | | | | | | | | | | +| B21 | | High Range | IO_L24N_T3_RS0_15 | User IO | | 15 | | | | | | | | | | | | | | +| B22 | | High Range | IO_L21N_T3_DQS_A18_15 | User IO | | 15 | | | | | | | | | | | | | | +| C1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C2 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| C3 | | | MGTXRXN2_115 | Gigabit | | | | | | | | | | | | | | | | +| C4 | | | MGTXRXP2_115 | Gigabit | | | | | | | | | | | | | | | | +| C5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C6 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| C7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C8 | | High Range | IO_L22P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| C9 | | High Range | IO_L19N_T3_VREF_16 | User IO | | 16 | | | | | | | | | | | | | | +| C10 | | High Range | IO_L7N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| C11 | | High Range | VCCO_16 | VCCO | | 16 | | | | | any** | | | | | | | | | +| C12 | | High Range | IO_L2P_T0_AD8P_15 | User IO | | 15 | | | | | | | | | | | | | | +| C13 | | High Range | IO_L5P_T0_AD2P_15 | User IO | | 15 | | | | | | | | | | | | | | +| C14 | | High Range | IO_L7P_T1_AD10P_15 | User IO | | 15 | | | | | | | | | | | | | | +| C15 | | High Range | IO_L7N_T1_AD10N_15 | User IO | | 15 | | | | | | | | | | | | | | +| C16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C17 | | High Range | IO_L12P_T1_MRCC_AD5P_15 | User IO | | 15 | | | | | | | | | | | | | | +| C18 | | High Range | IO_L12N_T1_MRCC_AD5N_15 | User IO | | 15 | | | | | | | | | | | | | | +| C19 | | High Range | IO_L19P_T3_A22_15 | User IO | | 15 | | | | | | | | | | | | | | +| C20 | | High Range | IO_L19N_T3_A21_VREF_15 | User IO | | 15 | | | | | | | | | | | | | | +| C21 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | +| C22 | | High Range | IO_L21P_T3_DQS_15 | User IO | | 15 | | | | | | | | | | | | | | +| D1 | | | MGTXTXN1_115 | Gigabit | | | | | | | | | | | | | | | | +| D2 | | | MGTXTXP1_115 | Gigabit | | | | | | | | | | | | | | | | +| D3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D5 | | | MGTREFCLK0N_115 | Gigabit | | | | | | | | | | | | | | | | +| D6 | | | MGTREFCLK0P_115 | Gigabit | | | | | | | | | | | | | | | | +| D7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D8 | | High Range | VCCO_16 | VCCO | | 16 | | | | | any** | | | | | | | | | +| D9 | | High Range | IO_L19P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| D10 | | High Range | IO_L7P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| D11 | | High Range | IO_L12N_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| D12 | | High Range | IO_0_15 | User IO | | 15 | | | | | | | | | | | | | | +| D13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D14 | | High Range | IO_L6N_T0_VREF_15 | User IO | | 15 | | | | | | | | | | | | | | +| D15 | | High Range | IO_L11P_T1_SRCC_AD12P_15 | User IO | | 15 | | | | | | | | | | | | | | +| D16 | | High Range | IO_L11N_T1_SRCC_AD12N_15 | User IO | | 15 | | | | | | | | | | | | | | +| D17 | | High Range | IO_L14N_T2_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| D18 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | +| D19 | | High Range | IO_L18P_T2_A24_15 | User IO | | 15 | | | | | | | | | | | | | | +| D20 | | High Range | IO_L18N_T2_A23_15 | User IO | | 15 | | | | | | | | | | | | | | +| D21 | | High Range | IO_L23P_T3_FOE_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| D22 | | High Range | IO_L23N_T3_FWE_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| E1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E2 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| E3 | | | MGTXRXN1_115 | Gigabit | | | | | | | | | | | | | | | | +| E4 | | | MGTXRXP1_115 | Gigabit | | | | | | | | | | | | | | | | +| E5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E6 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| E7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E8 | | High Range | IO_24_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| E9 | | High Range | IO_L15N_T2_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| E10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E11 | | High Range | IO_L12P_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| E12 | | High Range | IO_L10N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| E13 | | High Range | IO_L10P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| E14 | | High Range | IO_L6P_T0_15 | User IO | | 15 | | | | | | | | | | | | | | +| E15 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | +| E16 | | High Range | IO_L14P_T2_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| E17 | | High Range | IO_L13P_T2_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| E18 | | High Range | IO_L13N_T2_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| E19 | | High Range | IO_L17N_T2_A25_15 | User IO | | 15 | | | | | | | | | | | | | | +| E20 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E21 | | High Range | IO_L7P_T1_D09_14 | User IO | | 14 | | | | | | | | | | | | | | +| E22 | | High Range | IO_L7N_T1_D10_14 | User IO | | 14 | | | | | | | | | | | | | | +| F1 | | | MGTXTXN0_115 | Gigabit | | | | | | | | | | | | | | | | +| F2 | | | MGTXTXP0_115 | Gigabit | | | | | | | | | | | | | | | | +| F3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F5 | | | MGTREFCLK1N_115 | Gigabit | | | | | | | | | | | | | | | | +| F6 | | | MGTREFCLK1P_115 | Gigabit | | | | | | | | | | | | | | | | +| F7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F8 | | High Range | IO_L17N_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| F9 | | High Range | IO_L15P_T2_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| F10 | | High Range | IO_L11N_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| F11 | | High Range | IO_L11P_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| F12 | | High Range | VCCO_16 | VCCO | | 16 | | | | | any** | | | | | | | | | +| F13 | | High Range | IO_L8N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| F14 | | High Range | IO_6_T0_VREF_16 | User IO | | 16 | | | | | | | | | | | | | | +| F15 | | High Range | IO_L3P_T0_DQS_AD1P_15 | User IO | | 15 | | | | | | | | | | | | | | +| F16 | | High Range | IO_L3N_T0_DQS_AD1N_15 | User IO | | 15 | | | | | | | | | | | | | | +| F17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F18 | | High Range | IO_L17P_T2_A26_15 | User IO | | 15 | | | | | | | | | | | | | | +| F19 | | High Range | IO_L2N_T0_D03_14 | User IO | | 14 | | | | | | | | | | | | | | +| F20 | | High Range | IO_L4N_T0_D05_14 | User IO | | 14 | | | | | | | | | | | | | | +| F21 | | High Range | IO_L9N_T1_DQS_D13_14 | User IO | | 14 | | | | | | | | | | | | | | +| F22 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| G1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G2 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| G3 | | | MGTXRXN0_115 | Gigabit | | | | | | | | | | | | | | | | +| G4 | | | MGTXRXP0_115 | Gigabit | | | | | | | | | | | | | | | | +| G5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G6 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| G7 | | Dedicated | CCLK_0 | Config | | 0 | | | | | | | | | | | | | | +| G8 | | High Range | IO_L17P_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| G9 | | High Range | VCCO_16 | VCCO | | 16 | | | | | any** | | | | | | | | | +| G10 | | High Range | IO_L13N_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| G11 | | High Range | IO_L13P_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| G12 | | High Range | IO_L14N_T2_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| G13 | | High Range | IO_L8P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| G14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G15 | | High Range | IO_L1P_T0_AD0P_15 | User IO | | 15 | | | | | | | | | | | | | | +| G16 | | High Range | IO_L1N_T0_AD0N_15 | User IO | | 15 | | | | | | | | | | | | | | +| G17 | | High Range | IO_L15N_T2_DQS_ADV_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| G18 | | High Range | IO_L2P_T0_D02_14 | User IO | | 14 | | | | | | | | | | | | | | +| G19 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| G20 | | High Range | IO_L4P_T0_D04_14 | User IO | | 14 | | | | | | | | | | | | | | +| G21 | | High Range | IO_L9P_T1_DQS_14 | User IO | | 14 | | | | | | | | | | | | | | +| G22 | | High Range | IO_L8N_T1_D12_14 | User IO | | 14 | | | | | | | | | | | | | | +| H1 | | | MGTAVTTRCAL_115 | Gigabit | | | | | | | | | | | | | | | | +| H2 | | | MGTRREF_115 | Gigabit | | | | | | | | | | | | | | | | +| H3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H6 | | Dedicated | M1_0 | Config | | 0 | | | | | | | | | | | | | | +| H7 | | Dedicated | M0_0 | Config | | 0 | | | | | | | | | | | | | | +| H8 | | High Range | IO_L16N_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| H9 | | High Range | IO_L16P_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| H10 | | High Range | IO_18_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| H11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H12 | | High Range | IO_L14P_T2_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| H13 | | High Range | IO_L9N_T1_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| H14 | | High Range | IO_L9P_T1_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| H15 | | High Range | IO_25_15 | User IO | | 15 | | | | | | | | | | | | | | +| H16 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | +| H17 | | High Range | IO_L15P_T2_DQS_15 | User IO | | 15 | | | | | | | | | | | | | | +| H18 | | High Range | IO_L1P_T0_D00_MOSI_14 | User IO | | 14 | | | | | | | | | | | | | | +| H19 | | High Range | IO_L1N_T0_D01_DIN_14 | User IO | | 14 | | | | | | | | | | | | | | +| H20 | | High Range | IO_L11N_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| H21 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H22 | | High Range | IO_L8P_T1_D11_14 | User IO | | 14 | | | | | | | | | | | | | | +| J1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J4 | | | MGTVCCAUX | Gigabit Power | | | | | | | | | | | | | | | | +| J5 | | Dedicated | M2_0 | Config | | 0 | | | | | | | | | | | | | | +| J6 | | Dedicated | TDO_0 | Config | | 0 | | | | | | | | | | | | | | +| J7 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | any** | | | | | | | | | +| J8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| J10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J11 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| J12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J13 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| J14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J15 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| J16 | | High Range | IO_L16P_T2_A28_15 | User IO | | 15 | | | | | | | | | | | | | | +| J17 | | High Range | IO_L16N_T2_A27_15 | User IO | | 15 | | | | | | | | | | | | | | +| J18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J19 | | High Range | IO_L3N_T0_DQS_EMCCLK_14 | User IO | | 14 | | | | | | | | | | | | | | +| J20 | | High Range | IO_L11P_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| J21 | | High Range | IO_L10P_T1_D14_14 | User IO | | 14 | | | | | | | | | | | | | | +| J22 | | High Range | IO_L10N_T1_D15_14 | User IO | | 14 | | | | | | | | | | | | | | +| K1 | | High Performance | IO_L2P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| K2 | | High Performance | IO_L4N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| K3 | | High Performance | IO_L4P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| K4 | | High Performance | IO_0_VRN_34 | User IO | | 34 | | | | | | | | | | | | | | +| K5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K6 | | Dedicated | TDI_0 | Config | | 0 | | | | | | | | | | | | | | +| K7 | | Dedicated | TCK_0 | Config | | 0 | | | | | | | | | | | | | | +| K8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| K9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K10 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| K11 | | Dedicated | GNDADC_0 | XADC | | 0 | | | | | | | | | | | | | | +| K12 | | Dedicated | VCCADC_0 | XADC | | 0 | | | | | | | | | | | | | | +| K13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K14 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| K15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K16 | | High Range | IO_0_14 | User IO | | 14 | | | | | | | | | | | | | | +| K17 | | High Range | IO_L6N_T0_D08_VREF_14 | User IO | | 14 | | | | | | | | | | | | | | +| K18 | | High Range | IO_L3P_T0_DQS_PUDC_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| K19 | | High Range | IO_L5N_T0_D07_14 | User IO | | 14 | | | | | | | | | | | | | | +| K20 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| K21 | | High Range | IO_L16P_T2_CSI_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| K22 | | High Range | IO_L16N_T2_A15_D31_14 | User IO | | 14 | | | | | | | | | | | | | | +| L1 | | High Performance | IO_L2N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| L2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| L3 | | High Performance | IO_L1P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| L4 | | High Performance | IO_L6N_T0_VREF_34 | User IO | | 34 | | | | | | | | | | | | | | +| L5 | | High Performance | IO_L6P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| L6 | | Dedicated | TMS_0 | Config | | 0 | | | | | | | | | | | | | | +| L7 | | Dedicated | INIT_B_0 | Config | | 0 | | | | | | | | | | | | | | +| L8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| L9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| L10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| L11 | | Dedicated | VREFN_0 | XADC | | 0 | | | | | | | | | | | | | | +| L12 | | Dedicated | VP_0 | XADC | | 0 | | | | | | | | | | | | | | +| L13 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | +| L14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| L15 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| L16 | | High Range | IO_L6P_T0_FCS_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| L17 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| L18 | | High Range | IO_L5P_T0_D06_14 | User IO | | 14 | | | | | | | | | | | | | | +| L19 | | High Range | IO_L12P_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| L20 | | High Range | IO_L12N_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| L21 | | High Range | IO_L18N_T2_A11_D27_14 | User IO | | 14 | | | | | | | | | | | | | | +| L22 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M1 | | High Performance | IO_L3N_T0_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| M2 | | High Performance | IO_L3P_T0_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| M3 | | High Performance | IO_L1N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| M4 | | High Performance | VCCO_34 | VCCO | | 34 | | | | | 0.00-1.80 | | | | | | | | | +| M5 | | High Performance | IO_L9P_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| M6 | | Dedicated | PROGRAM_B_0 | Config | | 0 | | | | | | | | | | | | | | +| M7 | | Dedicated | CFGBVS_0 | Config | | 0 | | | | | | | | | | | | | | +| M8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| M9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M10 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| M11 | | Dedicated | VN_0 | XADC | | 0 | | | | | | | | | | | | | | +| M12 | | Dedicated | VREFP_0 | XADC | | 0 | | | | | | | | | | | | | | +| M13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M14 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| M15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M16 | | High Range | IO_25_14 | User IO | | 14 | | | | | | | | | | | | | | +| M17 | | High Range | IO_L14P_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| M18 | | High Range | IO_L14N_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| M19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M20 | | High Range | IO_L18P_T2_A12_D28_14 | User IO | | 14 | | | | | | | | | | | | | | +| M21 | | High Range | IO_L17N_T2_A13_D29_14 | User IO | | 14 | | | | | | | | | | | | | | +| M22 | | High Range | IO_L15N_T2_DQS_DOUT_CSO_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| N1 | | High Performance | VCCO_34 | VCCO | | 34 | | | | | 0.00-1.80 | | | | | | | | | +| N2 | | High Performance | IO_L5N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| N3 | | High Performance | IO_L5P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| N4 | | High Performance | IO_L9N_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| N5 | | High Performance | IO_L18P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| N6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N7 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | any** | | | | | | | | | +| N8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| N10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N11 | | Dedicated | DXN_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | +| N12 | | Dedicated | DXP_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | +| N13 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | +| N14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N15 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| N16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N17 | | High Range | IO_L23N_T3_A02_D18_14 | User IO | | 14 | | | | | | | | | | | | | | +| N18 | | High Range | IO_L13P_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| N19 | | High Range | IO_L13N_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| N20 | | High Range | IO_L17P_T2_A14_D30_14 | User IO | | 14 | | | | | | | | | | | | | | +| N21 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| N22 | | High Range | IO_L15P_T2_DQS_RDWR_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| P1 | | High Performance | IO_L8P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| P2 | | High Performance | IO_L7P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| P3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P4 | | High Performance | IO_L11P_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| P5 | | High Performance | IO_L18N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| P6 | | Dedicated | DONE_0 | Config | | 0 | | | | | | | | | | | | | | +| P7 | | Dedicated | VCCBATT_0 | Config | | 0 | | | | | | | | | | | | | | +| P8 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| P9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P10 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| P11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| P13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P14 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| P15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P16 | | High Range | IO_L23P_T3_A03_D19_14 | User IO | | 14 | | | | | | | | | | | | | | +| P17 | | High Range | IO_L21N_T3_DQS_A06_D22_14 | User IO | | 14 | | | | | | | | | | | | | | +| P18 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| P19 | | High Range | IO_L20P_T3_A08_D24_14 | User IO | | 14 | | | | | | | | | | | | | | +| P20 | | High Range | IO_L20N_T3_A07_D23_14 | User IO | | 14 | | | | | | | | | | | | | | +| P21 | | High Range | IO_L22P_T3_A05_D21_14 | User IO | | 14 | | | | | | | | | | | | | | +| P22 | | High Range | IO_L22N_T3_A04_D20_14 | User IO | | 14 | | | | | | | | | | | | | | +| R1 | | High Performance | IO_L8N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| R2 | | High Performance | IO_L7N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| R3 | | High Performance | IO_L12P_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| R4 | | High Performance | IO_L11N_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| R5 | | High Performance | VCCO_34 | VCCO | | 34 | | | | | 0.00-1.80 | | | | | | | | | +| R6 | | High Performance | IO_L8N_T1_33 | User IO | | 33 | | | | | | | | | | | | | | +| R7 | | High Performance | IO_L8P_T1_33 | User IO | | 33 | | | | | | | | | | | | | | +| R8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R9 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| R10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R11 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| R12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R13 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | +| R14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R15 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| R16 | reset | High Range | IO_L20P_T3_13 | INPUT | LVCMOS18* | 13 | | | | NONE | | UNFIXED | | | | NONE | | | | +| R17 | | High Range | IO_L21P_T3_DQS_14 | User IO | | 14 | | | | | | | | | | | | | | +| R18 | | High Range | IO_L19P_T3_A10_D26_14 | User IO | | 14 | | | | | | | | | | | | | | +| R19 | | High Range | IO_L19N_T3_A09_D25_VREF_14 | User IO | | 14 | | | | | | | | | | | | | | +| R20 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R21 | | High Range | IO_L24P_T3_A01_D17_14 | User IO | | 14 | | | | | | | | | | | | | | +| R22 | | High Range | IO_L24N_T3_A00_D16_14 | User IO | | 14 | | | | | | | | | | | | | | +| T1 | | High Performance | IO_L10P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| T2 | | High Performance | VCCO_34 | VCCO | | 34 | | | | | 0.00-1.80 | | | | | | | | | +| T3 | | High Performance | IO_L12N_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| T4 | | High Performance | IO_L13P_T2_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| T5 | | High Performance | IO_L16P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| T6 | | High Performance | IO_0_VRN_33 | User IO | | 33 | | | | | | | | | | | | | | +| T7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T8 | | High Performance | IO_L18N_T2_33 | User IO | | 33 | | | | | | | | | | | | | | +| T9 | | High Performance | IO_L18P_T2_33 | User IO | | 33 | | | | | | | | | | | | | | +| T10 | | High Performance | IO_L16N_T2_33 | User IO | | 33 | | | | | | | | | | | | | | +| T11 | | High Performance | IO_L16P_T2_33 | User IO | | 33 | | | | | | | | | | | | | | +| T12 | | High Performance | VCCO_33 | VCCO | | 33 | | | | | 0.00-1.80 | | | | | | | | | +| T13 | | High Performance | IO_L24P_T3_33 | User IO | | 33 | | | | | | | | | | | | | | +| T14 | | High Performance | IO_25_VRP_33 | User IO | | 33 | | | | | | | | | | | | | | +| T15 | result[2] | High Range | IO_L24P_T3_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | +| T16 | done | High Range | IO_L20N_T3_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | +| T17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T18 | | High Range | IO_L3P_T0_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| T19 | | High Range | IO_0_13 | User IO | | 13 | | | | | | | | | | | | | | +| T20 | | High Range | IO_L6P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| T21 | | High Range | IO_L1P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| T22 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 1.80 | | | | | | | | | +| U1 | | High Performance | IO_L10N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| U2 | | High Performance | IO_L15P_T2_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| U3 | | High Performance | IO_L13N_T2_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| U4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| U5 | | High Performance | IO_L16N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| U6 | | High Performance | IO_L10N_T1_33 | User IO | | 33 | | | | | | | | | | | | | | +| U7 | | High Performance | IO_L10P_T1_33 | User IO | | 33 | | | | | | | | | | | | | | +| U8 | | High Performance | IO_L9P_T1_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | +| U9 | | High Performance | VCCO_33 | VCCO | | 33 | | | | | 0.00-1.80 | | | | | | | | | +| U10 | | High Performance | IO_L14P_T2_SRCC_33 | User IO | | 33 | | | | | | | | | | | | | | +| U11 | | High Performance | IO_L17N_T2_33 | User IO | | 33 | | | | | | | | | | | | | | +| U12 | | High Performance | IO_L17P_T2_33 | User IO | | 33 | | | | | | | | | | | | | | +| U13 | | High Performance | IO_L24N_T3_33 | User IO | | 33 | | | | | | | | | | | | | | +| U14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| U15 | result[1] | High Range | IO_L24N_T3_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | +| U16 | | High Range | IO_L19P_T3_13 | User IO | | 13 | | | | | | | | | | | | | | +| U17 | | High Range | IO_L5P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| U18 | | High Range | IO_L3N_T0_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| U19 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 1.80 | | | | | | | | | +| U20 | | High Range | IO_L6N_T0_VREF_13 | User IO | | 13 | | | | | | | | | | | | | | +| U21 | | High Range | IO_L1N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| U22 | | High Range | IO_L2P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| V1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| V2 | | High Performance | IO_L15N_T2_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| V3 | | High Performance | IO_L17P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| V4 | | High Performance | IO_L14P_T2_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| V5 | | High Performance | IO_25_VRP_34 | User IO | | 34 | | | | | | | | | | | | | | +| V6 | | High Performance | VCCO_33 | VCCO | | 33 | | | | | 0.00-1.80 | | | | | | | | | +| V7 | | High Performance | IO_L11P_T1_SRCC_33 | User IO | | 33 | | | | | | | | | | | | | | +| V8 | | High Performance | IO_L9N_T1_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | +| V9 | | High Performance | IO_L14N_T2_SRCC_33 | User IO | | 33 | | | | | | | | | | | | | | +| V10 | | High Performance | IO_L15P_T2_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | +| V11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| V12 | | High Performance | IO_L23N_T3_33 | User IO | | 33 | | | | | | | | | | | | | | +| V13 | | High Performance | IO_L23P_T3_33 | User IO | | 33 | | | | | | | | | | | | | | +| V14 | result[0] | High Range | IO_25_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | +| V15 | result[4] | High Range | IO_L23P_T3_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | +| V16 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 1.80 | | | | | | | | | +| V17 | | High Range | IO_L19N_T3_VREF_13 | User IO | | 13 | | | | | | | | | | | | | | +| V18 | | High Range | IO_L5N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| V19 | | High Range | IO_L12P_T1_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| V20 | clk | High Range | IO_L11P_T1_SRCC_13 | INPUT | LVCMOS18* | 13 | | | | NONE | | UNFIXED | | | | NONE | | | | +| V21 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| V22 | | High Range | IO_L2N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| W1 | | High Performance | IO_L20P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| W2 | | High Performance | IO_L17N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| W3 | | High Performance | VCCO_34 | VCCO | | 34 | | | | | 0.00-1.80 | | | | | | | | | +| W4 | | High Performance | IO_L14N_T2_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| W5 | | High Performance | IO_L19P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| W6 | | High Performance | IO_L7P_T1_33 | User IO | | 33 | | | | | | | | | | | | | | +| W7 | | High Performance | IO_L11N_T1_SRCC_33 | User IO | | 33 | | | | | | | | | | | | | | +| W8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| W9 | | High Performance | IO_L13P_T2_MRCC_33 | User IO | | 33 | | | | | | | | | | | | | | +| W10 | | High Performance | IO_L15N_T2_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | +| W11 | | High Performance | IO_L6P_T0_33 | User IO | | 33 | | | | | | | | | | | | | | +| W12 | | High Performance | IO_L19P_T3_33 | User IO | | 33 | | | | | | | | | | | | | | +| W13 | | High Performance | VCCO_33 | VCCO | | 33 | | | | | 0.00-1.80 | | | | | | | | | +| W14 | result[6] | High Range | IO_L22P_T3_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | +| W15 | result[3] | High Range | IO_L23N_T3_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | +| W16 | result[8] | High Range | IO_L21P_T3_DQS_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | +| W17 | | High Range | IO_L14P_T2_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| W18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| W19 | | High Range | IO_L12N_T1_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| W20 | | High Range | IO_L11N_T1_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| W21 | | High Range | IO_L4P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| W22 | | High Range | IO_L4N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y1 | | High Performance | IO_L20N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y2 | | High Performance | IO_L21N_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y3 | | High Performance | IO_L21P_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y4 | | High Performance | IO_L19N_T3_VREF_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| Y6 | | High Performance | IO_L7N_T1_33 | User IO | | 33 | | | | | | | | | | | | | | +| Y7 | | High Performance | IO_L12N_T1_MRCC_33 | User IO | | 33 | | | | | | | | | | | | | | +| Y8 | | High Performance | IO_L12P_T1_MRCC_33 | User IO | | 33 | | | | | | | | | | | | | | +| Y9 | | High Performance | IO_L13N_T2_MRCC_33 | User IO | | 33 | | | | | | | | | | | | | | +| Y10 | | High Performance | VCCO_33 | VCCO | | 33 | | | | | 0.00-1.80 | | | | | | | | | +| Y11 | | High Performance | IO_L6N_T0_VREF_33 | User IO | | 33 | | | | | | | | | | | | | | +| Y12 | | High Performance | IO_L19N_T3_VREF_33 | User IO | | 33 | | | | | | | | | | | | | | +| Y13 | | High Performance | IO_L21P_T3_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | +| Y14 | result[5] | High Range | IO_L22N_T3_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | +| Y15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| Y16 | result[7] | High Range | IO_L21N_T3_DQS_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | +| Y17 | | High Range | IO_L14N_T2_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y18 | | High Range | IO_L13P_T2_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y19 | | High Range | IO_L13N_T2_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y20 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 1.80 | | | | | | | | | +| Y21 | | High Range | IO_L7P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y22 | | High Range | IO_L7N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | ++------------+-------------+------------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+-----------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ +* Default value +** Special VCCO requirements may apply. Please consult the device family datasheet for specific guideline on VCCO requirements. + + diff --git a/lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.pb b/lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..1cf59643e28ed0872ba5627775b2fda1a220b706 GIT binary patch literal 52 zcmd;LGcqtV(=(jJEajV8l98X1pOc?nso + Max violations: + Violations found: 22 ++-----------+----------+-----------------------------+------------+ +| Rule | Severity | Description | Violations | ++-----------+----------+-----------------------------+------------+ +| TIMING-17 | Warning | Non-clocked sequential cell | 22 | ++-----------+----------+-----------------------------+------------+ + +2. REPORT DETAILS +----------------- +TIMING-17#1 Warning +Non-clocked sequential cell +The clock pin FetchU/PC/Dout_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#2 Warning +Non-clocked sequential cell +The clock pin FetchU/PC/Dout_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#3 Warning +Non-clocked sequential cell +The clock pin FetchU/PC/Dout_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#4 Warning +Non-clocked sequential cell +The clock pin RF/r0/Dout_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#5 Warning +Non-clocked sequential cell +The clock pin RF/r0/Dout_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#6 Warning +Non-clocked sequential cell +The clock pin RF/r0/Dout_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#7 Warning +Non-clocked sequential cell +The clock pin RF/r0/Dout_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#8 Warning +Non-clocked sequential cell +The clock pin RF/r0/Dout_reg[4]/C is not reached by a timing clock +Related violations: + +TIMING-17#9 Warning +Non-clocked sequential cell +The clock pin RF/r0/Dout_reg[5]/C is not reached by a timing clock +Related violations: + +TIMING-17#10 Warning +Non-clocked sequential cell +The clock pin RF/r0/Dout_reg[6]/C is not reached by a timing clock +Related violations: + +TIMING-17#11 Warning +Non-clocked sequential cell +The clock pin RF/r0/Dout_reg[7]/C is not reached by a timing clock +Related violations: + +TIMING-17#12 Warning +Non-clocked sequential cell +The clock pin RF/r0/Dout_reg[8]/C is not reached by a timing clock +Related violations: + +TIMING-17#13 Warning +Non-clocked sequential cell +The clock pin RF/r1/Dout_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#14 Warning +Non-clocked sequential cell +The clock pin RF/r1/Dout_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#15 Warning +Non-clocked sequential cell +The clock pin RF/r1/Dout_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#16 Warning +Non-clocked sequential cell +The clock pin RF/r1/Dout_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#17 Warning +Non-clocked sequential cell +The clock pin RF/r1/Dout_reg[4]/C is not reached by a timing clock +Related violations: + +TIMING-17#18 Warning +Non-clocked sequential cell +The clock pin RF/r1/Dout_reg[5]/C is not reached by a timing clock +Related violations: + +TIMING-17#19 Warning +Non-clocked sequential cell +The clock pin RF/r1/Dout_reg[6]/C is not reached by a timing clock +Related violations: + +TIMING-17#20 Warning +Non-clocked sequential cell +The clock pin RF/r1/Dout_reg[7]/C is not reached by a timing clock +Related violations: + +TIMING-17#21 Warning +Non-clocked sequential cell +The clock pin RF/r1/Dout_reg[8]/C is not reached by a timing clock +Related violations: + +TIMING-17#22 Warning +Non-clocked sequential cell +The clock pin dM/memory_reg/CLKARDCLK is not reached by a timing clock +Related violations: + + diff --git a/lab2CA.runs/impl_1/CPU9bits_opt.dcp b/lab2CA.runs/impl_1/CPU9bits_opt.dcp new file mode 100644 index 0000000000000000000000000000000000000000..acc9d4fc697168b92b328d7e422542db6d3a91b4 GIT binary patch literal 37264 zcmaI7W0Yh;*QQ;z-DTUhZQHiHx@?#T?qu@z-N!O(z!fS`azeSPJ%>n}(Hfq{TJL4klE0jjBqBfYzwZA_A!L!cm<*y@u; zSDiJcnBZ;U9m#+pnGg}wMh(=2BDq*-pW)Mv292GH6n4u^ju$x#14|a}3pB2yK2k<3 zX98y1cpKZ2ahKODrPPlqKjwrWa49SPeK7m24xEY{BVxZZI@Rp}v$|0d`9yFtMx^#< zvRsdnGe04g%?P?kK&01k1nVFGmvNUBvX$)WVJ3{q5SiC*f%Q?EQ<0 zN`uOil-vfLAR#nHDN~WG8O+`v{6*5oWAY#v^WNXT_(`B4UXrsZV(l{*HLi~>bJy<5 z>zGGQIAsDC-vX>o6Jd#|r7h-EIwEyls*hE4de!d4a829N1tmtRRz{7Msy-X4e#Tif zo@@VtTl9R^s44v;{Sla=^EIc)Dsk-hn^QI;BD(l^i`zZ%`riB}q@2waFI+|nM_Eru zoL>v0?YHlwQ{@x1;(ra(``^^kP97j2?_v-jIKVK8D5`N8Te-T>o0*zl`B*uSNF+Z0 z`i3bGsOrST>Wmzg2^t1Li)&forfTj69h4~}>&UEKRt_@m9L1XQ^P_33NP}dlp{;P8 zYBGvQq^8cLpk^j!reV2%+V_0Zv2lI3zxj0b`If7E(*E3!8$S2-__b->ny7wX@_w}k z5aiw-ZlVNw|5Voq==uKf?xFhrIL=&sxtM%P{jjg?t@(ZNw688zm70rjaxtlpt8+!V zZ9}F-BcpBAx_otL@Wdxjd(B@(FVL+2+4OO6|9-7@@iXK=jh^|H-s?%+;MdQWv&DV4 zZO`U!Gml35BfszG{e#pMcc*3RC+(}t+V$PqE8mOL4`DX8*Jm}!^UvIBhwm@`Z};l2 zulGe`pSPEl$6d$7Cg<16qpPr$%6Ws{Tse7#8AN({bI7EJ8;Vtf8v0ePyvfWPKmDy* z%*xG``TO&O`@ti-i|s4rLA~#{PgBdC8`p^3VF= z<wyPXy zBFpwZ)C%SR#CKmFo19^YwWuNqPRm_-eOp)!Vk{`^l4iY$?Co{TODjuy20V z=5?j2c`K8VV#_n5H|WFYxcHfCaa?kQ*pL~E;5XHOXrPsP zELTVW^wWb8m*6l%btjKvs%zfYsqk}yV*>tAkZ*fm9rK2zhNpK7Z>sKfq&v%FXI#f;CnAa4FqP%{f-gUpVsNGMBkFM6 zcH8*-B%(v=>ngRuFMqr8Bd_<2AtaaImDB-`NECxC+PC z&t+{-VZ#+E#ag|Y@U(In3dJEBUl-Sto;e3O6b~MWUiJ5*28jkIL(_`RPy)gDK+1UL2=H@#cmJNNGd^fi9e;=c?WTnuZM3?hHr z^j&>Pwov&ef)y_Be@#wz)IDmfAkJo+_4zBW`Y)Knm4Pvj^ZdM6KE$k6wQp3=E^JSd zW~16vC}s#ZJkOBbNE?40B(QPEyi5@*LKt*c#&(OyZr0EKT%di7gTUla4LWh z84zWB%Sp+MDfY}s$!-6>xi0O8Gmv1RWXBO@EdUd{G@QU5OfnmX3Gin4oU_y0{;1K( zz)X5UT-iDvyr}H_IM?Y$DtlkPfA;n9$c&54rii!joup<{mfC5Mj9#MjYJQ!b5-huG ze;2FY-z`alQ0#p@t+I3n^>__B2!cgr_RMw=Ah&xmQ2)wp>fZ&TDoDC~+GvS?LN@C> z^C#Q$m(Q<0$fGREp}nHeT1cXz zY@Q~vTflu^wCYVV{rqxWIwO^mnBo|~g=rbVg&BZz89R5uKjh1UOeDP$s>!AFvfO;0 zvtPgu{X6(6v@#PKv}YLq7m{fQ%8POh+lvy4@83!j#pk@BVeu2b~~ zt|J0PneBXo=~3Hp)^7!$*>AQoa5gXGrNE34OHJ5CE5BsZPh0MDQbYj{6C0tJ^&oA< zpcnII#&v@0xCY)ngwUYFy;53Rx-uaTMObV9nI+-Y9*hRJnvlIOUoTE9|4S*2d(KE3 zkw_a_F`DnE&~7(o%cYbutEjxUGOFkkbACj=RAlum3x08@Z;<0ca<@Rhhez6RBMqJG zy^uWrn$*&Z=|U04Qm_Ib{{4x8IIJ}>C7_Q~OLZ9Hg?pCV8RX|)%+|`V)_DX=f!L4V zG}if$75Nfpd-J8Chd26*ZeE7H`Eg2GkPDh&%4_xzL0*h@$|gKHHd9je$xj3im7ThX z*7|3u2H%yhA3M?{-#7Liooy}J%Qg(j5Pz_Cb8e zW_VX5$D>Fq@}vecWv-Gj9i5WJ+^%K`=MItExdCC)k;6N#XvENM7fn@$VxdS8YghpNy3@29Pz1bDV!)n=( z>j@#Tg>I9*TM(t~vp4l(Mvj*OwF{vGO|CadXKVQlt3yK5UYG3-v|txsDM)DhGcOum zsX0UdUUV(vIssNE$fvz7B^PKwOHe_q76}S15*-T71{Mrt_`)MV@Gew`P_gUs0D6tO z#k}=sV8yjecMW@VGNgL17Vv{@BBc5cJ_bK5FCOg>4^w~7z`Lyv+$ic1M%J$&rMqC~ z-W<3*j4|i|1Oq}ruKsv9?0Z9CH;XXM z5deh(0Xi>-lhohSm~?G^1`WBSkw7$U#uv#?(gHG^F&od-(1_cWXu$PRDERC&2x@U2 z5;-=Of9`cEg76uA#o~el%V*-rO6_s}A;$6X4nhq`gfO0Sdc_}i0}4#!IVcxHY!DNL zPRikCH~I)_i66{u%G3UIkgI>8MxCXg)Dn162L*i-J z7#R7q@CVv&#OA1lAg{?a7EtWIWU1Q_B3vDZApfn&aLWmIpx6!!V)nl8EiBarRK{_8vf_Yh*#CB}rN zZ@)Dnlt7WpBGV8K>X~}I=#cP_Nd`>`;#dN_W8sgwu5sb0Z7!ReM*k7P2JI^l@ZbROzyCrW~Wb zBHbr4LKqAO`)Yv=Si>`oHcf@X#V;g3Tg^~Y9YsS->k*jN&5ZgLx0OXWz7l9F2pm7+ zi~we+Q1g-Mt*Z)WGn2t5csjP#%-#t-gSt@R+J}0h?+XQ3HC0xLBiedn)N6cNJ^u1ov+1g zow?m@oK=Ak696~B~I4={?sp0^{H`g+jQiT&FV zc}ey-eoP9ylyiBhnR|e)yVZbPTa#~@|9ML}yi#}zXU5y{b{*8zZ)W-t)!8+vD8%?^)W2ohDpF`itkv;x*F8!Lz!qrdck7?uI$@H$&tg{{`@fRpCf=-F7 z@xKAoq`5eW)Fion$!W^I?d;@gAvvt2@nU%*JVQctVQ!I`?(TV(R7uKBl7%qy!jM0q z=$`ZB=TQ*h(CEw}A~CF@Bu^+@ymJdypxF!RtRlj|B7b9-Qfn_LRZw;!E)0yIu+!CR zs5C7>sm&9QmP+o696$9BLE?z5H4V)u&MTX!h(+P16E}@T^Gm|w2mn<6r9ky*Fzw#N zS5=Owck~9w5F&>7v2eZl*7Wd`zq$lhm@SbIvu-c!?swif7ZIPHs`I<+^4ky$Ikk;C zs( z#n>v%gG!HA017QY36lgUHvg0vfHDLJV1xk*%|B%Xpy1%fPMwO7k?39iPJ)TGU4 z7Xsc?n-1~LQg&_zEpsTSt2Hv?_E;trSrOfPG=!j%NnZK7Rd_D$>i@=X2IR7go*SvT z*id6Otk_|cBPFT3j#3KoHZO8toHO5atkRLVxuAbc#|l6lrW|cO7igzw5_~N^6;k%U%lJ>rUgU)@D*p{Y`g?MTzd)5zbA757(%x4QjGpxIyvLCJjK zr?x*WGEO6fA`EVvU%u1Hx&IL@TX_Bef`JS`;7x9WT4q>A0fH}ShSU|T@T)sfqdROll0j-%M5n`9TqL-y^-ks#wc z=_l+IZi~gLXp&zDJgr>yoAkv6g7?2B+NUG7-Ko&Q6MGM)?c^_4WXdVyKeBD?U5q&l zq{RXbZvI|>Jx_Cm%Hyb=DenfR_ z8q?lMY{Rs8cw%5X5jdM3EGvr2!1D5#YXc4WOeRzV>vz=BfC+A$;A#=QhHbAD*P0}F zIOB9sUscel^TM(*^Xzm zpfx4#b7~b{hnI307A6P5{UwsL9p9vZ$&9?)wwZVtTGZVc9|1JFt!v6bdUX^MjkI+# zz5i!n+`*UV>;Z>p`+-9s;d5vF%+wa%vA!9rX#yRqDOqGKZ`mP&WIl3wG*7LkKd+c~ z2%_2-7AHd8RsryC#(84=J!Pom@)LA{&H^17C&ra}A|*y6h@BaS*UX#~|v)(AiQ7jSgs+>!cQxKP#P+nT>y{Jr^u+dvq8P9DE zY<)7VSs_4@i^Tssiq*#9gz|1aoy8nCmxWaBS4l4#&ZzoPAg}RGT05=rre?7@2i}@~ zvYLxRaI092nN2Mi${Ih?7JNXtEMDeAr23S!7_eI|Ln!lDEac`E)8YJrn zKxZB73qGtk^B1Mrr*D#i{we3vpz|sm5RyN~d>#auTmPEJt@u3XFFJY2ojCcM+P_TW zSN}Z+DT<9@-L$#p$3&NTxKS{-a0$Ur4j!{@+Hh&!u+gq$N9sIz!f!nJxt+6W2O()Y z3}>6VmejzPYRK=}qw`try5(uc9~R~^8)`g0ogG(pzVfIV?@~%$CD1M&%2Z=yLfHc+ zeB$vDHr#R+uD43!+R8gHxL^0v^xV$ui-on6-Yo6~&d!@Ede-G?dXI&j-QU)Bj+A|K zB7{jdY=4>iK z>-Dk=5i9pc0xZu!4+p`V+zW7_)1??y!A7!Qr{)gr&8oS?mn&~3r`Mf;KEp|_#-zgJ z(|z19UmMN$?(6OVZ|LLZ3v`)bn!CU_%E?p36Y}0k6%O4WvtCNynT3V@pD$7Sr7v!( zACVmU43W7Sy=TLBi#*cQzQbNmn8OMV;!ILgQ3s_j^#G#v0YLl#QMseh-xaX%HTM)z zs}*a*1-vS|^q0BWj2j%UqdQ)ddiIZt)kq zCDHhI%-=<;jd5}5AMn=wS%-U8L^isx+cgU!4z;hnn?-b3bgqPSr|4j57#&Z|@y)%T zY0aBjExoyHV}Cq>L3+OO)&%@;7%3{<_3I~JB6%VqBmWjMzU1^8$@71o*nA!WL@P&B}sXEq%vSK&oW1MHklECF=8>RvcDO64vtwqa*}R>)X-6f%Ebyl<@CE(%JAw zSXci635kc_%ciIAgW2bsbNhKvLHxd(5kH@#6Q8sb&pD?RBvU#?MH7Ef6Mu0No>t}r z!-F5ySdOYLBASon@Rd;HW+7TDiG><9{rBn_saC1fL%n=0C?;@;=clHL=UAeB!3ech z5_D!Uq(gp2F=Y31IWQmGwx3sAA*AEFnKZ;59v52Tcak&Z@AIyvRb{;Nm+P_MlEpK_ zj1$4d8N<0VSsnn&0Nn5>XfDXJ5ad+-*4qR-S^~KY+Sb9kJSl@SH)zUzg%~>cTqH0N zIw+LnvcDqiA_;G*-AY0iJ$m5wwR5})7(yA2Xp9Uw4t(mVM$t)3^zARbDiP0ricTfoGD zZ3P;X?-pSN`d1$-Xb}&s)oz+aNs|tT1<4g2<5dq8d=w{l&7HS!C+6NsP*$*AOqu17 z6|J$M3u81E3(Q6Vvu2tRDz8-^K2xS+NeKvc&95)@x=5HRqapGt%zdzZZVO#to>ikE zNd0Bb-F~2K^arJtEe^uyG!wq4jT9!4J`V&0#^WG*S~+fnDheQ7yv^`tn!HnZTMbEt zy5FEk;cAs^NMX`-ec|cHr|NP0w`A4x{klQ5-9H+sqy2BiJo%x2pqjf94%snsBQ1DX zl?FZXhc50(f&M|c=(2|%%Cl;+NfR#<2D8NuXIeyDwPMF$R@|h9X=r{77Sufv70v5I zj>ftWUhxcIG^GX8VjvhC2(PJHeKOJtbAZ=d@2RNhM=PZX9TePxm%>6d=Y(5v0m-60 zW&pxGC~F%zfJP4vBtSG)6c-Z|KWNehbt=PBkQ<8ULNDH z(20FQ+64NUR^Me?+QWdqh85PsjHW~H<0tz~)S|W=rgo$mJMZWP`~YQwelnBJ~pXao==xln7G~|kV05#NvX?ILgPibncrB`A1wV@ zCCw$I^I@8Zq>bJj!!a4U!GndE*^-UzHrB6ERz|Wt^#Z3%6X=bIsusy;uk2BqpRJcj zq~%482ar^L*X=<*~9tCzmZL2+y$%_V z!NM>$%DUjtBh@5z*W4OdoA%>f#p!un)3@QMjS@&Y#~4~DaD@a%a{qyPRBT%Q-c}k4 zrRi{85ak5wpq;)^Du)vQsH*`0D7Ku7i8M1QSuRq`y_Nt{e6Z+~jx;h4Zc;F4Z5(^T zh{dNn-PK&uS?^#%p~+czQVuE$p#?IM@g!U#$vK!`piVFTH3u_W!RSX`-@;;NWKpE{7Q}m^hY+9m#_YxoDi_xn zd`9~_)eX4van>Ia@@L+}&#ZBk43_MLtOTl8VWzP@)P}g2n#9sSMio(0}~N+ z=8i80EMmjVm=H#V5G4vBJ5+a=p$E`?HBgZtr5-yB8Y1-UWBhG2 zF3S6aBN|kd#4v6;+cXwNs4GwmP&C4j$=rh$+bwUd)V<&LDXpd#i$7d4y2z?X1ePmb z@-M+v5hm;o{7CA#r+CDWnlN4nSH2~oybB_e1qF3Wu@VW@AgKqO2sJG}8LiZ}geK;y z-iRHwcySh2!vXQXgHDdw9#*2q} zh-$1zd!VkvB!ZQAY-mlVi6+9q^js4I!Q;RX6*OaJgl=L8l%SCqh|jd~)=L&t8Mt5d zuHZ3bie|u{W2w-sP`ugx4MPXqutnIrS`&$}j{~vT?lb%j5*o7Ubv1dVsEWVcp)B%M zO}J#V4)YKWV7V-V8TiUXJc+oBpEg4R{0-9?L07L2cCdy8Y^$Ta*_Rt!bdk_r%(U0oH z-Bc(RY$Xu|wHS+mT(XU~Ph<5f3`(Mn$0^WZD?8(i`C6WPo{S_0DygU*NGM~iW#p7; z;6LvrT*Xdkr{A}27lj@U zdT!mFo!lLL>w!yk^gi7@nl0@Vty`*BQ!AH`a#w)JlnRqXdnw0quk^p%|83MRdjj_2 zxjlY4z0Yhlx5pOeZAjZo8Ns+ety%{e(zE5=1v%1_xw4=b}#+{Q6KmcQQ&Ip z03n+$C9Gumq`vcDp)S|FsmeMBQA;2tXDd-_!4mX8@0$j-3X3{rM z|8mrnW_61ByS8fDkdrf%h*xY75pt8gtGwb$z&F|nz8LkjuhDL!M~xSWf0a^JvQdIA zB%o>CF()~o4NPw2T3$4?>$@=@tBxtD;J^a^er=_$7Kq*CTd&Q}Em!#aa^SOZQ}LVh z)_)dz#;X=Y2zLbiuh+{(uu=?_NP}?^Yw=Zo?;1-=QEvHirR` zLont^z4Zh)_EAfOcMaLC>+SaaoWA$n&Bww1vjcm<;TMO4Mz%7Td)*>n(Xk}3M)mpZ z144~O{zsuOwQM)n_o;-}>bF`F%K`Ai>T9;@=}7|W!m@Zi|X>)Zg5-`@A- za(c@QMqaN3de~3mL>Mt_QK_?Az!LFALduKN9gWYGknOZE27698;}NiF#VyA@PKo!F z$xE_j*-ry$*~Dlm30rt(%9s{i^7bvoj4g}36xeJG>%ASH$ZsU!DY-GuDRp1_=6CS% zJvuK!uq4t;#Yg&H_ewgMX#e}$3yshJSWtT~*7(5CuUD$pGVS2Wg6WvY^J`n*q3rA8 zdC9MPuh23d)=DUsXw@HMB-`p5o_pLi!|#+-ZUmwbeC|hlkD)|2;Z+ksDdw)2Gr-sD z>%ghBu;=`S$M=itcHa)8EBL3WYq9UvRI3mhbKXTh_K&$I#Td9#&oXhq(^i!Pv;)5) z0ay=ldCb2i%-R(2NM>WcAGP|%_qf$jDeIFKUt_Pct9O$}7oVUhMXfV z6(`gwl*X=qtisY^Z)yV-kG7ksTgYNGwO#KHcX;%0?aNS4W4I5N?2xal4-fb`t`uI) zL>-{nj2(rgSq4fn!cKmhQk8)&jBr8jsvgdl+RuprvQBFh6F(TNIa~Y3iD0Wa7D{9Y zK3*N8M{}ce@K-+O98nR{izt7~?Qk~Uy6J8aij8=PQGz5UNiOCjv0gJJhQW;vhL zK;FcLG$gNBFm;;0s7o>CCJ8MvrU`t^CM43{uU1gz-^tijfHg4Tk}BC@umX?RI9PGgZq z6G@Y%yr^WQJZ|ev&|^z>wy=6>YeZC9h{NJ&__+XzsFpoA6c}y6zOe7!CYUmo&AW|; zXuw<_F}1wWmyhj|xum=h3eJ3QT+F`E`%AqNuH-jAdnD-DI35mL`T2kxDZz%YXBurPuc#rSn|iTHP?6m`~!G-4t8 znpwcyLAI^uA#p!JP=^LFH)1gI5UiRAl%T3SX0Vln z9c~Hf1ZQR~g|axW4rPf>6}7`550l^#om!fc7Cn>=-J$OMg+um;|24DM0EW zdY}RBzZ2nA5k9aEeC84hPh&W3bX;i#k9^$IVzt`Fv8V@m*yBOmEfB-eWpF5Ps3;ZL z%6z>Xe-N^4;IVz%1#3x9Bxr|M&|udr#`tUqs3=s4N}@9*Qc6?86gWYQlW=vB1ra{Q zF~Joqe_DCS>d+zyo4j~n8^Cq4@KqP-wlAwx}0WM71Bs?P7&A1ByXQUj_TOGuZ>~#905lDz+W-wJ0 zZ}8P-z|(9i(T56B@kEwzF2+P3NYNi#i#aVOXCuC)vEC1_Eh|^7ElXg^5rb!xP5yzr zhtA?;sWGK0t1&fZ7;BnF$}%M)SX<~}P1FEYjN$a2w^VQsQH;TCWXR_TL`JTwKqp#K zutY4cl&r04U$7)hP8QxAZ-eQ+0-|{MCbt`q#|@6#T&?1SQ0!WfNKvf9Y-4=JDol3> zDzq$IWFt+t&ns6hMrJ8Zr)nM=2x=S-PfuLFQ2ML9U=7jT6O&!LCD zTZUx1_}|5lxDB9HAptj!!Q}4-9Y}%NIsAg+o)Ij~%$`E{Gh>l-_Tlc%%9O&Rew1&z zZ;hv~=l&_@H(xBK{XYlHls>@lBU;*}KS}uzt1s_YCW3gAzqCI!V=-m#{+1PHEx38j zUXLBCKodK9r*o%sIdC7ee)&s4pn(Z;1;#Z?4{rR*{e17@vQKiEfHBoM@yi=3kQ)ul z7uWdxi4jy42C+vV`a9W0C|`v^5lrFq?LBK^a5;yKVn@^8nMBrj{&;^-QR}x^qgci= zD->IDiy#`w`LPfuV$q8vV$s3CDJxizldB%1sUgJzZ}%U}nLnO$D~mam9?>>U`=2L> zsw37AA-&uwtLKYeGYXfuG*nR(zL6>MGYB(v`x`eUm}D+?z?t^RAMabXMi0PznKmhD z3-OiCq?Xnq89SO!M1T{CzL1r|!iaf01WnmYHBa-xN%n=~-Rl_mPn{j%3q`Bzn?Z!- zRAdz!MemI)O_1ghEev4hq1MYHa79LpZamtrEd4;7GNkyXjI4Kt?<$;VQ`g?tvtl5# zqy3nZbeu1Jh9!&cKc?IsL4ii#oEXa?6-$|k7zr(amJ^wMs1r2v`iXczOJ)|#7&D=4*DOvvEY-cr&B8M%Msj-34e@DGZ5~3 z0-xqmE zq^)9lfusY;VRcs=gA#3I)S}_yI6;bXZ(_t-DCopf?od3wEZ)I71;W9Wwd_!T|5pVR zF8^xy4!@mlq0}1)ItCN+sV@nf?h*yES+D?W8dUzH6ig6~cb86yy^kAplaXrIUI#H? z@0QMqs5q=TPm>Q^4LM;HCV?>L5ma4|RSro$kVI$!iNWba-I`VI(OZ!;h7LM0)E%9t zwWH9g;3A0F%2q0#b?9QL9i2!HRn+!S5>SI(Z&xD))KCTz2(7|3I$UTJGRVVi3-cgkaVY zM$V0&QvcdQv%FeCv#hazg~NKyYZW~Zw4o>wbotaY#2ta`Cc}o*?xY3JxM&6$)Zss+ zo9CR{5TcsXB|uMO+YS1I5(8Sjod7cZBpHAVMez9B68F^DxU3>t{c}QUB;B{H4fPg< zKs}UhQ+XL_WtP>cS=u^iO&$w;Xc>nt(_I{uL!A&WTT{J`4Cd4ZHIMfEeyHDA zM=U#%PT*Ct(J$)icbUJP_sdwaQHO1NA&J@~YsNpRniJk*ZGY>X`!~LErBJ2(2jOyF zg1s~^VpS%{rJTwB%y{)hw5uq8E}c?hT-#qK8deg$m{|*%;`WNLeC;H+I$?47O4@J1)zJnV;t^SYSujrf1nN#AdySUTaGp%6 z{b-)SOZFfAF*?N)_Tih=ME0+hD0$;h_FLR)ZX!cz>|@iiS1dako$%s}2fs5m4(aut zBk^!>nA9OmiC-OUMD1Q5*K9y-ZHE}K<0Ezlt7lC)MB!3_)1e%agOGgBH~i zBVV5l)e^IqYL-G(f0C4wFQmnf)rL3ip}KQT?GD1L)*t}FRT9{}HkGHI3)yb%9-b2h zBDT&Yu_L!fKq7m$ktMKub1QitGLbb5p|-jw;*pTN$MKekVg&8~)@m>@1tajl ziloIEri*IYTa4Q$iPKFm%ZaSZNHkK@>tvHQMMe(Xu+^+4cV_R64_=9hN2trp9b?oH z;-GBtgb~`1l(t*2XHmD}{=)81Mf+p#3Co&Uvt)Y3UU*hg$xT`TAFJAUcLzi5a#x8?Qyt?<=m6g@F z)ycP$<@-hF?hg~E?~!$Io9nkl%it}QZ#C~(1@j*4o+n%Prd$MaD&OzA$On3XT)98k ze4Plh?asL#NBwvG!`_y(M0nnRnUuc!Agjrq$xu?N@#SKVODP(o_PlwgdM{62xNyJd zUev2K-g0{u{oZH(CNcL`6wi)-cp};!Svubhj=vt>9$xJnAFNw`E%uMMCwz{+?Zh(p zzRJ`-AzpQUKkSDEJ$!$h2=sRz&06`oGUuf6=te8-RgMncxw8{w;~w*W?jE{-4bB!O z9h~*+koa<^%PE*k3?9sb^-P;`=6riI_Ph%5CCWKCOMLEKy!@E;bn{KX8UAo}b7bae zd(He@ixTMXb8?Y-kdAH)4LDA45Py zRO-JwECu~ZYyZ>dn-_5}$wkxrdGS_p#R>*lsrHR)^-**)Z+q!ZYR2CE1B0DdX2!bq z_3Dw(PdB)z@5>fA7Wf$9X7S_x-IL9)_qDDIU5eJemQGS?6Yu?<_=RJ#GNdX+c*qKZ zl>V5gl*odJEFbsnjJJNl->p?c+bqT}&m-lk7`}DYzOB1z@0lC$%dV|kfAH!l)3$c+ zde!m9rtN`k>jnGT6aJ+ult=&I(|*p@#cP_~!Yo>j)q*TTj+Mi@rQNA+b)^Rm|s?ncl37b ze~PC^I-AzrQ`rGjf9ZdyW`Om|{6MdB-Muu@o^4&X@lGDS-@2jwf3#J5_CNmz^1rHD zfOvo=%mDGK0Pz5=HURh)+pbAKNB*D2Vb7t8{(~eFcO!_la3-nu?v@Abo%hqKhR?XS zZa^mGh0een;=RW=wJNs~g?~slR`D zO&7kjozk0nAGOJ^YE6Fwr1IB=()L#?_{)$kw9iA%*2eLASxE*Z*3@1;{IJ?gxj(Vw zBA;q2{uuK%Nnp$;n2me$b*~sC*z!IITQvC20EfISX_Ok2VVN5K3Mdr( z{YiwkSqppSz9OA5zWMJ^;oHN0RmMPWX|OLHU)1tPo)512E}TouVt&rEN}1_KxW!lPo(T-=lK5LbVfbN#PH%R^lYz)8Pz+ys7G zrZ5uxRf(*!vX@MC3dk}7($1b{K_xF02hF4FXL{|JUq{-yy7a|@l{OaEOV z&?gB4GnI;J?)-ffn@+7_NQCAoxTdWQN-97dvuDM!T$t3}Wgb{TChCF{&G2Wx4gBv4 z=6$a`Zo^?UESS2Exn>BN6r?*mMZ7xKCve27jgCLM=o8Mu9PD|Nb^DG5j?%Eozd21r zA_k}sNMTBw*v%qX*uyEa_K^Ul3t50^FbrVA2bj!F0VYR)sV?8qnMTkP;1vomVZl(e zHWWs&uxnDL?JMRRO2e{aFq#Yo2T~!Rz?3w(ngFw~3sR;b@8w4j$D)g}-Kb9Ejp9s$ zYFNSr#BgLo()VJ4;5Y~(>gq6ovAg*S2gE@A_!x*Fs=M<5Kw|Z$H?zobsN;&L`XXvH-vLLR1SA5)7N@p z4j3Db5NDypM#*3QVmuTpspMg9au7X;?YU4PoX3WV3G;x{4IWNZ4D z#d4Qj&Zz$3-Km?2ZL(5ZMQrjf2O+3sf-}$T)9n##4jIH!Z$Jc&z)tT zk|0+^{dY^GBfMHp;sC|8juMX$tJ%+Bg0hQ9STXWpE!1m|6)C5jX6ItUkWnS4aAE9% zczzm~E2NO;#)9||O4Hd$VULn%nf!+;N>>rI4nUPbH2~sv zNL=kBHa0p%SJ`<;c|#y+MnJ8zt8lDAx_E{42oPgjosvNCNm0P-W&yI`P@p}wJy|c- zB4W!{w%dLTp+X_W#JBkwtaNWK_PpLbs$f0%h`9m*||`E}(Tl(de%I;35|S8P&_Wy=9y>A@{{ zr6zs(n_oumW(t7Y2dt#z{ zBtiTyIsTv;=X2&N9XMh-LKEw!E@$OCn_K(nm$-p%kH-cs#VX!Fcc9_|S^d=!-&#-H ziBhM&ZQaBB^m0cd!6W7<;ou{Nvy9)&$?%0XW+-=U*X5SX#z~Pzfev8{ybK)0?>>CCKecLDh)%MZ({Z&J zL-GTfn;+7k0RysGei;zB1`xOqCpU;eD=J94$J%MP#+WYt)hYIWysE7MUYM-_ukg{6 zU6~24vTTg$t8$gaO+~C^tRbMM5=2l6^YxCPK$_qr_1zQ3EJ2LfZD$07c^`K#5R@NS^RPDmwZ@hOuBzs+{te zELq+K2|$zp$f^qff&Pbh01$an3}q*#um+-(O915k590h6$N66zc>wei0QC?9pdbIB zLjc4Jfau#Th>C4EgA>k=2<2brdLzS$%%B`Oc$DT3fy^1g$-!a$g7LzKnY%UnOAJNC zaD!tA_t9)WkllUQ$qCVI%gTc5kVT6FV+4e2XGg%Q1L4uzk=O&r)avdeZlBiE`qb<4 z3vdR+k+xyjzo2^gaZo>C*j2$q*Pw_E`$uwt%K%Pe04FkJ_CQK4fRi1-iBP*PpO^~Z zBn8X(BkhS{!%x4Ml;C9U%!-)QYy^|2ONt``AvPqFuT%_+~%tg{*|A zccZ#+IG@aP&^Orjd2eddYt^5|=sl{KdD`x1v(fX`Z4!^l%v>3Fs3!PLz)yd@T0J;S z5S6K+JzQIh`7(*vn#ryF-ja#8Qqr-czMQf@0KZW)Y4)^XOc8S)ilq?dv3~&-mvi zx3<2Iu5VkHU3aJ6SH0#o10V@g;D=m;y};Y#-jR59N%d@Mg|h1M&`#}pZ)vw__s7*| z*i7C->a!8i-Ek(z&WGQBC;6iK84Rlcl6xZo1s+iTcapD_y@@mI_|){2Ox!OiTB+z? zlMInBQ!@0@({j@^Qd3To;|vTkbj(XE9L&m;@^lljQ{z?AwBu8=jSbSY(lcYUGHQ&h zYK)4Ez{Z3F5HU*bSPPQzut5Lay6zIyTn8W%d>91izf+8zO^s3ji>gIIq);bHYi4Fu z+5{k2MTBnQ&08YQP;q|2Y*DF7{rIYwUv(M{-7D9&3tyNBwZi0c%f?IB^H@qx2 zQ}SfvtnBQ1@)S;1EjUfJ2DpR%vMkh2L1vFv2#*Swm^UjKx{qu?j;n0wG>N#r{)%VKp^*Z5Q1KGw(D;_vQNoT%>y>Up9|vJJC+=q;NmjH|Bv z=L-*tyidBQ>Z1~Y|7(nQD1{9wV1aZO!%bQQ& z=8jz>`*A~m+_To!O6uaNp8ak^XEW1WN4rt3WqN^3D(Om$3j~dlE?Jc>g<4QF1*xe3 zX+EeB5_sVYn65~Y3gk-)R#-R?MGy(tug{xh_N!+$v!YYUxc6MR`8Zt2jMK7&fi@#Fl!S|P4JRAd<%g=-My8yE zi)GvvsWwr##Hxg4I9=b`4VOw`=b0O-PRvgC!DTY(ViM!Muvto%aiM^4KWvdbo#v$J zgF%_JSJrIdJ&=|OH%{({(7nq+6H6mu7}r|CWfD8Gjas=EMx@N4&JD+5l&UnwJ z1YC)X(&Qbqh?#)*+DMv_Z8D1AO=~*YCEzMIl1{?a){YgM*>+ds_dX78VQ(mF9Z*H(Y+8v zN>RV&ua7*wTMtY>nl zByrjjbD5TSO-rT`xD*`)M zu#$|!wa6r$EtVh-J7pM0tp$W(Rt8Je=FaINp5N=-w@<#33&Hi$U!G|c3L$tujVeM@*wPz zUG-Wy47+78Tb{AlDqxI`1Y1CgwyY!>0={PVYpKUc{m=0yXbyT4~^sWDBNvJ*z!J1($J{2=qTJ{OQ`z@ zNuz0A&qpy*mFGp^Vl62fP73 zYsh{yFJa{6vqEs6A$>Ij67V^E_)Oq>o0=#l+;2$#1m4P(Bs^fqSf)N($=33d{1OVm z=W*(Ewv>;-7YwQt?!tKe+J1P@kloekMzd7Ghz*Q{bW1l2cyPXG$ly$6d!>49#j6Z2 zbO+#}$6q)T_CnV%9D4OHufMrj^ox59@uXpW{?`zX7~&rBj3K^Zi1p$HLu?aK@vtGD zGsKn36M*|3ddZsY1@H)u@}xXGFj5|$iSNX-eR#oxvHtdFE7nHwHaZf2GQ=+NgduX` z3M4q~By^T=D9 z1Mt|>=sFC?zW42iF(a&67*2nS#s+TAfPvG3CbuN7FnR=U5Jn6R7=y;R?`m(+6NJOB zxx5{H?3?V(i=LnP5_{_kK$q|;A3NU%G-Ee%uan;#oUL z1cUfj5QMiMgCqyK>>$TZxPqMcnqwue*g<@&4H9++IrS^|N`CfKyZEP`qw%{G-*6WH z&`FoKLr>T#AN`4)vVXNHN1Q1ie!~^y^rLo=!#}ixgjX9xI)fbfwVOuw+d+JgB z+3!sG)F0e@dD`}NvDQK@^?2ezU(-aKeh`1t1Up>S%9PeaHssLo$|3Ctt4fS zs{sFUdwbpC?b(%h^SO@SzukQLj^kuFI!0i%1&F$i-+$a~=@)jpKK8;&+I7I0^65jr zbrs+NN5}ZeqwSQvt4%rNI?VUFf}D2L<-~EjE}_*15iVjp=nnFAN4tK}4q~o0NWu<+ ziU2%*oL(p+*2QTt#0y4?m8cJD7IvcC*a_v9PbjzePL%r)d!PIHwN88;k8sTC2*t;daeA<${Y_Z8R z*AvRO09S&#z8ke(#~o(e4;ZCR!IP;oxKS^JI?ec;6UrTcP(JZ))C*>(8NXc!Wb?2s}d;rqPoE<$r87Gl|;!O)vaE2^E|#fR1fR81*={y(FLOVPzpr#)uR;a zxUs8#_QDs1TB9wgWvjI;aidl1bY|3y)p{*a!&V#E3paIjj-|sVa{!Lthg=Q7lP911 zXOzhM{23apOPW#SBK<6^xv=-(lJKdG-Db?%Li)KEgMM{MznSFCCKyS`nt(dD$BYwy z9ZrHO)nPxP?Ll48p&8Vgkh-`-Gp;qJ+SH*L)0(h4uS1j8nuxlnLo=*3QFUR5W=Lyd zYM?_isx@(S{#vuAFu$~G&&-zT?3UcV+pXi#Txh0+P*+`OZnSl0iAi<|HT;ftx;kVh z)#wIOb;Pnt(M&g+gCeQ6EmvDBV0E`D449kF9!1yFG942=Dzkh^97go2_b!iNRhDD) zsp4|AwT-M+YI3<}YlY~!UtPV)TxaXtJR_4e>ausNlf8lwu~uDqp1Gk`*m7X-9ckLW^#f7y{A z626dW{n{ZtF1!fy;}v1QX$I(Lj#I*jo8iTUo3itZi{t}eygutx2?GcCzX3Gn!7!UG^U|$QPv2wbxIQ_=Q@e)eavs~ zFQ?bgKAE}NjE~wFQgd*z!6uE~duOf9csGk^Pu6;mv1qf7-k?Web@QBH9gG1C(uStN zcVD_0hc!r7hwm`$L9f9cmc6e;A6>l%TKal)3HVl{^W4Sj@p0>0A-obFH&5#LAJ>~- z$Nw}m8B&fMOp$o1!Nd@L{4|X#Maq2VPs}6^Wu6QTcE;9u-{m0^Z{*88&pvZT6|jEfU`Qa zg}7G($m8Cp@Xg$LzgO=0&OU~P%#vaoEKchXe!K4RFZ^Bi`?`i7xB4+$LtMdJQzBfv z47A1o4`B55U&q$6H*gWY5eJHFip}LHLwaUNFZPx)Y;s(H&id;kGE9PZ58) zTn3flAfHf60|XQR000O8$9{fJmeW+49X<*6)!@$wDGVgH~-!e1nEtewi&y`)aPtI#AkP5!X3zyre{us>`v6Ft2p?hpC{V- zdjtab02S)emumw|Z{6!aGykhDZBGE|S_c`R;b8q(k6)$*l^lUM4x=_}FOR27mb=Zi z*-6u?mn~>+iI^dTB9RI(yoZI1eP|uc2GM&kdL9sRi;j8Eav9e7wM~Pjy8XO8RFht} zkj87z;VC;0fuV8qa*$NP8OaqY4!o{k4{X)fed8fBK1{3oM8n9B*8a1)OozVGT&pC( zx}QH#S?@{$_F#1~*a}cGQ5wpK-A6pmoSDX22Aqq4^7v;a#QyVfDKQ8DrZnTmjtt75 z(EDpo{^X_#(+ka0ftlCG2QpcE;e0_JkGX!zGqod#)%IH{CEG1G64rp4#D;+L=JLV~ zSbERWIX4UGP;}&?>hcJq5qM4rs9YS8Ws_RKmGrlv9G|W&C)XZ^<3ugI{>eCR*(M@I zWuV#@;%})HAsezlfcL8ih8SS76)P7wN&H#xF>gAOoFlwDEz7TwxUK_xE5_ybKrJ;j z#rUoeJ%`iiGRXZyRR}Rg4c9tZ&>x59+xDs6Q|+ndJ=!$J0qFx1t~r(UJH}7??{_G|4ikvmV#MfD?_+37>%S{M}`nv4Cm8n?ysBci| zll2_n3uO`JX-Kekz*JzVij2V%OKI+>`sx70;E8O=OywAv`q#6YqW<;_{nCsjV{ebeklGcK4ZGNftUQ<%Kkqk3-Odo+31Ck#M&>0Y}kqn+%-?)}^)?7js z(B_+^s^5etitZzD-lEH#V!^0e+G@YYze@7z7yd4Onj9{ z_JL#$7mxGIYnd%QaSieZ=eZTE9_idA4>&4p^+&f@z(ds_(6rtX=F;>mAzRyQwj;Xu z!JiwlnTO%;nQ!$F(UU4UvfMc4zm=bwrmk}roR}rES@W~j_8;k2MDE=)LVER}IN{=u zatLcS{{38GtXNI+2!<_oA~!JQf#5qxP{2e3qy%%{;kVykOM%a-rxg9P2R1IB{u@aYzH|Ib|C_RS(3EkIntf+u@S& zw4v5u<7mqx%-|c7XGJW}jIleYURsfX z@?~6JE{qp>iAYR+?@-TS6Jnd2RH1%m8(oB39~DzjvhTW4n&)($W+=%Yzn$n*PnhCs zsogkY2wnkBR(b%_gW7@_-iS22wI$z;b~^h?2X1nbYx*ptfPEmXOuHak%c{j@NgB>+ zossl9I%_Of-AEJ9U?w6THDI?AuB^lDhA%jc+{DApYI_JrQH2av8kgOm&PoQ4hg{;0 zAHF6H;-D5f@ZlP3O34peaa~lt8h*OGk}>as4o0$NT;P9E3lM{2YJ9m}+w!LEH_SxI zM>`~cX=VZ#CPsQ&L}!GnoNS~oh~=O`j&T(&x?%*x`~k=6E&r5J(DL^?#}lA+nU4a1 zEqb*C=dZZC&_0n2ea+>@!}LgOrH z$4u%y>(IPO*t|#Fl;p}<4H2j>YNsuwn$cWO#ds))z(Tc-{!5gqrhd7V^uG0&X{|hz zstx7b4{|!}UQmzPv4KLuNaRbU;1snzmQ6vZLQgcQ-+o5Q*u6sPT`&xwO2uQpa7!k@ z0706v$1@moTfJmckOB2=@SOuYdpaw@O|=?>smQVQ*L^46C#|=}_9{B4Rl7D7M30FH zCCo$VG9%`T2xS5;Uabo}2#*1I72Y1+G3}>b6*t^J&|1&OaBBbnfg@NH3kpU_Rw-CI zbn~7p!wTHTCXQ)wn_uDgt^>#jvE;=?t~TZQTTX>gp(cKqf}qtqR}FaxpIapy7glT>t@?hi8RXO{Gtd5-o%W z9cF?9(ppBuuW}o2Xg3Rz-$!{&$OVbwHDxCoKBWXR1x{UW=RMa)T!8i89*moT8H+`x zW=!-$mGii&w;!xG!(9>ZE}#FTCAfhQ_~QYjIicxlF!eRA4(;C~6CK230lzwK1!Xh} zHwVZ7#}pR$cLkz}%7FU~;DU&7xP*ad?>@c17pS6*avXCi{<3pqf8HXq&!ZZMq&2i5!2{bF}oHTj|O0e0rv|5qeyIR6m>>^lcAAaC! z21-gboifk(&jm{}CjOqD!5qNFW84*;31O}NUe!@KTu>dzgM){fNWfsmYC%okE-Pr) zp5K;CGfOM_nB;E0aSr0&cU*p~)J41(#{es|Q}UkTng}}l*#8_*=v5t-bU4yU1-X}w zxxjx^HZ=@Dh|y7Cm=+#IHU4ypFF)uS)nUCq=tsbZc4WjYBr@K-ZulXr0^kqQ8R6QS z;t=OlKpc1|A^Bi2fTfblE;UtN#!trbyoga|_2N&EW#dQa$Lu=)4_~P?>Wh^9H5z5B ziwL!N7_jrL4NSnY{eNFSaugemDDRf&Qp`E5wWuzeOZbMn0fGMxytkw7=oF)#j_|7; zNGU!XWA<8-pM*ShK-mT{RwzSmBmP$*u@aqCx`+m@CWx3{pG&OY(PY~kx$mf2WFh^; z)a`Zyc!_SG&Iu!9haGp}kz6e^WZdsuMcOqj+Tq2RW(RHP&J=d;acNMuJw=EQvCMI# z@{xAclsqhQulz{lzdy4^#&lA+Rc|R%0|`;G&1V>C84o=q<&w2=hg=-NdbD zKRGd7vAS~0!$JmkAu3bG{I!h?rPvl2^MPkd$o9r%SsK0RBmgbGMAg>>3E9(SySn7O zZez%1x-}jB=p9LFq&LWjSAI-^;OypX%RKAy!W~4Q0F>DLM(Pnd01hrUpf8I~%tA!kQE?`~SkN(ZL1G|cPBA{j4`q;xdzstE4 zrvj{m+X4k>(hdf~LXo*UwcZxj#h+IGJs6-SJ6vDQB{qi6j$4ziJC`&@TyQY+=q&G| z^Aa-sQ3n*7n>HG-%e5-WJMDlBvQ;xcyX{r)u2=MP_<%EBQThA*#<_0(%eXparE0j=n3o_*z8x%UEaGqXf&f=yy2v_VV+2N+HN zJJ<_Q!$%PRPoVYdeN`WQY2iYBt}+gi&<`ag5cPT`W{`)z)**lY!?b&&K|}f zQP=&SL7;1w%@x85R!@=HgRu4-s1dyeS+lAa@NPXAgEp;SWr6{K#wK(WatoDIFS|DN z*XSU2{~pMMQ1ouXHE**{VEBLNbSd)nM_N{xdN>#FDS3C{VBQfXQW66~3J_GJq4TP^ zD?VKupX51QsR%(Qh-HMEW0n8XLt{Q0W8QWcpmE-g*#wX=jNx4_v4acG+FjvSOc6Fk z2>4<$vQ{#XRgY%Sg;1+==Jkd6@#)QpC6n{M$qjAE>DOFP;Ss6Sr*e*c&Is=7)rGy> zQq`2EIrG?7I?9ppwgoaP;6%2!sRXJa#`cUEBO|1xbz38#H^+}fhgxSZw`I}5lfa@%che^I%nLhUAqj~tQu{Gpad!k>} zv<}ETb!Wgf@8P!5ZwQ)7)FG9cG8Nkra3SXbuzPT!B5B z*BMM99Y${&m7iM6;sYW)>3sUyfS&2+ZO)hwNE$o`Jc`D!G0x93p;p;&_BV?g`aaQ| z`A$2PO^fij%&^R_3eu4F_f4gbStfk#36|&om6rfFJ{x^&n>-sV7jlJ1CfyB)X|my3 z_63{uIlnENg`}>Qo%cc-qe$mjkq%xUwn`?iEvU&4A#?y9&g?9^zEOZ@va03{8N@;Q05NB1QRq=H-=S8C2BlmXFmHAAOc8uE5 z50*D{!J0ZbYAgDomLx{zG)Gv~k-q31GTP3p!9|1YKPZJcwybZp)FJKVv}6DyGw$ec z_!)PsH&^{e-KV;n;d@}kY>gp8{tu)ShkT!k9|1<#w(=Tdq90lo0nDN~nrrSQT(B{l zGzB9Ap&(9e4&w^k&L$O0jY zQOIK?IDL5(HHPGqoz^_?wrF{u2jSG|dtQFE$3`o}$+?YtxHhzE(IvorIvs*#5t!%n zsvH#pH*;7WsS+Xbgvyybo`YQrdBc9Kz+95^R7}}KS4nx>&vJ~f)rxz_Cl$)GatYn? zAsMcHC#RWcCp*sEKlbVz(xrG9669&9R&i_DgGM5bfcL@*?|94p6(e^*BZAxz3n+{BPkg<$gbG{T1H`rCZaY6@npJQG z1v#CC3v@J~HD}z~9hHp3zNa4|8r#efZ-c5UQ)E$y5)9(dQCgWUrU9imKt@og(Uw0X zy}gJKBml;8;v9A4EZgeu&Oa%Dozz}?yUQ{HIzT=%-5zpb^mwLZ`*dXNyn6JJQtZ{i zyKtdeuJct^cfL8Sc%>(7q;fH8sdk&DRUqRh*)n6_dFsOeS<5##2jL2fBq$v-HO@2f z<6a3o+}E43_CjKQw(IAAX7k!ND-x0-NL+Ic@fYEy+Vs))kaJZBZYMCFUKOInT|8e^ zUXWSh;*DuvW+Ts8sqx8cqJkbPbPJ2FZS`!ZG6tkUM!~?T-mnvE>qmY>Zl^q+mbYZd z*CeN2ZTR^nbql?1(<);&jI0RIE0E=l7u>!%$$f@iVb4AkDj9Sh7i4MigvpfMw1>Eg z0bfJ1=kta-jC6($uTxa5O+diMIWlp>Rg&l)Uk0+|fBz7Pr zz1@seeevbdnR@_=t+O}M(@zi5j#l%AM4KmcvsrBN#jD_BCph4K-nz++aiYaQL-gM_ zJevqYhir|QZoCj6wK*0JDRf;!#so7&`np=JfSxpDH4L{vH%fH&nAwaHpGS|9+xqTa zkn(5`jJgY3bGD0+h*7jt<4P>c-ECju87JUkWen@+iRq5wHgp@L#>~Dq=N(ix-rcNU zd|s=UxOflL5CWXv?vxNW<@AgdL;~8{Y|&Gua^Iw3V&kJE`u<(_B3jgQ1aYF~mdY}D z)D5|>?YRTodtE}YmDzc)md2BNyKRF%mVzew!at9GwMn}N=^O6Y`Sxd3<2_$-%)sjJ znu-P89e@&~Ot#IM{~6l9guu3w^#K^yyQL-Ih-6w#b){2ADX9ACLy|&fP_TN4c0~CU z5teX25z#5f{_z#2ao?jBF%dm|-cWxa>JK#14P|=*(dOzJeH(`{ZA2^dU zzaVuG^-c_D2!{$6LF|}27SjGCQKj~&-!i-6xXX(c-X~xfw^YylZLmGA9nSet%+SkC z$gt^hmG-~u(yoly-4CdukwWDWm)E>FsTMESXM-VF%*%#Vg`7UqjK9&-u9WGMj0to6 z?9737b(}_l`pWa0ZH_{DIceXUQ@-EfOv5;%Gt7%E5e5A4&@A2CT5%WCrPJRy;IQ>d zF1%NPnUt`5G~YDm^A~AMEJR;RNQHbb)l+U7z05r`2Un3xYO63PVNSoZN^aNcH_^8Y zGvMX2U9J=SHRQ33b$Dm`>@>ssPq7Nt>H(!>QiLn&HLaa-PR}i2l=!8Jj_5zE1pVr2 z>#~Mq8@Q#bb?~h{>7r*AJw*>9USkZ{afYzi-g#IJgH2i-9Woat9mK1?t%ax4w=oAN-y6k%#9+t98aC8Xe`x43+$YIdD6juMmD^mVz z(2-iG>wlR8Wp0FXQ|2Ir5X-m7i;%pfb-hI`qUD0pF;JZ!<=Wfmcuv0BqbUVA(!y@w z3sf!kk$E0R$q?@Y@D&us_}W3h>Jz z^X-oHAcCm;=aTn+W(OXaO`Isu;8(zE{2Nh*$jSQq!CJ|a0HZ}I)v9_195}MG;+VhX zL%2i7`rUFsFSX?3N2h2orQWQJd)F;~|`310yxpLkFoN~ zJTx1oH%VTJ$t>cUBl~<3@ zA_ZKW+o3}SLw2k`D9hhcMV@Y`Ns09_hAJ#CV`W^d=V&f(;HYHXHrs%y4np`2hVK~B zqAq_Qm-MpaYJ#hftwjLqSphBUMjq%8YsbWDFeXY{3r0zwWp~jXlGR?%|CEno8Y}l|!+jdTt#(~W`= zVEFCQ52S3zzAlHYJWu?=_bVIx=pe>KmDTjmhzeZK8zx>U!ekweF32dM77 zHbb{@h#tG*USqfl%Cv+t0FM(Wa{Rrxd+!Ief$*6)^lgsQd|=7Dm}5mO1E%;ZKj|if zle(Dm7?TXZvK3DCz=Tl|^Gh{zB0MLYWl2G%RF10`=K(E7A$8P($sk@X)WXf0$9uY< z|BBBvYHe89^ag{^5C(}0ypEcIy3j73w8ZrA037F5*a;MSm<@pg2`Zs@jy=)YI@>!H zDkvOQz1CgLkNAb*yhB{YmwL~r?{y9~U|6dy?XILNJ3z~&)eN|)v$va)s?kJ@$7%e{(hS$Ti% z+8fRXOQydZx~51<&HFYWE*)E54n&A|8}w<19&bi$jmJw0ItpMsm_)bMe z!MEVKHM>;ANEA5DmU|7-^GWrdNj|%1J0JF$5gcy{PF~?JMOC0v#%pctKRMR`n2$h{ zSH!E=vMp6RnPlw^c-|%{vi#uS3wEz0+=3RQpgUs6ME)kJV%hBe*FxP; zRmIBA%Iz@EO0Kk3YnXEjmobj-0^Z_GR&LYBE~&@YBFhq0LTpv_-~i*tL`=ctvy`qY z@%c(dhtNdugL_Np)X)4Ay(OxG1fNtk0ODnot>Wd9hVin9Usa(P>l1CG6c_^@K>z~t zPpoRqD0N=+>7CUduTU2pIEf)8Two&3F__yaGs@wXklO|M_-<3mvMgrxIRBlZ+I6=| zWzwLYpK=v}Rn_A+<0fYMnhP+Tz{(j8#dEoFaCd<$bStQKAW%WsV><+SyC9&91f&-> zPr^L+sT5-+J#E8OJ$(2-N&&Y1NH5=rjJ(17qQK{x_?0hNeD}x-H&Xcz&1X6+h{oh`=C0rp zU~<%zx(8?s;|tLbptM|N_BtCt)=$Y1(4xuaAdga@!i&)$%C`&?Z6@8U!5mY7KxL8_ zjBBauc&EQJci9V(m%Ehe~xUXrM6n@0)M*!2n59q+5`fclyz+p4e?t#8sRD);kv_RdD_U6 z2Hhytw-@N(h$YgwQ9QTMgbLQS<3(2=vEEAt-^m;@xi&SIKJS5-GxD&m24Q-G6JC%8 zQ&n7)9A#b%x%L>6)~VCWQXW5mi>iMMlq-!)McASPYp$MS?k^)pe*Ob?WDh(_u&dK0 z2GTta&*`n$FSr2?fVud}U;}UFDs0TZgCPBqWkQs}1*9B{@~cF-Di7AMcUHA6d||cB zZT`72e%zuymGxI<6!i!3R~UV4sS0?mT+vMM+09_Yko9WEK6L;E8!7zYkpi%Dii)|e zhr-mpzky--MvoNz#0SM-h_$?fW-MDy-eri80o5hma6l!O@#w)fb$PX3HM5#UMQ_4#kIPIIS{PcI!yTqnyBUSLalFHv)!)=U91<*c87Ow#-ay_?S~_04ie!cV z2}N-TZbj2U*(v#!_|+ijwR=jbn+_FIYb=5sXVy)9;rpZrC)fX8Y3fHc#Lmmn1zJTt zK#@cDYu=P@G#&U#=NFm*aH$d3O()r*>8jV0?N=BZ_fS)OVcWft9#H8b3vR z`MDhQl0dL3pPzlPtuFCJ#(!>5<9CBZz~(G<3K%agq+Gi}PUvj9|8Qgfs0&iC>sH$sgUc*#!<$ z4@2$k%y6wM9p9b^ONNqzzvEqBns?6Jk+r7lqoZF+R|2S6s}p^82(H4;Vm4)t;*J!F z6!~ciqIFXQIg?=hAdU`@#3&fSfcH6=#QmAQ-}Rhhdh{h7v#6~UHH3HpH-BqRMUq~N z#OO$)YZO5w{85flJXpkgD6nkA>KEADS%~%Sv!BU|Tn`+lb(NSDJu8puOBKU{$b@{ z66n%dK5E)3&4#X@0S}iKUv{U{Q_)2&rNGkuhEKr~maB4ftJx3XIm}CL=-DO@e*(*} zzF{CT>WT&a*Hq}clp5|ziGtbCQPeQ|v!Q3>RzBl!&LM$>#n<9$_>)(}9GZ~h@b1}# zO4)iZ`WfQbc;nn_`7aH^$BJ`hWQ{ZROn(bBH()R_l_PUW0y!&GHlFY(%yo#5M$#-e z%12|NlD_0QLRRIA!4f@Mbw10HHFrEGMApYmQi?AH$}0iJFeKYura@S=#>Jfb`%T_@ zL`x4QJ5vmB+Np>>z5Z#Q-`K{^{Cksd%l}%Z1$FOU2H9Cj*JVt7m+9%Ud5g`r8M{BF zA+$T^+ISwl%38tuG}+`n!BXh;fglNPOnBoaN?kH{EF0~wtXU9RV;WHZ z{%ThzTjNI|69#NG9PwEH^~?=g75IMxT|0vwbyD*}K<@=7s9_m|BD!G{XG%4mIh}OM z9nC-8YTP)<<;IMcVp=@$3jAWpry{vTiUXdeXq{?|3w`tek|LNVv2yIMsd|IjO09S3 z(^?H9O-NZAlA^Nkl!JwIC?80U)ZsdnMA&o>QX8inEK))HX`0ydxN3rZr!ze_Z0_~T zJ(sG!V~(fJ56Ei8PWJ9qkHaBJj%!X@CNNcn`_~?vE1v~^ew_)HB=wTRnVn_^QM}rNV1GGf`()wsv3sE@uP1?T60NX;NEUw znvCfqThhNMqF2kMJ>`5V!ef6Pc%i!3x58eH2kR&K6h@kB6c_vyL~udX9y7J1W$$Hy zR6KB5CW8YC2PmzK}Nco9%)8)S{`R`o!_jI8VmMG+z^b8r9iGdWzOa4FP(#Z75cV zLc28f%CGe5T)MSdzv~q1jPIQ*5%JRHR>p_n>g(h2DjH1pg8;L3oW%1Q8x?0T+&Wyr z?`?ct>fS-l1jAynDNZ*{>=gf3p1jPP2$D&NfXXyfx-UkmRGKPbyX|3kJ<#gPWr9>z z6G{E*aXarW0R}{v7|-hT;8j>;C@>LMUhM=xytwXxBj-0`cYeli7hIP&9qBxa6UCNn z=FM{T4>5auTf9wZ;E+$I%~_qpFwED=dmMHPIdhjQ`eB}by;~$9b0qQanzPvQ~`a43NcTm3yCN+^iw}h`&)qnIM+pK zQ$A^AMnT9m0=N2KKpiSxZPCJ*v6q3`cHz809B0>hs3zWMG$PpwE}-LDuPij#SI}EU zsj0rpf#C`4HQ zate?(K!kAKMNU98D-aHhT0QtAEc%dgRsDPZK?GxH6kfbzJsp?Iv1e=b~Q5=Ll z#g26%k0pvt&H7#r@lNeBTk+<|cnsP?MU4V))D%4|-@Pj2;ThIZb@1nIlRtNM6Gz~v zTy}4}D48geBRX?jeILK${LKs6S-~`DqWg1&A#$aSp#rjrGo3Ey?P|o!hv;G=GU+Qr zI>9>zHktVK+J$ykzW--gIx0uXK8Z3nbFI-G~9f=Jgci9bLWF;6HSBh7YV1 zeMG|($k}5nTh#)a?h+mE?{|MI)ZWh{O7V5zsb{&*c++Roig^JNp_2q{xQrjFlYR%NJnJ$&Kf2P*q14S7hScDy$cu&WF0a)uIUT=RMG6VP8tUuR*BvPI#x+1JP2s7uzH+3huYo1zlp9@E&!b5w#x9$5Ij3_ zsEPnhc&x$5DPZDU^4y$L{6{czcf*h?ZSLegodsV$+4@az&NU4sdw+E`dDeH_=lySb z;}@jG0h^&ObET|dM@5++Y_O(yCU~|t<@d%yM+I)tYNT`PAV4+OU|s zsddUugya5$BST{ic8J?kbDg~ByGM-aQNmH{SNrZ_y=!#>G_-d!1r?#*qeJ!KDkve; zx90#4#IsZkCRJ@*>@c#?rk={0_arXcoFobtHv{WG_(k_EO-&!0M=>-dmDUSz zn(8(Vee1dy`C_6J3r6)tx7@6{E6y7O8^{*qftBg!yZ%dZRYbj@P-l39)j8dun8e>? zF(Djl>J!kyi;b%hO}j34otok$Z!K387_^A^h&1pDu(+KEbM%-?F+z?r7C-5YcfSjr za43r|gyBKunM3LF0VFTMMOpKjYJQqT`uM(GeBBMbZ@4J^=}5z|sP4nnh5tMTvK2oz zkg&O5($H}WEp5huV|b1dLIZCJ1LQF7!s4zbebJW&R8@s=Pm38aKlq9g?f+e);ChMacPFxtT@FvY2*|#qD6$r$y2}E@?$p5uEXh_Ywi9^G z+(33`;3!7YjuNTg8^WP8{_7UaLN?M+!Dr3iFS_E@Y3WR$jUY!G78W7#kZ#~h_cP9x zS}z8%&BWomxMn&8u;~mesgFK=&M>E0CaOA0=d_(|YV(GW{iXl|C9I6K3Yll34Ti7N z6k&^O{NYJi!_FMJTjXA!AW&daaFK0?*U&fEkZMAAnNp2QQGw{UULBsVMiQe_z}?lO z|KC>+E08I?NcsO&P!O`|8Sr~&$E5j4x&gp{Y(Z`hXfORV(Eq=(Dih`jIMbkF6eI;HC9ty|7K;9$RD4a2kjx9^m?ZYCYu?CtZXUCs zY%`!JNk&{U2-mCHzCd7Ha(jAf|9svOHMaZ>68{dNQW%j(qKsW-c6u4Xk$udw7Q;*F$GfzO=uV#ZA}mmMTGl zww{kgfga*QzQBE1w=eDsibu=`+^`rr{x2WuHidw|#wKtrhOHMu{|ba22Jt#?JzMWx zEe9>N`}{_u+K|l3go_SAr<_C+O5IYvY2r*-uOL3buX3_US=T*@Xqk&Ux9Bf&EFe!? zTXc=!ZH92HdajC1Xs}|y$iqgEhZ+KLEL(+k`A=8NONNokfUErD#ev}m(g2d&6WrTB zb2#2_@pV1xq-+6+efsD^3=wiiIc0`HxWcGjIEh&(+=AuxwpOjGPD?Nn{+01UG2W;A zm}uBjm-8(SLOb<=geRzvmOC3EH}DK?%DLnY1u~CSALJO(=t|=Ym-9?2w>`1?>Ju)? z;-5Hfe^l#!{S!wsg|6!#<*E&ZrH^Vx2TMgzip)!~xL=s=nK9ie4L96+k25zEA%QnL3Urw?fIbZC~8 z3z@EhypJBCn7jy!G^!Xh;v|cciEd?4v5&Zm)JVqEt0WuShEJV@p8fc`O9tcu{5{DO zRbSZ^A^3CvIQmgX(Z)pNDRlz(JvpC3$nCME;Avqh^)WYrgKZ?mogci{?M%p`s6J#ortO}pK`IH*fsS4fQcKbN&c*FVoYi0pM;2ArL}o4# zB4xPHrf;WJl;j~naQGj}@nCMiD(r4-m+~PkW~uvkTQUgTMZvj>pR=VX+xjnSD6es^ z`+d=U_LoQVL5UdHJK4!ShR{(cSoB_>v%BgqzbTD=_;j=418pkaq-A6e*+|81Wt<04 z6VD!igGxuGO9_HBBY^;+NEHx*p@h(T=u!+dC?%nTpwbD_i&B*?3IY)X=|!aGk5r{M z5kV>PkQbgaPhZ~N>|B}scK7yfe=~P8`w;@Z(uVDxQ(#EBCY@AQt5CJ2j~8$yQi3Ea zdrfbSr}D`2tygWTUI~8_QlzG*%Cf0-xlQ0>Ef@&8POp7=17!2PP2Thy0RFTd&*3rr z#W{0@$m8Q4`Fir!+N@43am0LhayI#G-2S%K`9$y>Sz&@D$#{m@!S6U<=a6HY>vqaH06Y8D<$a{k>+HG`+GST8~juOxF7uu5UNm)H7zVTFv$qst5 zTuEvcF8Z)noaQqe)1mn)@q214lBhx8p3f8Pq;zEpbYZtK#^2AX*rtCjwnV-3LoD+Z z9tML#uxp+=BQ;$k%40x%S@?`Cw$oMGS3I3iGxix3;{3Xw2B^n6V|5{`OKhBh=%X+c z>LS=#F*@G78<-=^^j1<-^J+ieN)3K(sENN(cFW$-vMx)3mJytdX!XVUi4Kio?1_uW zSJ`4^P9GvUpLlg7`nhMmpOrqz|-qFX>BpmWs8e zW-tjJS+J>aAfA47QkI9&w*XA|%;fIks(f#h3emI1x>$uKv{IFtf0LpK6%Snj`-CtuG=a8RleeeVIPy}uzS7arU>vbDOV(F89w-^xIeVo4|2}l z>58!_s{JxGH}ebrHLx=Qh)WE^0V49v8p7Dr>Q%AE4%w=)w1TVO-YG`*@W$9?^5~o0 z=OA`PD@Xg{s|P19+XB+#jGA_|#uU0`=iaZ@ULV-{S?(A!_Asv!(|=&q?lv5Vu@SJP zWCgRG7G1D@u%S{gx1pg67wp4f^Yi6W#qB~`2TR81yfg4|> zxbB$k=U*|T9B0{J=bcq5n;b%0pWXk}E+Y|h&iZCHJS1&e(K0wGZ;Pw&{pOdanMgQO z>MvAVZL%raE>RmM5;we>} z*->^+Zw{AJ3MvBpEefK(S{YtEs%{V6n;Oi$B>zK`|4|!vx$v}xE2hc?bEDGE>mzjm z#SDza?Dd{``js0}#V?Pip7{qA1h5qdO?CB+V%;`8cT?^u9ndn(rUb+bsHaL*2Mt|+ zR6NqzDzU@Bpn}HV=grgl7cx=+_t6Hy@w4i^Ov_Ge<3{jTW8V+*RtLfbu&Z$Ups9e9 z%SDzHNey@BC&WJgJo8KN*lnK0_Sdi@ECZxfwfxxpkRs-lhgG9 z9>ir`7{;7+&RxLkpxc(>9NOm%LZ%~P*&cnQF+7ZE;$M=9PL=9uk)!D1gzceFE0ZM< zhpwfyr}e%~qT@oP?CvMdcOyr~h28Ptx6VXmt56*ce~};Mr!Nu?vy5Fcn-=tz3}U1y zr_d^JL;0{T!kKo>1;ZmiYVR(u9ImqsQ*wqYU0r6W8=js^4=T$@G&pc+(FnsC*c8TF zy19F)j70}n3f|0o-wcxp`(dm*HB&1(FpxbMjDH|JqWwHZskm)BeV4IS#-3g~eibz0 zJTzG@lE#eb*5Des2(0NI>iJc1;Q#AqB2ivEB;UR=_6AY1=%kJFq?550A1!*K=h>u$?60XK}Nk)F|cto5~YlQ|s z4zPQLZ3+wLQO7lS?QmiFoF-R>ADd9bl&NzHjR`@M+y~f~X{9y_>%3L*04m19dlv+} zYVfbi*0qCC|4Nv6_7SuV^vBvC*qTz;u|oO5xxV$p35&YfFEap{^Nbsg=U8)hKb zzzg6`)YrE}M23qd-`DO)D6k@8#sPMG2=s463*(T9A1s$_<`qMf2;g%JU&zgxdkJ9PD0tc{ zvV*9!|9r&cB*BB619QcGzWHFnR}otB?u*#jlxGX4L#pt^jq8IeDsx*qCX| zri}YkE%dT28}JqkYV@&Z_ESjlh+wA>?L^eUdShs%-C&`{YM>`F%`*smQT+ED!h})K z4DcltfsreOV^e$jsH4=OI{#b6#q5dBcV8y3!8!N_jjXlmI|x8U23*K1;NHy`SxI48 zrI}?Z-Y@k~o8)o9jKCvA0Q=OPt)`_^6KD62i6nxo_OI#FD)9gY{SFwR|*u z)8(oc16PYzgXB;2Iiik6krg-{n|3h*nyqTwEB0d4Q`R7RW4I)qf>B)pLZc^Yu#FHkl#tbzkgkKuynsqm^_q)F0LV;bpL@qY?~{5FK=K+~{JS^4 zckeks{7e!hk?j(!QT`{7xYg*i`ojzrOmdrGYo20Vc9a^~_FT-Zg}u5yXPWnVMA`w$Isr*I$$Nfjnk~>9C+26O?q4O6@GK`Ru zwt#%;(A_F|hLGl3f2c(Pp1Coa$@><9P$DfX8{9ViMFhUNK&!|7}oA|%F@Ea!0 zFMS+T*j&0kvu{+$ct$X%;pSU-kFZV-5cm4C1+1;n( zbW~PVD#?O^p#cE_K>^MA`zt_Bh!(^E0|C8(0s%n+R5MdY22VTNxRe?DU?DV#wMS}u zt(F*)-vPxOgGS`S#85vp!OW>rNJNe5U!GQ?*?*Q8`}E!CVl!m^v1v&79k>)b?>QXR z-QN?BD@s1xH5ZzkF>uC-%AJvtQA(=tdo(Vh@WP768FN-ogI>$ihi|{6bsif|tk!F` zEWWl&P$`pPjp&5zu5dVT)F^_Rs2lWe@Upk{qhSfbbUKGr@Oqz>+>}tfNqRgwx2HhZ z5ci4h&AOeS1GEo~GNU=U=7o2@iKY?Rx_|TgrKNNq5r@2t2y^a0MV9_?q}eXVU`mei zOyWHem!CT{4Cdf?CNdS9MSDAe3I(f~1Ocp7=QnSDGE|74oC2yW`{M+!hexyQ^i2jf z^nq%Q*zRq!(b?8vY-Fy2&HTBm&zkwJ-qgmh+O@hbhBtIArBV_{%|ip>vW)}APkZFG zs#-PKr8rIxYu>w_;Tf&oBSdy_){<{a=+E*ztB~b08W_U0?XXs*YMI>Ou4aQBkrWx; z#~$w^_R8Il^Za}++g*dl_JtP+rT=_@EunRlhq|Q)bV#D%QWfFS>Re;p&(w_8&ysPDh)+e`iZah$#Od_MJ<{$XF&SDSYJxUV5yot}?z zaz3S)uX{ty89yP?w#pT16jK~QhEb$5q>efu$gPf;SJdQ0)k+4J@5J$vf;a^a@yeP!kI z`PsuzLo?>x>+SP$|NQyqV&A*yZ6i{NJaGoYswSaJ#Un$MTZx5|Twkv{{k;$G_hJ9iwGpIb*OMe3h4*Fzpv zZs#SBZ{8g`dZ+G+yZSl3T~s=4wcJt{F$nP?YbCKZo3S=5qwM&j?D~gUcOhTLm7Sm4 z>hP*ldA#iL=mQVD-!Ko{xll?Au6)^i1<|uuG&scNRk9Qh?51zY*v`-e`E6qdkZNCNwJhijS+I@Z_lA$zO2PcgigYkf=B@yBXA zvH>sT^!BUqU2FbsjE{x0J>dtpwp_SX4mFyqhke6EHBXugyu6mYrL=2EJIGz zP>gllQI6%nx~#Y`}|l-9>%# zVzKR+?32oyUZsBu$Sco2-1Db?$K33hM10HsQh7N|vBcI#h!5B#{tHh4L4?6f*zy*@ znsEDQ|Je2Ale-bKvOlCE-#%RdWMeq46!!g5du@+-KPb`q7w2br72qF(S@oD-^Ucrq zwfFjG;MT0xA|YBgK&x6uJL|D)qkpmFRZYk9sPC$``h@$+izT131Cuu3gZ$MkuNwxu z3lcK9Q)p2VbkRMi85eY4JOgitF=X)c;&$tMCrk6|?e@yz_G{|%o4)S*&;DDPiR<$t z2jr8?=dX-ggzc*YvuqG5X(k=|tG?}OSlIyc&zo&+2skP?cq+TmKpCQxZq+sedH)5O zBaZhH_AK5CwUowBwYOlX(%OlVxXf6=kA`rM0RwHZGp1O|U&iU{+UF}9jF-VmPbg4! zbY-T`(X(}o(T6)Hd`KsT{?uc>g~WzfBPk?Df{pfHEl_8a@~D+HsoR6 zFCy-&uFPC_{_`Zk)e6X@>koT}?xfeorOq<6SpOK*GqyY2(kV~MQiMV*}^~rQm0rBdj=L-;7;jFo6!V_&E<8RVcKCD0;rw+b{qbb#s1i0> z%{Lbh^;UX1b7Qnkk}M;6!*A)SF0p zk>KzxsxhL~4)K!W(zso4o6zjimJ163q9Xw5KCV6@xTYZ@m}!WB=aIXtXPYQ_7wJ$s zD|9IHmapTFZ^|N$?rnDI1emrQ#z2BrO8xF-n(*4$X#ZSofB$^qyc^;lL_)3D` zG?BD|z)J+ZD$luD_pd~P8}kU?fkBbP^R6s->ZMv8OU)F==Ti^gvZT4l$VC{d$L;=0 zi=F?a<(wkpGC1_#K9iS_=Ve*D0iUzJ=<5#cMkdX^#Q_NWeMe2uMc``B#Q=e3$%b9a zbL$)#`q>zJ_I%YZ;Fe|lw-w*%G`ail<>wE>5FMo^Okw(R>)7xXrrak<>D9T?Vuib# z6wX$RgCXeO z7|l79(Eeub>zsNlIR5GX`q&pe_g%ww_kESt7GqPT-t#14{kvwNd}|He4dh0mvAagrKhXVgql{Oje@ zAi^WB90!5(4Y@{Ig zP%4m-dj$LCAmgf?LtU=Hw7@AeM)_fo3yD@rRNxM_d5v`zA#C0>ZBv_$diKU-*sUl? zq8*417_dPN$jNmib={XGq0pLB0)TZr9ai@hnkWbSLG1x1NT^UNNT{Jzh)AhB4-*Q_ zp&cA#WJ(|}u*Ss3U6;XDK4LPg#;IFx9p?*hqFk)Ees*d6r>TEwK%^TL^@6r~ZgtF& zPuYIJixUf7k?Q$;0_aCj4$;L8I7lig+(ZE}B8Cws3htd50S_C%gsXcX)Y679Bu1$y zCW)LRp;$(|KqM2sAJU({e?jBsa-d8Mjs02$f)~p4@-@T>`S+kC2_2NcnZQ7zmTck2 z3*&^C%miPomDzlW7m^>I5N5UFg)TvfC296a*sSY9p_UAwkxNNUsIH2UnaKhrh7*Bs zkVQeP#NeO{{#y`R#Ni|7F3LVHj~Ln=Vz( z-#{NIW1I>|yai0&NMub^RN=2T)i_UordbV*FOxE3yt7ODjGG)CZPg1X-01a}4*fE0 z@BD{q65aqyVQ4hg0XBr(q`DzUkRWLSP?~>O%p)7mCF1Q^xVcm-IJkSEMYUsB z4YrM)CI8I4X;z{|{Vin?HdTm`mBsetPA!cl2Z!F?yoyWDYt{B6xDC|<4Gdp)_H%NoDwlPD+eJp3lE(x68wgUn=aV&aQu}dJ%31}Du2-c>kk~JfBStrF zdu17;y&5U_3*97Ykc{_!Ruun~h7XtgtR_wKG|oi36Pn+(7K3)jxrWlaU#SUHOSdzU zoUuphxG4?oQ(fKSYZVg7OXMl!WaC1t)KuPH`K|N3EfQ)KmpFe5HxSTz+Lsh6<9U*> z%6lgYh(r;(_^Cm9aW~RU*;5Dn-njAfBPo-}VbFsHBx!CACJn`m>BZQ~$^{B8ACL^OP#Es&J|- zBFFy~-S|#KZ%b#qgyO?4E*qzWPVej+O(tMwKq%*1uN*2bdjW?|&(5LVJf1`?5;Ofb zq`Ce!1fYu2Tdl|yJkmxfx(!tu62od@-GM~KuA}>9M|t+nJudhgS6SWIdN_n&&Yg7R zt=CDS!{=Qt+uiKH@^pzG!Q0)WYKrwYS?=53><8MkmHXzw=iT{1c|OTy!yDjfTw?sR zY#LA{Kmb;h*g*1hx@p!`Yx=?A`NJL_SaEa_phW&tEC9+C9DtbyC`A7hlYb0A8683L z{ukhFk6dz#G(wV^42ufGN3wW@K#u~D3 zCta~xi)T-;au=#rZc+LRSn~5cmGrz%{vJ_MbAeL4vQ?la|8@d@PQTF-e|8`gbwrdJ z!U}$8!x=@|mR(>9{~cc&&J48Gj3ZI#POC#z8TSXiSjpj;9!-5FD?+?sb3-~uO_rBG z0@c>`9+*Guewl~OL_OYZg&I*EU-8{TgMF^fqiSyeCl6_P)?m}^*sVHYHa6HCDo744 zPre?0JljdoErust?4oZ<2%_dE5J&st+RxMhHIfFQ5NK>r3(d-@(Wi?|XO_q~yRMcaGbG$CZkwqIqAUOV zDi31FnUG7x7KZ)bo*8}>PwIGR$KDjNagTg|d;8XoY`|I)5+sMy5>=>IC6Y5^t&12T z$o=)Fcit_tl$wB8l=j#9w|4?cqiV5IUmh7ezVoe%NCAZ1)DEy!meRe-KcZoqKd>~a z6+p1ldSDhiid z!Fp5+H|jUqD_o?>R!}8=o!$WQCS_Y;)ne_Y$w;x0tW%L%cuwi2%ZOR>P{k?CY>2czY`}LK=yGXMg@-VqMxvr+U$bO zgmT=T5qB3|G%y&48t~htyQ0tiIL1sC=tExQ54cC&_|DBy7+nRz)Qu6Y(hCxncP0)0q^toj+@9V5mQXZXAv{mhnvj63H}@x3ff#q3 z0l2)4-oGD2cUA`oFZwBQ~%+<6m%)B&HgOrse7o?`XoaXbO}1JgJkuN*pAXu1SDh6e5an>Q8|O7 zT7w9tMYqbA7zAr}V+r1uX1HtCrveQur|-s z5K@~>?%k281vcJ7CY zV@**_nBNDF&R&SGdt^h?adJ-f-GY z#j%4qQuLs5&}J4;?E>s>j}fg_6IriUEO*a+-y3*y6;{5N4C;7y zw$25jF}f>!ioyB))&en-Kla)Mb4zn=OHvmKFs7vm@-P}lTjnom_#d94zq{l&gBL^~ z7lRFi1FZ{n4Pmw4w-U6wa?Ri0wL>myFo}MjBSwGTw*k8}MxUT}`PQ`;dls|{!nj<& z;vOH~2lTEwApE+`^SS;d=rOeIa~1BTI>#@)`F{B+L7H!T{pvf^OP&7>bunWtk+<@U z+x9l__4Qjh!$-p*BSoXH*eczdpkSuKbig<+p8!kpvqxXe38&#|K4W^702K_iJ?8OJ2-;dPT3c~^iaSz@ zSCP!QsLZ*l%vMrM#RtoGdhmtx$fM9ggxWSiNjtxc6R)$zul>6Kz-)zE78~LD1CJxJ zQRP|!duasSDaDWKWcJK@ZSNb5N^S3Xn|z%4q503YXD)A`bp28@q`f3j;#WcH2$PCg zSs(h9B(LjuIV+xAgi*p! z=+^3nO6S1B)~_JsoT5$c2np>LKsVUKFvy3lyN0T}hN-&-oWRx*3at@-S$z|D-TXY# zO<-#eL1~Qxy@mn|9JEbyndU*SjdC3!C2~edAUGh0BAJT|=xIX2usH@&ATE%bpe=vU6#Vf%aR9F>@5hm_ z9rPwvAgXm4MXz_km+<|q9tTPQH?Pf1Xg=cyIvB~l+ElKO%?g%t@*Buh;P2pK$w9w2 zNdHPhoK_b|^lra<*aMgiH&BpPiw>w$IT&nghIPFCBNc+-A`bpE`^#oFbJZXelwrw9 zN+Pqd1f<9|L@_9FZn`^<)U_!WejeLz`i9x_G$FVwiLP+#P#UrR(jY9>PsdyfQ)1AT znj#`ySw*N}Hw7^;7`%bV1_rYlOeoP&k;KP4X1dtFlivD-X>Kp8^FJ@EDskkTI!(CX zhIbM}nT^ATjQA=0CrrLT9GT;zZTRw(gsEO`i6G3?z|7bbs@RbtqHmYQ;5JhJC;O$L zP)@8dH)q?or!y%q!j8?@uqmt!IW#3RHi!q}GpycI7FMLL2oDy0oHGI^r4T+}vu=er zRDwprVmVegEsOS4y{q8Ku(^T%SsZy_Q42Z4rk~6ui?YO{$KZeh`r1nshB`{Om45N=X>`m+vaApkgtvUWz3a$Ms#~1gOVw zAT-_{_j4h$`bYl~(Frjxr$ZP-Io8 zMZp;4(H~sA;!w7!li)8p6eFX6V>XAz^$%n!G8w-MrD?SwZ&SCmizzQNVF?q86uB>5 z5bMHH{*(-+VhP@YU(m6{%-nilDtb!*QzpX`QWTeHUWHaoJ5gxO+?*Q1R?{AZoxU;| zG^2_BJ3-M-Dh_VDXYeqogqCcWm@>&%+fu#PIR|u9`P~UytF+lqdS06nMFCqIRt9kh zCC7%lx<_O5OMvkb*<%G$b-B z{>C6OfjVcsuXNB+8>^i*oGKcOVUH`d zFWm208>5|ECMuFx(DDZ&nMMSkjJW@~bTVsb-6wWHF$ZTxoA<6f4>K_+l$SkjwPDvzCFc>6}6BL}t5EMoz4+t&rjhYJRkwgio(FxFmz6W4yZmMDKEm3TEmoVHVbbbns zyX+nTQD-umma)!1aLH!Ons`}b*2{duc{(aVR_cvq^8MmoqMpIdTzuJdTt=L~F*lR> zEq^ccCK-AjMA0l)tj(c%ze)tYZiBKXoGVe*U zS+UnKuo)3NpxE!0>9-sr=vG8sAkz9h# z?6`7F9lT^nAhD5gXim@xHIj;8i7*vE8x9&``c`Tk;Axut~_g4^$c?(H@Kt zOz5I{vCwVejT|EM+&FPz9h_uPzqi_SlJ-)pv9T27JXHT*AwCHHe09*d`%JR$=Y-kd z7EaJ{A#G+?{?tg}HBm1}SAMkO!t=s%5Pif~rN7?erb26?_+Xs`EEJz+VH#%MV(b}g zeMwqBSDI4YG6|(=hu*S1*Z;UWmdbH0)MA&terD zS3C8pp@tb6YOC&_r{#_s?k&7n)?L4vH)wq{#=;ys0cI9K*m(A@(=9m`*MO�g?SP#NP^DvO5 z!0Og$4x~cDFRf_e5238)ycUQ$#(aWrrejS15;T=8Uu~06C2-y^x>G5}i}Ik8i+gw1 zkyPk&7;he6^QZ_%3>o&crc`l+Gp63e5Q&$?5S|juw6Z?$meJ111HKM`T6|P;Ll02o+cgP;g2)2uJ*KxDq!x z2pK=-%#0JzVJ$-n+n8xc^jX!+P0S zccblGoFZHgO8mm6Z3qfbZBh!*a`2x)aF{7U)etE`vEV5||MU)cIS6N5DWbx6!bPvs zmk6k(+;nm{enhbhWIp6FQC=Of4EN?prb{bi?B*n^*$U?tk+V;+n3ri`9EG9Qa}ZONOqmr+C*9M}Q^fEXona)c}8k z=3Rw&%BB!y>LOT8Ud&3vzpx3Em=TaCn7EFeD=QA^nlY;ozbv-A{W^5?zpUYvP}q5- zA7X+(Hm;5mp8qY>)}(v1)ueb}mR(Ql$8@QQ1t`kRoYxUv+hbh!ZGZ3Gy%E-ed{w|5 zU!B|425Fu#8j)WJKBR_J(JC$a!Zh+M)uH5b7AHqP&Q4Y^D4|Q6sF>=Q#{h$sBW&{} zy0=H#-wUa484XUzzIM&+@Wmj%~9gJbEDe(j$ z@@W+Z^afurYW%F59!IH{`Kas|cl$|%bxDqoPe;34!2F7Kj=J7u!POyW2hcRM( z+6#NPe5QVwW7rn#f`3#5$$xgW^G$g2jk|RFII*d&Te`S!DX!CZrK5j7V~aOMV)$N0 zLkK{}s@p01`$&;Be)xLl2)eB0;N~;?M=GCvI0WB#EE}<|?&_|}VeR>NwXTZz)7|6V zeT7;)5RzAZtV#MaBzMtakFp@xc>j}3Hiw(!oODp}I)y{wa^!X?yLM*%-;uYS)_zDpsHqTP}@Ni{#aOA>o*?JFoatugqjtG%l5QS6; z5!fsTrZ15OtO1rSm88TjD)&vW4#r`0I|oX@hJ-gWWhp>}Oju=o=aUr=lnB#n(YE%l z!Bd~2x|c+hOgHArxpDEYz?t zqFkBpyc*~h)ngLN@weMDH&ZC!DywT;`&GrtgQ2C^G`$=62T`1uR||NjS$v(k(rPxJ zf!Bc2Z61(ysv{sT`r!<>F)Stjyn`tSHc>ERxlhR02T_eR%0635qW)UTASFv`7jo~t z8QHL;OLIK=FgCkksQ?Q<7LgMz0k+DALy8mDRry?i`AjBOBdbl<% z=1R=lZct9CWY`wlH`;+$U_!omAs!zBj8VP;W0Y$!7@=NJv_NkTz!-&B3Fr*H0LZKf z(3H=BVd+ni6Z}D{p6XT9{)UZU7qE`IR~fu$Q8Eeh`4;Y20iPvG!0v~dQA%R}5@IKf zggm5#Lv+GuN2TnPOa2|v=0ofF(jG;cXiy4Rgl-ri1vgcl8#6Y zD*?U#aKA@6sziX*NpR;n|ClHlR2$*V;L5)&T5wKm>Rn1XTA)5q_>hV@8DyaI$P?4e zb=_fAzBi?cE%kt$ zdR3}b;z#(h?nD~=P$32BE24;h ziOzy~jK;w}&|U@_63awJHeg1La37HyaUF?;JC+KUW*OoGd*I0`!yt)K`hi@AC__)< zp!9QpsfSaaqKSMuvVLO}s+FW2BuEw&kC4e3)e$RKLM$FY=HDTGCq zOX<_*2yj#=Gmgnft=u$fIhiu1YJ&kGY-FA#mSU;X*x)b}C?kN;C^ZdO;1?+0-SC9x zAgqKEnJGLL_FGh>j5T((DvuVaVgrV_N(YCylkK~0{!w}5n}a`blnX8~{w0Ut0&~I< zZP1^pIwuX1Kv>`kcm^;pXEi7mFg2)pCq1Zo96cy3jRO(oIp~{SJ`d2dJW6<=TAtKX zT$4Fto?!;X_Yhkg^x%K(AsR-QBksQ%Fhww|FvkoGvQkVn5{v*>9^3qrdqlwjoNMRC z#KrGRUyjV#ga}Dg)ecj20vU?h)jum?YkLov`Z^`-|GU9#MDcf$Rd&^~;^O`MB!fc@ z-?->$-Acld=Kxw?0?#D1UEA|Tysf}bB9O84ij>UzQda+SL{BpzVW3pf7p*F`YQN`= zy1DEF4(_sQp;osqNEy0KS6{3juI3vK%;VlxNMFKc(%hJ6JdYsaH=%iTa}|7gm)b6O zao|*(gJc+X3<7XJ&9ymnOSI)fltisz#h8oi2|@vLs0j~3JPff4qD)wlYv7^~?j$oy z=YD@KY9Q_SFFUfv+9har1HOdJt1XmXs#Lvd1bR+BhG!d+8$I{Sw4hHfe#6n$e%gC0 zv#ep%pGr*B&COtN5Y9JsXhc!NchE=%mvWT6#;FtDo$s7^)YFhX0KI(*Z($$k5Yn@q za%631+x=1QtBRqL_s;F%hf2;;DXNsO6yz24F-o&~7csIZ*We!(!htYtD-IJxg$ryF z+ZToqMMW$X3=zx4&Ceib z0T*iYMh94_frhncE9FpE@6ciDqRZ&u;C%$>VN71FnJd13E6;T4GyE{7$<7LOrxbqh zJ*c@6FLM~CGwv=wSu|(TL*I)y>n@W^k;r*LZTYkMhUylHgjzK-L#?D5k`2-;6A#8l z)E{sTNw$;Ih($jA2&upRPlu}?Y107jI%$imw2t!X65yiuXE9^optyI64)OyE)+8O zTNDrbUlL1VbCBYT>&Zmck(v!IRlHdgodQ(JqiJB$qTLF)y2y)c!ow2r|9XkJy5fKy z88IGoa=7O&-nP3E>)`RH{|TkyY2PBV1eT=XLMDZC1x|<44U=99O=9)0yU!=|OHO=S z?vXEt%C*u}HX@gIG+1&(&Z7l(O z)Yx}kx5%lWWlE)>!+@5l`zT}=B@VO(KNV!=Q8`E(RNycD0@wT|KJ~m_0vcA(jj%)1 zXb=NMc;%$kN?ndw&S^w6FEHb+vH5zV9r=t(>_LvVO?B# z@og287T8C4x;c`Jq1C2=(uYxsr%tYTVoOyG@<7zgs`ADHtS&*)njJPz-XXgB(RC4< zOoNS!1s-5jG~({NoBKq!H)WE{wzE##ryy^)t5;rxx9{NWhxgF{XT|;=tx+}S6pOVE z*`8h&xc6(>G6G|@uc(l}S~Ra)Z)iX^H5Z}?Mr@N<4p&U*;piWgfGY;hgc8_(lXDjx zcEnDM0vfAHHsch7ivh-F=F%sxh;%^IAfLcKkg=0e=S==V5(gdi5iNx)5R^UyC$KGa zbVdXS_qGtOnC)FbFgyycP>VqF;s}e+4QjwmXfNdKhBOeNi()-ghB_iiph_ak=M+P^ z!OT+hJ#H#v#!O|ONzN-Fag!8LINqqd-3SQ$NhNSMj;?)N0aZnft+CRgTP%iwOk6$_ zzVd^_@*Y;&Y6t2RsOvQft_j^W#H6YWsU)ssnc|Ye^k?ZoU7@V_pUcuVp{7$A`Bi(? ztXi14a0c{}w(MOH`U2UYaGHTY-Te#wa(PjB2A9-eA1e6!Q+Dc`7B%Q}aZu+qvzuh)w z+62w<%*{FV-m(E)_5H)n@6)psZsL&Gh!9j_1*o(Va-C*`ArV(bEYN%9--cJ#3;(*KpP?IBckuTj)@_O^2XW1 zp_RWkOBdGc*wDw6zkmMFpFL-X74M(lr@WtK*WH5D_fg{amRxAIXSo+0Xg57FZ&UF4?5rTz3+mI{#;z&a{*td-MU{n$C8u>Q5{1gFKE5q ze0T|#_X`uoS4)Tr@h5^Gd_3HF1l{cWWhomEX6|f!1oFSPud&Fgui|6)hnF8Fx(IMncaED%^BRGl3wvmlKy}=XCzxj-d5Za;6HELHbDz zSBCn``w&vu_hLedV#2tKn;Rr_JVk#VrLldDwdc8eBmwRSa|=OuMDyHIB;?1ed>47e zX828c{QSd<@G%Et(#FH;r|3Ne#Je$ZDVU2njNe#BS2qGPjCFOI_s=niQ@TmR`%jy} zsm(iub&SpdP2D=i*QOInLR%AF%IUL(Firt=YP0jIN8h zXcTRTxfEMu<8p50u%lQPbyyeARFHtmbFA5Rt8RT~+tzM)mYuDzZRj=KD*VK^YV5E$ z$fePqH*=X8?P}ieOy|J8UbHyS-?Z(P&5rZ122kj}KmJkrT^pWj_8hw{0J-w7M#>C3 z?zI|nHAS|~|7w^2jr^yZ4xkzVmQ~yCsjN5;?WS7>0B;VsST*2c|39N3R!Yg94R76JO~NeA)^~>C&dzS#3dotE|7YF)eZT>%tz?AY)i>W3kJA91hRbjJ znp%89xG_jwA7it{uUXX0=Ds;mipx6mbm@25I`hRZ84G}vAI<2O6_dZ(Z!j*qpcwDM zn~gUW`hX(t^15~4^wPO;cxlD5Y-kQ|h1|m-P2}Rwj7tC?ef; zx0>6!sHMNjY1}Asoir+daYE6cIMyMJ&(lF#RkwCV(bX=A-(o+0@tc5G`AlK_B6vo@ zR?M!w+y}9bM`oJ;Oc&-bJ*24K1u6|{IU9{q< zSvxXvqyp32>0T-^wNtHM6!&DJqo)V=OQ_1m@JI7@&no$*RawQz$S(x|bkQdv`;+%} zUgF(~o`JzkrC`Opo?WLhBPxPplhfMCDKi;mzrl8RvvtQo-M3o!jI1ehcM zCeR3g2^WU44WuN3mBS2R3M_PVrseGfc!dR1BcQ{SHXE4&vvSB$W$Y6b8p+6VVlbJ4 zh6ZCJ6J$~CYYD5}E39lgbuaKahK(YvdoFqXzKbEQzlC_{Z$TF|GCiS0TaQc}V9db+ z!OdUeb5tKjT7Nk_4w5AZ?;o|~Le!gM0^<;v@%n8N2Jq@YD2p_ft7)6LU)+00FIwID z`>?b7e)N`C{$RlnQ-0s7vrT(+SLs1a2jLFKk{>NmYwsWMm!ccSTs-LhYBwB$R7(Z3#pfgq%M+jmfmcfh4rEJ# zCj?9{s}-0%8%L;VB;xeW!uTg&mA^8kT$a0c{Mn$9Gip9zx%1oWw}YFoUkO2WCq*d+ zZsdYbCzu#WZfB{N>zX7)vf<(4xBiYLkJR(;UkMP(ySLG#C{SS)Hd&e(Puq$wL7lbj zN@glK?0@nsbJ0$;X*FR~Jn_&Ys7i=oPMeD65tOY2X<@V@MV_juQY|Oj(Rk*fML5L@ z->az}M9{ne9YL|e?|(L=4m1eGxL}-c4C|{8jkVN5$-J$TO-xQlN9Ga>Rmos*rX%2o zvN2B}zY45CI|8AA#w5u3^k|@R82Emmq!gR()>iS&U1%6!8M;7h2*-Ed86np36=s}s zqrjY|7_fP!4y;6djB&LAVs{*Pof z5H3u1Wy~PRNt^f}?z}+?ScDG#-+XDSEhiobydTc1r|Ke(pKso(>P#dB;5snSubrq* z5bCTUz_p?+P4tVuUX^}(8n^yX$}gD()t;ykHj$?$wri ze_wgeV2VT)vXu=6R%{D1-rnQ_=5MZntxFih6uE(3CD`{YrZP|%jOF*eqW#Il;TBim z=En;cY>A}@b>w%T-bAxo-4t|s4t%gR{x^mUXx#sRpBN+h9-AG(12~;%-%Wc4o5r$q z^~C&#=6vo2mDDBFV_pI80B~Y!pZRbu{p+RGNCE_cbI*YU@d-mvQxfa`TiS;^2U3*Y zb+7Vx$N3-I!`N$&V00|($$2FJ*nzhDeEm(!8>}U_Og)Ti24#t8L`g! z7zuiW?UrJ2lz`ccxqNse*HilqFc&@7d+ny9lYgWwHQzj{U1TY`2`0qH{>=>O6O{Tz(z5r0f+(m&xxyf5k9`sTrkaP9uUmx7cZXrl)v15!K3;9yi6NcW!mTZ;D7Gh z32ZQKGavhvhm?reJ`_AeDUga(gyr>!U4|+46GT_z*!c1BbDfY$oS>$|I*1$|Be9G--5OBR4vnp2kY8VWn}Zc$+fJ zGliZv$rh7PqHo`)wMBKA94dga{eJ=CdBBNE>yTG z6AA#x0|14S0wD5#&|d(g_Ya~4KnWK0Zt3JDe!8trw@lG z6Sn@&-pqo0+}ydbCu1owucu}lS$KwUdpg-(J4%GzLtnO@Y=ZeSZq`%{zpeO8pyVYe z?-vkL77|VXn|qWC{lDMZgunTuhf~EBM=d)_>eMIrZ7&Gkj@ncQ2m#`Sr9L`nT^aCoZ<_T-0*>{MAZRi-u`G zPk^5{9gsCW`!AOmyN-XNWIY$^Cd2nlpLwRKBp6%TnE0L^gG}rHTemkyQAT+Ji3vy^ zCSIRY&KWh+E1wpQW}XF4z%Z(|Ru}Hh4(^7I?9O*C@BS6Zc6{BxPgo_py-ye-FmnE2 zxAW^&Q!lEd`0`)*=D#FAKXSMhbcM+6Yes~P;@sn><5|Pdah}GCB@=ZaYo(HanjM5-D|7&e@3$X6ak8=ql|P*@1@*Y zy!T0auJtIip;6ebj##%9!Tpt>^-CV?&`%Ob8lIZa1A zCNXsK8<7!}29KCkOsk*Ui4xWC@LpJ?{4kwS!0sMCs!LX?7PB7a@*PzzHnR=#zaO?E za|Aja3_8PJ;qCmmEUPFX>R}+8k+bv;e~L!!k*X3$D$h+&;52{NBwa{(@@=Gu4AM| zVFr;W{iKY2jBsbM;6o+C{0fmhVppZ?W{ZF>w`DiS6&n$@&kShLCjoJDd+?|&@%`+s zdG{t8xv#{p5iAq7QHhQA5ZdeqnQmn=`uOrhGA4JtWk>3TP^mPB)|lsGkAA?B${OMo zFYu*J*k<48By4pH*Q}xTxO6mrc6jx55*}YiEZ-~>Nipd~5&DDZ9dZ%<7F_}VtUWcu z!lf-1e>;E3_6ku!8vYMuDZ6B94F6dX1MsW%RLE+~WOgkC{VAu-Ak^fGbO;P-k&l3x zm*2_ZsfI6pt0@?v{0?m;N4zo-B&f&uOgPIn@(m=3Lk!C`U0Fx3$mzo1rTZLbx>az(Hv3juG(jn%20C0L4Tfc|kL2^StvE<_(1O zv%U#@;xJrDF(5furULojE&^t#t8>0}ilRZK`jNO+Buan{ zYg3T>-wW9LVQC;>P#*eF(&J)e?|_%*rySG|65uYHKN5>q+_2Yfu$Z4g@xwiuKJTfk zWtn+Z^%@bo?0jJ$bP%7Lk?(B-N_PHCBHsKTw%!7&j%8UG#@!{j1}C_Ca0nhCkl^m_ zZX0)ZcefDS-Q8{D?(Y9S=e_&Bd+vYNs_N?M?wQqET~#yFv%w?$GO_sSM@iZR^}c1Z zfAwHg#Kmve$6M1+-XiK=bnDPU>|C}!S#FR&C|WE+6Pl74u&<1Ch?KuOJJr(18oOlo z{sBvY?1a@Ycm5ghTGeeKd&mcqu|Cne@*6m~uC=6iElZ2F_m-bx+>4^CHXKX7X;M=> z@^DxLtsgQFi7n(Ag!-Mxq?K~Rtx#8-F2FRVv&ftplc$DY#-NB`h&fl!oLzq8%e!o; zktg+VQ{@$7yA&QvA(iI^h4nXe@@$OzVb5-rAKcI*ujR_j&^~M8V=4^#aspC(%4Lw= z%Keg5Ta=S^z!+Y2?&Z*9;z&3WR9U|dECe$O46pvw@5*w)`TE-~bH1d4Rab<`GUAm`%Ym`JaHByLWC_l%L5)1FO)<&ab^0> zPiuy9@W9)8nMWPaN-pNI{F!E6sNmAyif!t;v8qbYC``PFJcnl=sDF zgCSSO*&%j^hM6eOLY~;{2!F{S^VHJ)Dgg&X4`^IgR?+RXZY_t_gM46OuBKPoyxn2k z)_148pJn^kdt;VJo--7wecX=?Em_rj*LU1dhD{>|8LY8@oCweX2l5a@O~k9}Lw{yB zrJ1LrdjFhK0E{9;R~4ahlYTby{v4qqrORia7l}iw_cHsy*k;`iiX$aFs*@Li82?pj zCPgk5rSSt^YNR9x_b23f9anm(30t$Ld~$i^v0cfp(pfbOcb4fRq=}Ri+TG*$$n6QZ zTWM0uIzRt7-_3D42#9ARF^jOpG4(EdylI0vsjgpN0w;(ClFF3SsYMr(ViSDtN)~C+ z$aNu~9l;NVLT1TfXwCj43!w)`euBNFE5l@20+ zwf@wg!y*i$(9~sv7FC$9d*ap{ikPhf_;G$_PXL5FB2yDA?gf`EOB7`Q!j0BGm2xUI z^_2mPxV1J{kdwdZ9i$SJ$&blDbQ`fA>0|7)*ZlmJY?te6D`Xifkhx!Q6d;`XTW!H| zOCTl*o=g5bc&dF=7*po69`53=ZX*o7y2xM6I1&Y8xf95PdI@Fh^M+c415#BMKf8Xc z?Iv~b&Z05PgAtIT`HvQo_@#=Fv`JD`3g`1AmU3NloaN(G#l(RQsi^;4y#=Ki8Zom= z^gATg_hCgUE!K)PY*WsHcbO7M#}y~vGrtgpoxZGJ^nExvy-7iOXz4(inocjz3wJeq zct$@BvrwX4b7UWS*RX(6z2G#c4a@4QLbxz^s7Bdo4h24ZiX#&gs@rrsn%K7=EAjo~$)0bi^Tc-_7V`nPDN2xe7(nDMMJtpyP9# zME;@;m$ymSvMl*?-YHMQ6X=YGc#>;^?no!oUzNYS$CN0E%0#@K^ol_V=iZ|U!%>F%< z40?ot;ut}}L*2nE5WGvP7++vte);d|`pDo`r`x6@#V}+0LE=kpqH|02EWz<;nIP2yz6#QHBfA)waWQ2qf8}5O8RiNkfzK9l$yG?u*LDr!ca<>gFYKf_IRYR7=)|WVf z>H9+LKAd(2eF+zRUBJ)J{GB*E^rElc%e6b8_Wj<3enH}jlNZB>wU6-%KxV&nz>Rjm5Hh6a6ALKe3z zp@j8$yNRsBCPerujm(@}m(roWB#WU;n@pVJ2QIb+k#D?0hbhl7ihi!o&5%YqL4Hf=wBOi3p< ziYhG8lDvgx*7-EhN@mcKc=uxO-sU^U^a}kB0H_g&@dgk+HKa>CtO`eYE~4mHBuN1C zrqioPCB1cVJ`RTyu{KY>yw^6a(Fz$AdmbC{?E%eCFdP>4`YzTz-InE_rU>YzmoH?W z=1A5&-B#|McT*ExPwd_Ku3flTI!?J0h5jIdl{0)@`{|qiVO#uKmU&%EQb}PHT@LnP z@8Pk&b+(-7dea=W*}3ld9JOP}%`2hX03Fg2wdu2Fb%f*GDtO=XZN;f8_4-J((+L|0 zE;;x(l7E)aUM|P8%RBba;-BF>x7TOa%9uM3gU+bWe~5DJB-!dcjiO)0Db<7G9|p$n zouN(hd7x2%}1Cci{RCf zE1d-5rLz&KvNR4ES{TLaG#xm}sng|w4#f{h2l5v)RYW|E46Tyr&T*uHp#dutV5~bMaBb9@Z?qpMD0}t2-qFi{no(|1QOi+B|O^cW# zjbLj2Egb|6+Ji*KYpA$|^4;;JY)wi`0N(M(49pQCUq!(y>p4W@V!Tc*xIHywoCs|e z5Wi()e0yw|d`rCd`{?aRq8;rB>xI^^Hx@vI?z z>>oypRs9;uK~n_@-Y2lzGPL;`)TE+tYaLCFZ;!~IhGfhGG~jp18@gE_+$L9maDHQ* zoGHdKD>qmdw-2pt(Yl)ivu7GTHaDIU(B~PxK2>P&l}-M>&fkqZ z9#;lWdK@Yv)nFyTu8C48K&b6|DUv-4e9Z6pa&@JQeik1E2&?NWmg&FcPug}TZqTDt zJPtd)FUO#H%4_MS8^&n#OcWa?nXlA#y5aBORY5&zf-cu)n1;@KRzwiHo6pBOX{s&v zzVE>a{FTp8YE<6v#V%j<#L;*zV&0uWsrLuL5+awAQ&eFuwF6kxX0Rr5S3Gt0i%G)J z%PSs!rA(UAF8SM+isqUJVBBSC(=r3a9g{$Wtlpx1S3q(e$cpCA@roMk1#6rij3lqQt8Eqh>$)>BO~xGmkb zZ)k|D_+f6}Y+@03*sP<~KCEeSvc~^8p5wUAr6RuFAHDX6mRnYH?vEvV-Af|NnO^-& z^DK)h9qWRla0!imcc-1!rHD}EvUf(#{B1%GOqSe^8ura5kB_#?7uo1T5rEHfED1(O zjM>`?nE+!O+|3TQUZ)w%p-Gf-Pe}S!e_(puO?db&q)waQi3&U<>|-Z5`+hfbsVy^k ziz_poCwEO8#>Rj8V7_yDWQ0S2fklC|`hU13TnvrZ)mN=onUTCU7uAczR-V%r{`huO zbitgyxI|?jnI(~zmi=0ZK{O28<%x4uy0&<^y4kz)aNJa3I1EyoqTJuI%&V^=OKnuj zw08X=hg*F-X25v#=@S7d{FM6=)iInAn1r1j?xo--t;AWfktLYToMXQY2#<}24)kaJ zZvLG9M$zOD7dFSNR&_p4v35*GZo|huy}XK3Tu8DJ7|pY53*Lqf5iSC7uS$ zj`_f~o3Ar8iyi>2Kg@ujiqn&dWo8W_YLZZy zvP@&7#h*PWkTW7%vuXQhDWY}{*?b7*vo|3*Fi&AU;E@KFlu0tXhh!|^;U&VOxn3tA za3s!wK%Jc+);sw8itjP_{J{#vChyt87TXQ_E(UR{V?@eI_7dz9-zM*Qvlkf7QMzqT zNzsz#1ZyEz(QQ?AbI*PtVtc=x>b_UcL;Wx|lUrQzCDMynfhBr^dKhXHU4>PuvhY&# z5uGh^&UnW2-~zhkGt+|I_IO2I>aKN_?{JVKAB%YLu;D-^L{=b9Y$qU^Z6#IK%|Z}! zmP^VrEA=X>5v@eQ8|?{RoMu9(qDIQ0&4 zPI1t(mc(f-b^9LF2p2hOXQHdgaTuN-`Alb<}t%tDXdAfNYgO_`@fxeo)WHC6RY#K0Do*Cc^QyM8 zBWvK#9_HrFf10AIy8@VrN8n(&qR9Vr9J(4B8K1Vp`Wg?~5kG#kQZR$T>3&5+%K3@{ zMvg)Hf%E=b_xU4rxgRZ_Sr1a!9?YK<90Q65gMyN7IWB-gqt0;oN8N?va@-f5p*YJ? z`vl9)%n2N?pV#6fOh@OZH%E1As`J&Ns!pAah^MCzkKKE(7hdz#<*h9(EoIMvHFPBZC|Pi`uxN?UR62y#GS;-w*c&>`{zV`BUV=I z>Ik)gY$l0-_h4_^mNOH~(df5Ewf~=@uNM+AI4LWKmN{OoE-YWpwc^;V~1s#s1+C{*QNdLcpIYJ1m>RL-;p9tmWZ=GkeHV{OVwXwwV$W9YAZ+WKo)bmP!j0mYPO3Pu2s(bj@ zXG=7$2b^o$KlVS!6CP@#FJK8RdsP8>NkQUp(+<{C%^*n zQ)3;hh7;!p=4V6G{O*gm8pk@v*qdY3F4Wt8kFM|I3vq})!$}T zHV-to8MV!h{B+^&`p;-HW+4clkwgiRsN=V=cx(T3YA7rqlFIp=lza(pIJ$Q>p{$`joH46PN^1h>zY6SBM`~UK%?(H0R=O z^QXc4cSl&-cW6;lfP=BqO>KU_-CMxt&z3m^)3RkPSxFL>`zvhed^gMc?=UnHW-Y3p z0^H59(5Qz}10)wm^XDZ^R##7Qi1&tW?Rbfz2l@>W3=9xYE%72DpO>W2`?&Dszm@57 zRe`Y^>+|vx;R!1vtM|<7mN5+Un)4Ikm+B!O!ZNxD0DL6@=91RL$i*@Od z<0ax@HB7VS_Y+v0q}L-l&!|Y0j*0K}MO3BkW6BibOEMQz8ywn)ew#symbbC2-eJLF z!?fh*%&S&1;NovD6e(4XvcZ1ah{towaiHj_HL|;v%BJbv!29z?TIvmHcW&y~d<|=+ z#EiiSPSg*sMHA+yE;@M$iJwIQv`8ll3&%-#smm)rNz*1!2YauE#$=hTHDGUM)pd|X zd6~&eWegOk==r>xf=PX+yKaqH+4GfM_1V`%4Ms-DOl3G=0++9L1PIG1Vi;vj4YY&i zO%;8uHfuA3Y1v8#r<@Lf@>37!B@2f7Kk${SOHVIzrek7bM|4#pU}ss%=Fg7@o|W97 z_Kl#FT{Q7~A-!q}j^RF4p$`>Q6z5MnA|+WqOs8%+{{~l{R6h@cWeROx zVO-XAo==*DuI`$jYD|Qy!c6?f*Njs!(lA00X<*fw}cwPh>8J;+Oeg1 zMJ;808zRdcT&hD`l~gChPw731kyWRbB&TOx{3JL7RH#Lw2F(#=X%jZqyheEGDDwlh z$m4qkY@5!FXdLa#3sYZ$bQLYW>ZwE=!(2jb<-gTW4YQ1s&LY&Sr$B?5Iv8yD8OnzK zWFIaYQA!0NdpSB=%rsuW}e7S#&K8<>RX8s{0z^#IlT0I&?3Ec=CcP zBlPJergph&A<3{gAOIUX&2G_lnOS~7MQr^}!>u=fpAxBg3UG(}qzi%g@!oBD0YpPO z?1SqWvp3M~s)+u9!nxogA28I7fEuJ~G}nWR%0_CedK>-%Rlrht0+F;Hiw<=A6%K3D zVeQx(De!oal>MtP08^$N+2Piq^KM^qBHy5??&VckLFlG1v7uPo{a9JS>nSz(#}A#? z?MCmT=1IX9j3&#wk<+IGwaeR4dL8!x?rFKhj=g#x1l$oh3_0Po%ijXj|w*IlD8LWg+7;T`<`Y;$fIwK>2%dU zpCvo}ZZX9=QQ#UMxPG`pE#DAv7e9L+G}g8?UwimGCi~MXd}+_+@!sK(5qd|II%yBb z{}sdT-l$`e0J*}QwFc>>AK8{_-O7bL#JvkhldEJu>*}Pwyto^uh*!@5qbUp3hH{Or7Wwi)B(yY|61)?3Ltc zvbp*44plz|@ysTqkB6PU)~pBI$e}T@rLw3=rI2 z+@US){+kbtT8Uqg3 zBO*=YvgBMCu7Wurj4CpkRtfk!@;op}9eE?;M}P*SDsq`Ra>rSwCh{?;l+Cx&?sOH7 zua3Dek6bDN8lW4g&28)d zuZ@>c>d4j#<=uRsiVf7_zm~{ldT0aS!5`g2B^KPu6OP;Tbh-dHUA8t|1|u`GS9{A#c<6a! ze>oJ(7YwWwVwN(voQUDqJ{*Dq4@dIIrFPy}iBrK$sn5=x@&)J~q)FwN5TS?NP>F`O z8&HXWTSfnGpl=NnZG?}V%G0A$x7dBaeRKfn;a}dp1DHN{{QkqDv07um4Pnx9;$IRZy){HAl4fdOfQ0CJ+=z8bX zOYu-G`kQFh5eIAKgz|cyC{uquq?5w&xZ4)GD&O=@%x!&UUV_PfRYu6dolqG_seY ze7-|Q>&H-B6~G7vR(@c;d*Oe0<9`SS_y~a@+$X!gb29TXt$|HrwH8TeP_OLmhLGyb zEvvUcPzT6tHi<|`wdWSw%dXThq?bmsIR*-JwwdJ;n6fVsWY%-7J1A_A57eOKiQdY( zN{e+st-sUwtN#{iQXQ!8svS~(-B=M84S4bemojX2>zR+Z*r)uu)*^%{jbFswA-#{6 z=~M%fKE%sxGT5TM4i{d4uStWoLTL#!-@Z_Q^Lp4HJ;*>fKJ3nr#6TTh4i^g1z)$Ug zO4Gwn9f1feV4$Td(wEQBS)S3WQzX~{zI`IGzTwVVG&-K*%Q7ON-UoYf?sB@r8~4eG;+w)7XS8jf=L1fe}-{X#Ck++gR`W2M0N#>f*|%a@r4B<0KqHXT*1Nx zh`WxXU~AHLz$-Rf!OA&6Yy@l#DTwWM1(RVU&agn4*b&bDr11(%Pamht(hsT5V`r*c z+n4JHjUno)j&%hb-UpWM1C__SXUDsXuX4HqYoZ~a@%T$@c}{nH1;b%Qe_`2u)x+xp z4-7;-@he0@)wSz|RG$F33YhtQDG^b1V|yUglRzvvzi%Qes%~jFq`C%(#pL&$heXwF z?t)Y&y#z~w;`bE?L+v`<0mpUc2b;Axh_kQp!P zM7mq!hWt&n{m9zXbVDAnAJ=)?@_Jp4FSU3*A3{lWZjDFyc%C(+%G#w0-cEAY&Zs$I zYJh%@MBl+6{GFxX;fB`8ut~!nL4q6W?G^F%hG}N9Q%vZC_3f4Z_NE%dNC^3)d3%EZ zCZ!wWSt7jyfGu*wy-TY(Xmhr7r6E2D56>qrwPYs}Rl3s^!HY7QJ7XtR-xSqp^n_oz zQ#2hk)l5pFtC1DL(i4Xz+ z+oTj&uw=R1aPa-YLoA_?DY4wdbNyG^MBY-tBnw*)N0%>7t~tGdD9OB+NZt#CUgO8NJaU4v z8-j)QQGM1(-T^|d!~2)SlaK!a+LMp}4uX@9{{h~UkN*zflaK!a!jq5x2i-weGc%vZ z_vc~L0#IkVo%Vr~x*^b#LI+Xa8xr~?=A5m9YlNN!JSiNoH$@Xq*T{}bgg;HK zo+ejD7Lz_Q1b(?Jwe-sKo&N5f;69D$CDwYsIX_fb&5zvc1V(u;5PLa3;aDmQuG~J> z?g<%%Ml*bfd;D&G4L>hwcC>g(UQ-IN(irYi3OM`nQOEZzbAHIQn!mo+xgF)*PsFiD ztn*%eeyFxSty3@QG3v8U^oHzm%e6keX)h^s7M1qnjNv1K?|Jp!baTG(;&|2N?u_s1 zto8X`%j>18!%JKpBJe8&6i;Y<`1e}yc8d0dz7(GsP`bg=k)VK8>OpG zIU&%x>XbK}z3UW}ECTmQj*^9ZCnmzPdp%Kk-OS1MiTCyn@P21_etNmRv6*Vdt>g`SE)a1uEp$~$$SA*v#Y7jp=DO8yK5#a3|?ES8wuitX(gMR5VJNY3h^uhG@ z>T`SZF*|uNGkL+|C)Anj-I4Cy5$x?v^7iWe`~-P>1FOK^*0D(BmCg6;?Q$Col77yT zLZE3oMI8Fty<9Kw$}~cPODE_;^;Gwbut3PiJYNYPJawbC&5wSzV2>Vx=-IPA?v6ch;jaaNf zKEU77MT+MC${1k4GJDJ);J>=AcX(Q{Yuo%jpSb(l{06lq^OYFVIzh|B!v`Dek_cZH z8SIiAUl$eZk{Taq7qd{#op?1MPM2S*7dztGuZ-uFI&!EJSsL+9o+vCCt}z>Kud`(KiaQ$+<5J*4iB}-av&{kT-{|k;-$e7CNU5o zL4voMF)+5rzRy@(yKRr}qAcJ0%2!#)@qDPFDPo)-o$0q5^z@K3YST8j$93-f6v@We zc@;~au*to1O%UDkIuJB!eDGi@O2 znBuc&WKX1mHbdlpureBzzwbMG7t=83Re?JQxp?%D>wCk;gtX zR4kmN?O+z;;@p-F%-pty5b0@!hl9VMBHc|nrvfux$0XjItKHe8;Qf1R=!wF#mnDKV zURNe?aXft_%G&_|h^Q$}xyc6S>~t3dLa(X}F?O`bLxrVM`ba5c_0ce>Sm5B}aRG$N z7?3Gi!xTX{cdhYH!7kyikfciQ;C{>>zF$*(zJlGL+K!g*w8ZVUkT*eK*ol+whJ78v z2H!<^Smdp8p=#cs{YNssk?Z+4`*s;yXo~H>3i`#+LsZ`*P0o<_&d${gU4CKZ_hn$^ zXY8Hr+{Vj#SIycwVq)cg#58dgJY?l3vB%4bZb6zfVC-dcz{`@$+FH&-Qiz`66G&cN zc5cor9X-piZ_do5X*760qq6?7JiJj+cZR_i-3j_P!i^1+-Qq}aolB)B^T=_E&&y!a z5|3?YCajkJffi%!jV))bLl-9B#WxCPV&~AzK|V_7XBzPI)n(8fVQCv@C0QB^U7kxm z4W?{8#l&s7$qru<(&1%g?o3|3##i573T1E60wt2U?k$6;-4&@~N2Dpdt7ecU*S~CZ za-v^sGHiQ^^tfinFmF&p1?Un4Ld*yt-(*EqdPJKNk?Ebl!D~oLE%APBIrw&dwAA7u z_OBF?o(1q3fm}N#8PlbOJ2E&RJhDg+0ESFYBp`-47c^i~q)?dnf5v?^hbsbLbZ#p# z@n7kpJl_oPH=`XK(Vu3vEV!=QJ?*R&P<0!=Hd-i-?(AzbdiUp3dC!Y%CS%SzNAv4A zw}ncok&g7`mvjFsP-7nPoC!?kT>8CLh|Fn~z~iE{PrDZzqKaeW-6ftHEjB4~>uSj} zIgnKTG)N*C6G=m27cB&3XLzygKcr3WuQ@Iee%|`;r^(D)e`A>=zPtA(PC!Hn=k`p%pLb45>0;*GZmG> zT|vVL;sgM4kxADsrRL}pS z_E~EHH4e*nTOh z?(CAsB_x$`h%)V`rwv~3kBL!Zh(}?h(o?}oC8w35Me>RJ#oKMu&y3Eh?|x5}NZKhy z`{xmhi?xrUqqHaYJ~8lB)Zoz zk+u5544p>W9fS{uI}tMyjJ7U z?G;d)8oJsn(B7{vI{Neb*Bjjne8m5XijVmWvj`El`?L5ow%SlHdrj9fUJD(Nf80#3 zHwrx1-a&(qCmE8va2IT%g|Lg_%Jyz>t#@9biD)*um1s6}l_j%!YD_ErZI{vRDlvnc5Gvv+>C_I_`|EI_{i4Tt`1C6|d6^4;bz0e?N>s zi?r`-P_<{<#Ba>E$hqT08=o)!k@k4PE!u%GyGUFiI%_{Y+-1G+@xD6nc+|m7f1 zx2f~S4yN4TA#rq^fbHR-rf{5qhtG5n5jh}?Ip>QPzb0!CITb+1IR6h6EP#=EIO}Cy z-v9nPHKt}90I;H>uw*0CONWPFMUr|ztNaz^((RQ_-}z>>Dl4^QEYib?iSZ{DZP9pB zk+jKlys^aC-7V5W>C9>5PYBu~`6d!M;N=>i>v9>Db%I9r9$ur^lg#twwpvLW5C~!b zfjF(Djc|xKdRrFUUru$b^z=x$R8N!AKAvZ2DY?IB*}D2)6nf2^70PLba66H0)9%&F zKyQk@6s(G+H_81jT@~ZoARX___e6RsSjBH$4UBDU zs;o_~*Dz>QWL}n};>_}lvk71KtR*udNxw#h(4JJAm>2`;0KgR$nO>W;6z*rxPW_MoQQuFzJCAMoY{H52kkBFMKc4KS zP`a9UitA0cmG{ZZT*-Vf#L-T{4C|EfoYqSVy35tk65O`Y}4m5K*EHtYu2!U8#|VqOs_yn3YQiGLm~_S0I`Euw4iLf0A*>r z42*v_HvduwqofHz`X%vJYymeh`hUx`jFoI^xrPfjQO0otgpYjIJmtJ6kFFW|{N)+Kh3ZnRb1>alXN zGIt?=x_k8~EfS-ed~S65gLA-}d}DXVHs{iX6T#`EW-eB*$r{XSu~yO+OtMiEOS;h` z(j@Cz%n<9EYv|7)!9V7)riXE+k9R&xy&pYdqL&yI7CH{0L8y*v!SB9H!BiA$|AV_J z_ql#QA5I~f?2e++|M(~xs>8vveE|UQK8f@oA(9Oy=z)V&Gz5}w15+dzEbO33BqxO{ z3Ys5NpgF}3>Nioy`g7VqRFHQ4--T>dPl7**Uo^$0&>Q;IyV=*g2ZhgQ9X|Me0${pt zHok+oAJfUMCel@G-Pkc9^bllfP$E@uq>G1It2Y#j%|HaHzP~jxPV(h6Kj*3U(UEW(>Zd(@K(+bijY4g!D@Bv)e~K;mgIZNkOVlV z+duirG-rG#)^qJE)ZE|Vir>Dt62x2YogP%PTTU3&V%)Rulxbc&`_72ki2bc?Z6AXPwW)6uI@#5YGu^d0l3JUQ0)uI?kxK^$x8(@-OsJdE8?L<0De|7(>2vCN>iyJ^$6Ujy>OJ z4Kzw$#)nMIKpOPVw0T3r7CLfek)EN17-m*ViU?VF_|M8BQM9bk#_JAT7SeVV@c(Yb z{N)-Mq(A|!>d5*I&rGT);>oR4`$$_$kRQgu70^VeeZlRH$gh<&;Bs<%eW%oDJ<~Gp z;KkDaQwOg5eIWC2%6(Pwr3kDf^cOB=#DrmdaS^nM=fYeRIGG+wYz%X-RLCe<*uG8_ z3s@E-$F9dC&1l>T3v;CBT(O{)34Aw?0iTT2(od0|REZ&a7AZwcr>&8KT6XRcHY*A? zK?3p}NU4M?VbZZjQZ!>vv>3OdXsTv!m4E8n{IfIUlXIYfWn;LO^#!4tQWku4m1$O~ zPvSx9vzlJkQNk#j>bI=nPSPEoWE0-lA-226&m!+d#AoQanEbuBJT5v4>sL;As4Wz; zCcUUL?HJNU#6~I4k6?-I-3K>}laA4&Yy!vEvZ&4cvqZ`ClZNl>-%5)FomIv;t(q-o zZx~l^BStyTjO*nz&IJe>TL80cNQKO}!Sc|b1M;@PJ}Y4WK#!Yj3q%QLt%O0M z#N3Q6_16kkDWyoy90r-5d1wqXkdi`{0o`7bjf;#nO3B4KhYu*|xgx)!%AM=A>SGmB$mQG*ukk=P` zlJGT2o7k6}eDU-ASpiO0M{x%d*Mu=I;56mFAhV6VbaQm=sMlk1fPA8dWe3on1eH3= z?AgDydtZ<8EmvTcU7mmT)_>HiZFEmiFwsk4WVZSinX|obokPJysQICEH1Y0m@1p7{ zW7MVX@S5nt@hOVQ_=YHZ$7QjN&CX>Uhw14&ZL(Q*Fg%lusNF!$!SkE6Lq?nXEa7b9 z2>W1PF;A7xcp;B-{MA}j$N?Pu4iCssiHc#)`b-gV0LN40ijv}_;LSgA-lSMBD6qIX z`1XeVrxEnoN7UH_H1G%mzk|s`>ztTHZsSHS$ofuGC*<?dvGmKP6O5Re)2vh#+Do%14PvHxpS!YjI(;fmET2kUjc;G z*N_T(e=_T38@`YDER;u{eIm;R!n;vIp>k*q6F9bcPEg*69EfSd#n3PTzNX-akd7ge z`MTvEzGvS^R~7g18AbdHItKGd^E@Gx(okvArLOzg%hfSbbQc{5%;<-NxiET zKFUCWPb_49K79_-o8qCz>=UXP;7o&Ht z<@MK_xYnlwvSgTa!&%+@(L|V&eAm-)A)Tl=JugDYbX2~xYrPBGry2__LCd7^;7sR- z56|JkzN$SCT{h}BW~6uaH|c*~D$vwFP1n*tB|5LIXKFkccwYLGoK1Yu*YB3kITi$l;`5-|w?7L5! zlpI@Qn1M(p<|GqhAQxF!FWyCmPllDuuZQ-*#y&yDEK^d7HH^;`o_T&~j{BAQC{hoZ z4+>ufCzl5+*^mUuHYC1_`|{H|HZ<^oX4)lGHNQ{#3q+n{jc);x3a96?j`D%b2=$F{L3-YVmiKqYL#51 zCzhIm(Cse*wQB031Ku3hT8;nO0+0SCcOQ;shfW@bRy*pvO)jPh2ywhkLq)$ycXM?- zCENDU3~_BlL{|fmVnp-{1g@KHv@2p9@{Ym45SM1OD|sC9swT86YX-4XgBr8O3&9fp zRw1WV7m^lD^!%p>)kd`k?M5{hg-6OLnGoWaM=D=UuqTd2=!c&;qTb=F{x9avi02w> zpVkhvn4dVj`JUC7II~U-lskmGPIwAHKR7|64HhhD+vmXfE?78-EpX5xM5*Z_BC?nn zGv!20fwTe#AHeywMKU@28;n&phA?R6fq{IEVCWbyUjcx>*eQ^;@%84&Fg^Rzzu6i8 zYga(d2u!^?){XzyyzAp-^4l2?D!2S&wrvU3uXzNj=x{bF;-qa#*x+s+rzUZlLZAQ5 zJ>{qNa#p+eC2z4PNc{GhHGdIg?rXm^A2xJ`XdfMN;J4pbyWWOL4j(r7i03VahHER( z5@aYe9p3FQy1#|B!rn>abXB#2-815JdGo)}++&`<&whTuz4y?)Cfu7o+OYGCT$>DMuwG|zds#3lx4rjvSdFgiY=>xQdt$17Br{im#w93Ab`$R# zht7V=!;u!=O_b-D$GQdM{+s2X)!by5m5NBu|3i?4+A>K6Sz{s&CLx9E3+i@|4iAnC z8il`DkmG5}i*b4XKG5DpRLvbU{}@6K3}A~m zMh?gu45dy1et3vL4gRbKdbX?sVrWvK4s`;heQ`7~bXO_C{H;wz@i3r;d@j)g<1?}cNjZ?YyGkPn1`mLR&;aqrS>+2wL=*@cL6 zmDa#ba0xnd@p;MOh5aaN6#ld&qiexv0^U<`7~YbO$InL19%6Mc1@rNe+DKma)B(cA zb$k!1BP9~XgAw?gHw2G{u@40Y?*IYXTfsq&L#U2sgOiS*zyI=Wf&2`gDJ+Rd*YiJ^ zC_xx{2=Y7vK7NY7vFGi-s!?Z=*Bdgqswe~vB4q=(s^uOF}Mu5Mq=*sKXb zPsjhnvOOAs?vX4cak{53#_x{Y7vky&4T`md8GwR37II=rP=xm$_evb+v*4+IpG!0D z6&cRwjV=AY>YLS!&T;4v)Ml`&F6a=KCa^0#9MTS%*74?p?Vjq^rb{v$oQSs$Lv;s$QyMssMlzHkqD^xD>7`I)=pGENOVj@o`w0JY!xNNHnNW=9g`d zm(@vzmzblgPEV_TQjO&8&^e^UQ5C=vR~2}FJFrpHes>?~R}Ox1J!XCxQEQ!C@aW$u z-KcFhIz)L-%JstG7f685s?qdRJ|p6HbU)M=E#5fcvu=ssF3NsSi%j1z+$qWn+2ES< z(Sni3&pFEkf;r`9~et`%tr>{9=f(QrIP&XF- zi@TievOrrx_U)Rm;O0KxMV_?{xL*2sv$%;u{gE>0GTczw{+*f-)be!B+K|&u`a`-e>bcg#aOM-Ezg{y>sAw^2frx zotXQEy33{B{@#aQ`qg^rsb;9hp)*V^!j+%);AvY)Qj;yQJjBJfgdLx z#U@l=RyAp1;uG!bdUP$_$vKIB>m3}Ef7;rp&sczV2V0Ex};BZ5r#uvcG)4}A9MJ+SW&(3cFKj9}Dj-pDy zGNgD$1%O=MS{Zb4qbRx{eQpWOKXBT*RBxjZi*{A5rp^nR{(ndS{Opf{c1dQZ)v({y zy1F4NVZUEsb;CeJ1_#MPBi8vyWG!#N(*x@!a%pd4_iJBr?tXRVehJ!%QHglcFHmI9 zsN~6_-jl3@fU0zs&@S$CltZ6V6!#eV2_Xwp0j~^^acMpwHhHsS{s>|15PGCt2`)}|EstF3WRV@lz?LESyw-aW zt=rP$JO#9!1{@Gk#R(NHSkx{F?;~5WU`kMs6aJn2xluDy!BRLQ`SSs$3~+sa=&29G zb&*+Pc?-Wl_Dz5(qrxP0I$7N4tj6wQoMjL$qk@9C z?Nd1;r}qv%29#Qwci?VPIrcir@PKt<#+b={j%aG0Xlk8kD&tuxUjm*p4coDz@mlU! z0Vw4UZeH_(2-+m5f_28ivY^_Hra{|*n!(r}uvFEqn9PB={Z~8MphB)z5S?PV``pd~ zTcp-f-p~Wx)9L$d`Jo&Fit8I1+Mk*Q9$B2lMW=J%v5=F#T42vN=ep1;-ZDb-DoxX- zNcw#;<)Dn{C;`Y2RXM7k0m*nfAyoU_O2Tdwu@7=56 zrVtVS52-jt4^jRU#G<6vU@o|U@*_R6g@O_ytT?CaHbsQ1gqO}TkG+I<#S((Eq)gmW zpR2^K6+y(n=c;55eotvT?7Bn>Jx*1XR-_WM4C{ujzwH|f(|0v2TH*kea+p$Yr0@JN zU7{>x&^3xzbbiV3Yt+&Png@Kcmqb*LD43qX@EyHSo4nnP@|TsEo+p1tzg<>hcq)af zXdZ;SmjO$b=&Ek|OY^sRH+OgYqG$mSlx3KX>kNuT_~3zMm>6Tq^?#(sZ^(`C!V+R> zzZ;iI4VOu!c90u^g(ZN~e22-A!UHqR-EQ$m!a(qkQ01RCv(?4`h;0^?cE~C7Q_&K~ zC-e=L(hrpWh@4fWOyiU1a7oY?{Ki63=o;~zf9moW0ENol&P&!!7+Edx^`sor(MnWH z(N1es5fh6LTe$!3SxE>~RX*uUai{NgnM`!?VJDtSiCBaj()~^y`TrkXUjY?Iv#pD} z%isiecMtB)0E4?bgG++D1P$))u7Tk0?ruQ>fgm9vc|*>B?mhRt`_`&0Rn@(_y1Ht* z`|H}X;Y^m;@gG$=!=|;Zifs`-0v>wZ;rvYmLwf2-`*(B`Oh=mL^!PX|*8AeDV7b%L zr$87U>G8@ST8PHS;b02e+~v^ougt%iE= z|F)*}uPy&65)+YH0|V9HM1@yiZb&X6`cO`I6wW^lNt<@f^(ns)3!D%tW5O>_j?`A) zuLue_=Y}3a1mJThk4PmGr4RgFL#V(Wc z)2ti%RU_L+MwVN^&93DS7~4GPHn92T{XP&Jc;81KYc8U_LtkvZbyJH>{{?O{GCLHl zc5H3}t#)G0-HFKBwiwMRr%;89=aZb6L~-|pmbA+Yei62cjP#sB$q#?-M`br8R*eathQR~dSo%%&WztL4l z{zR0;zn5AZ`CMpsxI5>5we*kH^3BC|0X#0i!jSVSx1> z-u6SztM=jHFIPvKqp&J?ttTpct?Hc zq47H1M9*KRl-x@z`Moe?k`%v#%q_nqlM6w!SEY9yG9>E!{E8R}72xC3C<*1@wYTp3 z0?OrMyvc~KX;i+|NiF7>K0QH z7kAOb#g$MN7gx+keP}x|CXi(^Lc_|MvPTI+@dK}|qpvE6Zwg(3=p>>94J@S@(Mf5Q zRp?Pk$vC+6A=S)Teu9Ia#wx?;W15*4k5vYl3X!#(R>b;zs+m_yi*nyjm86B@GFn<< zO~0j<5^1T477kJSy@!NoI6W`iYpT|HQ!H?T;mNpmp5f^@tj}lhUPpV-wtuva{EUi?f zG4_jc$NL115XchEmHi|POivI9E5ssuZ*MK+>GxR!o2N%9(D0*Bmm^c15}A<{{%R?? zNDone*V2BcI{Hc}hFZ=D2azqMTu3-6GT>?_--R^D$qd29MTJu!{vH>hoH9yb5o_p1 zFN8w?K!7lMFt7(QBqX(w_cW}Cw673lTaq$D5)q365QQF64O%K!Yv_&aou7YobUEA` z5KthZWgMg_Rn@GbDOJ{tp^<4Wze|Oel{`mThN13{960&YP}tUH!P5MmLO}Wjwx9A` zYk>0n4HAY%zAuVKK0kykAe4wQADmJsW0P$l{1fpgehrDa2;|xLd{SkemozG&^KNIj z<1vnf++P+DCqf-72*2t!kHr~mzNOYd_=w9h@)DNd<^pBDMS>P<5bP^_b|dIl!gQ(I zhV;N}0OubIxy@3^H55%FJYe=jRumnAwMS2@5@xl@@~ef!bUg#=&ILTAWbhdW1Jp~< z8mO#-@EKuiqMw?z^yyj1wh+N$w^2lvVPA3qP^6OGI=_(Rv^UaGi+pZKoM2 zcdm?ayYcr3;pki0kE!;??oNocj=5nN32(&T*NsE9U>@iuBpA^NOXOr5TKTRNRZEG~ zxZbx`_t6Wh_@2uZNE-c#vyP5id)>^sqN3=M8~7kzJm-*UHzVAyI0olhgtLE+%VHQ9 z5?=k;+UUia!?F1Vg;>5Z#<^J4@SyqoK{C-J7XCF|5eSiHW_7GZt^^f1WNgF7Q|p_+ z+KmsXqmKv%p9tH6p1I#}#~6y9p=DB50__bnK{ndXuMCAo_%=l{D@r~yH0Z|-26Nij z*tLlRDnN6Gn3XBDa_GH6ffW(d3VlX;Ka{{c4y`I8DEb^)#~lhP7HQ2(PI?MJfL%W9 zSy^pIH{@9@#NJejG?In;&b>H-DS#lf;B3xp3}iQ}_xZL0$!4ZF4p zgKI~5+;XTB+=t8(K&MgK380gx^>-Lsd9vcbhxa^HyQT9LR_Xedm7#_yFBo}}VM^S< zwA$h-6)#|GcS2Rnga-H!!!C)3li#l)Hl6N-2jO9EVaU+|{7m*K)oJ#*>%vB7_4I2p z)ONrW+GE}iw`M`A35N&Fsrk5fL%dN{s}Qdahm~1dh|IiG9_zf%RQuvvqu!v|FHIBZ z9Qe-JXs9GAMxIy$+mpI#mAS}QTIMLRVho4kyj|p2TKUY0TSdFa6D%MHylu0*JcDQYODJEWiq5t#qTuAyeiiG!>iu+rCw z!>rm6%ra{wKwtI^({BGOk(Sg{_!m>38`?&7rU`yxUvP(AQ!OU__w&Iyno~yM4|-^t z>9@!fcE1&%6trrk7rmDid8GfLp|ugdq0snyIsO@us=F5Hv{MmpD=FaUynUq5?|6mD zxutLIJf6Q;Rmk2eX^ld@QU8-I8D8P@nx4{mpmW8TtPvdGa!6>H%A#gzK0$iT4oFFW zzN$bmJ<>tp_r56_xDW^WFs$6#R@?++$e?QMYd|L2dX_f2P6=uDB@%?QBVyOcXX+5c zL#Ccq>3=6!#^xy|zpvcCF4&M1cGfY}zbwf~`^KL`_&xEfe!XNM;C?Q8lIxe~TfSpq zUTCQr7!?DbA$U&-xtd#~CrgnPu3+NwysL7T%Pijlr)h%$3JLYL6IO|C80D-9Sma9P zpvZ=VKI-tFaS5Y^RG&3Lq{{W6VA^Q|XN5a}$-*y1O1pRANj#sq6tYa_X;@d^vg0yu z-8)I??TV##=%uW*AO55eeODpqlP>pxxCOmInI_KjxN2fJ(od|K^5j5DG;#$C{H-vr z-65*;NqrWdPkNc&OH#dAJE2O}A)(5;niLYIn5^~WG1qowC)w3kJ>38_3tXB7$3EL? z;8=6@)_k&(x4SRH?W{%aT7HT(mcT30Weq<|)1>r!qm2^?5MKaJQU}N?Xt5^VXwa&( zilmq6lPhVlsxAINn-+edR0%^x(df}cAV$&noZMpY=1)XL!h5MD-`5AObOvXHVJncc zDFw@8D-uAM1um8h5HgH`+HW2iZrZpi)v)G63EJ|Hc5d-vW;rN&gqsW`4hvUD0;o`e zcydhVRYG1fR4p(2+ahak1=`zTP3+^RlZGYWJwk4Li6zIc;dpmwSc-92c+`77X^PJ= zBHMe0h$*NeCE$V31p2$m6ghEoDh$vl`_2210qrjbn#J4rsvTS3X+A0LS-}tpnY&RE z4Wv~6F3rO#q=l@)4%5H_0VrfQ9AH;z9G5;pkA`qo+K~_Mta)jM>TX%97#3 zXrMFL>MLv9I?S3j1Jw?(h7Bn|)CFv{<2`i^CJT3axOXaioNr3=Y-3tlNr>}Ks%|U=y_ZupjmG=8& zXZ){=8fKJ6A;Tan7TE+-&KWq=L-B?=fspWbI4sv`1-`6Z3LQnipkgPG|F3SN0U>QY zjCMK#Fa~m_(Nt{Fi@;|6Na@d{)&nJCk-*B?RECsieccNy6ztJ#>eL4&0=;lH_4{P?+-{MH=Sni z@!Z+y#5Q~+JTs1bwmIuGKq8TgTvSSZL!|8k^A9X+2+IfIMQZ*I3V|?WOGgP^nSJmM zv7^>CK||vr8@y3_Yh8i@8qrPBXs#?OVON0Egi#}^fIJ4wjuRYBce%Au3f~VMu~QoN zjk4DqGo4P3?>!(dJ=>2twi9Iqb52tvn+I&xa?vi)4=Pv|4sJ>LC1t#7Cg%jvId2ap z;*sxMyBVYpwO7%**Gj}ejwBTJ=?8m(Z}2}waKqg|*5pE&sG$TCi-GZ%p|79f*n(%l zjYF=>npz8P`*Wo`byHr zL<}{?D|G5Hg4=5j00dzg51+ch3rP11e$L}Z>h%TW4MuhjfPKpC0?17giLr9QLL|;& zK>UD8j&rVnLJsTkB`o9H2`D}f!*Kh$PW;tKMR{^D8bUru94NBSai{6(wWefsToPFneANL4sse@+MQ1C_SLR(XtS$&nh;l`ku0?dk99#}8Q9eXo`3Qs6(j1?O|OOcJpSk&G~I#*4xp zx~$PEvvW9A7dSF=*fOiP@|Z11zG_CT=VR+FhQiAi`Ti{#{rZ5at~b`uEX z`hi$mx38iZJ^X~gOJnabn7L?{hJAuW|9p-q4_ zK`$SvQ6E_(%IE6>dnrN_Y4{-@_dp!@m^K(ECT^!fF!R8dtr*wmC=!p8G9S&1)_cLI<6oRsU%9!NMboj8dvveEoC8}2 z&S&D#ZE~#KYKkzgq-;?zUzEN2EWckxF7Sm=$1DuJ_|L1ZVu)lU&M z2T&`K|b(T&#^iC`R}da`N~ifq*RbZ9Yq z4!!hQBc5(Ct!y=|1|V1Yj;W*W-^$mWaM})M_peqEgUR;sse%p)mC^UjQ|2ASzO^*B zK_W^b>im(+;YEI7$o}my{M3cGtCKq1|d&)4gg`b-X0w~LSY zSk0jcMe+Uei%2@{N72>aA@m7P0hQ8KI`SrAzYU+^>x=h3;!*YYA=VsZb|lm9Fwy?O zTh+J~V#>qEZxgqS2>T80VeoyZ(^TXLLao6`iD|BV0zB%?DQi?aqe%xgM- zQJlxf6RzqIQQYZFo|X9}Z31_bo^EJ@va^|d8l%X99mmTOyi)SD7H_cey(<;y_kILD zJgat#=Dfa)r}Mkdw9+rlB9!O+fs#wRA=pLz=p@*NXReilX&BXHVI=7IKzw=uCzPrf zT<0fsb?qFi1*Qrn)eZ$232nEC$IsFYOsY4x+8}(Jjx?h84qRV5?%6{5O(Omc?lxwS z08at`3GQwcG-epFn{x^a0GdNB{w1_UQJgK&Q4ZUx5vsNCzIiolI4;pLVylb5YnP6e zWmSV@w8>1hY9*GjEmNu_v;%`})#i`qh!Wi1b4$ei%tn?Jxi`|eQTH%BMV+iqxCrQ1 zT7lr40ue%Wci~8lotX3kjTS=vyPbnbzxfzt(WHSn!@ z@Q6YNOAfoHD909Ex6Cx%dfvGTLrliLf!B6L@_RKdkqR+RiL~f?^T!iXVL%B1e#5ck z1}V>8k5}>oQiwW^h#pBAmT<9=;B8HV)D?3;7pW+|^NP;0mP3vww^@lj=L3Ok?^0R& z(ecwhG|>_=(IX*~Go}PTx>mqVJzs=LBT`n#V|g4)0GR5SS>KI=hCNGVdKE)&yu#ol zu1OG-kwbR)e^E8XTm%lfsk`;Kj3@FR&P1rr{fwaW3Hh)WtYbt^(}H}}s4Cxr!rG)N z&qLtUBr9HA1Hq!_fBl7v`-J+tI|=zQmt4ej!k?$*5dE+D{{p^$G2YUdreLB;1Zx(O zj7CQY{=4u$@n3}ewk6XM%|D8j5G3e@xisx}n&vCgs;j8rpqH^%}W+qY34eZi^YXn8g1X*8ZJPLy|*?2g# zJ|iRuA}mTt+&8&h*!Os1Bp96TbwI@MHKYan9uU~nQ|k1u+WH^pt=Q#%ucAc9DdvR##zBr7&0#t@ilG)?c%_U;aUY|ANA7>JsqrM1U>?Apz^N zy^h52y5Lt6r7N%K=Mvyq^8(Te6MHfUda6}2R~`lFn!uP>OuAgYP!0RFd+^*KkeOQ2 zfExBg4*FJ^*;@ruLi%WMTPgx`e8{=gVYrG3+`V?$904C9ck~z3?9j|19G3g=>DL1^ zyh70gZIUKyuZ@A!L9CmBwelO6rWGsOhx*-=NJ;++#U9$%#>4*Y6W3Dbz1Qs#{vz6Y ziramE5$znv-rId?UBQ15Z2?HzkYUT~KVeuCSHE)43+7kYH}KdK(%$+{!L;ggYb)?x z;u01H8y7Jbg*7! zpp5zk!-Mns!zXl=J zCb>+ovwtD8#I9eZ!IjQV9}Sl!TOkWD2p3z%r|45}a$BVA8tPz|RS|F6kz5wNffR93 zVe8lsXD>QLkAXjVVgxNdtxvcVo|Ii8q-XDzOMC9_CauDjP%%D?&D$wZME5ZwWBw8- zl9*(9TNF1Dx>%^4@rfJFg;r@LeGICEN*)q#ysM3rmAjS#XD(xZdT~6~eS4uyyTU-{ z&UAO;=D5hk9u62lU7+*DY60w|14}HUKrc%oaQs zlRSNSJWp+wQa_Wp2YPyXx3#;u7RqZ*(3C1_e)4rR#^`Jo=Km8}cM-OPKVg&2BGGN0 zeh>`FFk#X}F=t)R_)||H6*0Xi-RDslo8~@i_6!fBda<$@k2$#g3DSyNMgIFb$&T|x z@%)_8ulB~-`4*F}#^s9KP~Lw2A8d43jxAmCjr&KJE7ibV(K}wBH*?YrnD41T6(K+4 zdJq-YV*e38_gDvxyBDz2uNCD$z0720Kk7XG0~BM{9Q}*#x28MM%< z1o8t#(Wvrs4OvV#tM6F4vY4(`-=TD5F-`wB0eP{VIGe^Tj_hE&Uplj^U!gPn*!8fC z2La)jO;jX6ABEsHywKN+ueozK!46TX3{->mNS2^%@%a!?HvwUF=zCV2E6+9GP~T1G z^-iHD)WX1hPzZSvl=BSTIarQ>$t*}5|7e)eoSExnvp6S~u zH!aP<%a=UD$9I}>qgwNg@mEL#XD}nwr*4JIE3C^CuwN%3lbFX8Aq6Ofznxq7m8n9_ zH=;rV`8^>fV730dSIIYBq!XS4cdp3?QG1i`5fKwB&rw7Gq;C3m@*OCp(mk zWMT7|$)vKDb*zVpzX6*FJW0A)7z#X@n-6t>poa|;-5O!^4Qqk`t5?-U-W)wZASZP} zaijOX=`aAgCIdSNzZkZ`ya-C?nG2S=sxW3aw{pc7pnof>iDv-&({R)g>|pap96cgR zx-ms_IaL>4<8zN^jsaEuQl-)Xru382ClbP23~LiOeEPukKM}qzasu<_4lIL3cFML0iXm$wWnqFx zTP$8Btfb_0aSg8wBBm;apUT<~nN^n%pgHA4wWesGHO6h933-)iq{ougd6)nsNViV6 z(0E)dKWeVC2|sHMkoMZp&aYJH*Z~mKwGW3shBu||a?*l2^x#m-<4oKFX(#0B zf(B4zUAcU*2tWJ<3HDJwV>8sxZL--26)Z48F|i^QP~k%7e-~bTnLL}vkAr?kw|YIH z&vTXgc2~gX&a~AmhF&4ygR-6+I3WP~tBsBYCOI5=ZLgwh{rI#f{mtL08U7ALu zpHzqrES(DE>Ag5Tp;cmj*n3d&Ei|rPzG9MN0ZX^iqic{cE9G>dX7lRQYEwQxgh|h< z94oeFPlKwq9i!~|Ns8jwEf$H3xE`fsjis!(!80Yd8*>zfBb%LDh6FN3kx<|tB0z-(p-7;J(CWvm>Pj1b{P~9L_sA8 z1PgEvoyXxQg_iuFr7h4SdthR*>l93Jh;SNtk-mlBM7Mzcf2>P?Q50MS`srH>PaS#d!? z^8YPcA>2qK%9g?z7Mn{G8=FfXyE<=Jb%1Fz%AJbc(_M$vygbs2`TC7wEsvuJvjOj5 zX*;_TOJah^KB+Wa&pxemMe@S#ZN;(DfqB`SV%E z393dJ5R^6!)4-(%r9+46C6~z(1*vhfYNDu91`G|i)eYbG4rD*_g6z;$WlGaCjUfis zpljmD{w<=@87vSRxV)g4|B5v-t4pla($eiJxehL`C}xYujs;ypt;VBfOM|GGjLPvM zMX)7V%$^%VIN_--p+@UC`u|Own`+B+{L;&G!ju(!>l)hGmlcptD@!rgYIggc^0)h* zK@&GZkPjwBJ|9gijO31quj?3B!<;k2=UG!SFdaosU`Z0AX%JAkB!M(?Srm|W4?peP zmdRojoDq zA7sjz_b)L87i&fbLgOCb3ZVqKw8xnut!%PV6NcP-O(I3?b!><4vfdIf{Fm#fxOJ`6G9f>URP6*Krh+ZmkkZpLtw^}8_;ec#@!y@5p zx`NZfY|Th6FK<+MD;~np&sR$6v2|ds3Nox&1`t$#7!HqyETLx&o0RLv0$iZ?4>)48 z#KHFuv|zEIVfRaFAp>N;LVaY>K<+QX3BgT6>Q=l7vOz>gE2oP)8fZ-_Rkx0HaW`Q{ z(ZXy7a=JMlRo`-TOiYH)pHy{JV|(Iu11_J$q2HWOmZ|TtC6}hc%J)_3TMiE9NaXot z*xj3b&oux2<47NRg0N3b0#W-$O=|U5@$N6?Ro*{Q;OWoY7%ma0v-_d?-+YxvUBu+R zQ(5*Nhz{eFsd4P19vd&qm!PXMStbiUJ{ ziB0bEV)l=B_v(I}HhsG=!G?PCXb#mK2JTP9^VnFzp!wpVo)u{VTYP6n!- z$%h;z(YrNF4WWsN5cg>Vg4iNIozOwJm=+Tx)eYk7uE!{K955Mt)2M0y2Mf&Q$((+} zDv&kccY9|>F#a14aV{^57X6-0g!N!iNQ^A3{7F=)`(c3ZECM;%dk_!j9Z;CXq&3Zj z6|0rra90QOflp(#=&q=?x1#&@dF9~-_56$!9*{eVj$tF3OA@_N0>_zi9rZ|K^#yii zFM=G2qpskKhPp)h3`yS?E7Tr)2omhFCi$jyZj|-A0%~B^91{H-F_kN+Gt?rQjW_6Sx0h z1dWY5T!-=Yl$`J#qA(jp!2MH<{?qwQ{4LU6o%y)vAWm`3*r7Rm1Ca#u*Q2zpdbUnx zV>6_AnxEF21ItHA#=}y%G2S%?1C>6^OyujpHI`iziPMD|AH`t)q9Zq@@p`OYk;eyz zoy#o$^{4G0NLcoLqW4*-<$Iy};N$8aSa^mS_854dFn-AgdLUzxecRmCKqgN-KwOkU zz7;|RelCD=@rl2ULE*?V?6hagjgh;+SF-_9byAyC=G|8LtQnfgGSx|)g>-~z$0(Fz z?J)+$oa))v4ALQFt4&;rU(^PBu5FC0gRy}&6ZzJEW%^xY5+W-3X**M0K z;pin->izx6OeasL?HGrC`VjN=w73Z>%5poE-*DsE|8`vjW4bM8O>F& zc(M3p+C0#|wq)8W*6AAm?w%V~YEuy6R1rFmrrA+2kgQ&2KM>WX-PBZ`k+J6=8DR zq|&nwZ#6cbP77I|{sDrxQMJK@i%-jAw^Yd=pagg2Q!#8ey=XT`_D>KN?`v?>l2#|S zM90PV!x+HGQVQk6omvC-5b>bub#>J81@(<~`G%-*6+!^n@1e)uf}`zn@B%j^-yDBw zP&_(oNIgAkz&JkJJB8?ZCua?&mXO%weg;1`o)YgoKwag`+T#fKXRhzBZQHuKdOyE! z;SD(KsSu zy$`LY_P62BpuCGWbDKvg4sYfnJNJpm@xKDpF}Nxh&&Y znvM)d(FEyvrAFXfL(nF5b!g>&P$K$41S^xEy~a3pm1Uj3V5sTXBHH>=p7xlAPD@0N zw*1uo(O9R(s4zp*QO*caJ@qQ5)XcNAcC03lRZ*jrL1wuK51&b!sBi z0Nb23)`i$f3T&=G+vcOUhY8*>rQ>A}H6ts&@wIovsGCrF?sdmLHfHHLB=<00gbPPp zZ}C+w7bRyDrav?*O;WgoAqeah0Zn zLyPjG2j?xyY?V(ZgEzt;!8XPPRYpie7A>b7ZN>$6G8kr)!7n4-BF#rR>ZnW6q=Nh^ zXo43?%6GAxb6{e`?>QsTqUCPlvv#C&;DLSv#HORIj{Ozs^qj>^oa>yaBrxmfPoL%^ z!$~)80am^YJHbX6(N%#@xl~FsOv4>kt#3{Z5Wm+f#2KVIZxyv1rZ1DX87^3YeVvav zk1oSVPs{HF>UkR|b7)U_+Jdf5c-C!Y651(G^_|B$-;ubh+DGIV)yICyh4FJ93(nKd zREV8W)dzYl6g8!I{qB5#?Wz5N3{Z$bGam2HC|0rGuYAY37H1gO`rRXUGTx zt*qvlDY`Fo9tjL$XOY-g6y~Kv{`Fnj(xR11grquce;rh-yj-a4Es-Gfk<^QAJ=cG^ z({d(jUqw&P-79#y8=+%4pVmgZcbIn!Q5GHnvRDF&?1JrriW|GH`4XhI?mIOhH0d_i znKzTAo1Uu#$W`*nqqOoS^5Qc&ZgCi|Y8Htfj^$sq95)5CuBb9}wTTLEY_ALV3CrtG z+dNVdwdkgsh)q182(9_|;#T183kNG=zbcuQLZQ_j5gU4pW@`L;YDy(Ji3{JXOIQRQ z2=B;klODxmKOx5On^=ttVxrc~_}SvQmsZb40)2FK({s{{Ynz%E~BaRn!31;?xqW7-`y*f3tt(U6$)?DJW@kN-R%br3)?M<{MAhGf5(nx1Ce>JB zGQl1zWawzCFRaxqH;n>4wJ%$v2OeK7-2A#fs<)?C=qshx(r#?_=LT1VaaI{>=FHFz ze{o7as1qr^>&_y~EH@|0MC6Q{%GH)VQ5@ zCgj?Mp2AO`*k&GF^=hx1F8=9lB*!gQ~TPL_bP8;hts$Pdf%%JJpC3$KK%Je z6L-Cv!tq*=b)g@0Q8kcdgYq)JABLx0300?neKS-MvJCuI7$U`ts}HqeFwDyeE26C? znLQCkYAOo+2I1(hyssWx021h&U@walN^MzdP>K~=Y}X{*W)8FMfmhPgqoFN{qDBm% z{yr`2MUG$f&DrYZU@Q`K;w;Vs#x&8Ve)VcBY7-wQ<>Zxz0(|}WvTnpk|BD+h300Ov z4Da}0gVhaCGR=|9%@BbRO=ntOwxgvTN#*TAxItN%0bkRcyh7(k#?Ft%Uz0&cLfUJp z<6d|wxUIxw!s}xp#Fg3^mmmpuO=Jo^!5B~Lvx_B~3avw6`ADT|d#5V8Y;HE3rytJJ zxPtmAokUuav`li8&()i$2Mi4F#1-#{Ox;~|w3cvViKXPAs&2FfS36mJ*J-4|7(S~` zbOAg&&ow7I_T^f(!#EE{-mgIWrOKrSt;#N~$||kOCauaMEyig*;X3tAy%X}Lb0jzY;Emc&MtYZjs6{NS?rCi&2$I!dLg4~O>n!~7PQts5vBm^YdZoN zE*?Y~G2MZX)%pBhCvw75=R6#rWT{oEh$=HLyZtW`>w(w`PSY()|M*Qoys6N;@v0!H z3y-NAkEsjqpi)VP!7fl+7tfV7kMJyM8tQ;T4^?1|k)%#=Du{k1giAwhe*B#`%kuaH zL2ix6R_kIRke(US`-2Aqq)4i*SE^D~M@+CSdQVCP9V!pWnF1b5kTNXgi_R~A>rXF` z_KJT0rTR zy{=u!eCCf0&M5m@b4dZZ@u8*^l3=-WLTbLc-J(g|UR&TfLH1wwQm z`A@1C8tdn6J4GFT;v={rSJlKgFyrm{xyz`gA*k7g3LQhK*(y|KLVd`whJiYzE9l1! zST4cXWBfsLuB);@VKz|kJ1l3}(l`mSdT2$puNAUpX&l} zYT|qKGlEZTt?UQBwRxluKrQ4CSQ~cU-#atoVokTrmq~f5%NE|{RGB*y@U)k*GV}o| z8mtAyMTBbfN+sLP!kxdNY>NR*gSA1;tMDLi6_y6VGapIOrC|iuLcSY)4nIfP;pN-! zy(stu$t8Z$F35mn8qgS-=}&tZX;r3a5T=m@)mEFIc4lTL~2d_9S1vDB|ln zM0WRSgR04lg)5dZI&}Pz)$pqt^o2z~7aiDN-Hb(|IE3n-GpP^QGH`rSkPhA#v_&Ry z0?O&v!|mpgoYL*nt@kk@WA|Ms@ykEvLY`))#OFn^%hLPnw*QizB1g7x`elv1sg2NI z0WF+PT2H95nd9P2?v$mV)=4bnDTaA$PbgnWj-Uw>2^l$BKGSaz&7=$fwY&`reVVYS z=tHwvAlEzFWpTMp`&blwR&9@pB5HiH>N9B>ZN0RoLb%|nE7s+Q0ah&>-gHbH?ywUY zty*QHMxubB{#+3Z6W<0Kpp3c)Iqq~7RQ@}+)q~D@hpTTNx&T_}Sh>?hRh6#TP;T8H z(p*;cfq>^dgGS<37-76Tu&la>tfKjjAuHhQG$4=Tta4T{D~(6!;EX6WkmpcThH zhcYbQ(@}&Pb6EXG=z7pkrWG+)_9E~~Z*uGBqt)hTrIF7^SBF#;WnRc;t2BGzyKtleWIUt z;Wngqrw}vUma{&n?jtD*hk#726M$2ts&-g9lYT`7_)>C7I2c< z!)*+9wzr%7@bV(Rvgf^ZHj)v@j7-&5<6K54T?si{zCpQGJR~|i3RDq^tuP3N-HNmJ zz$guLSdk>Y{nk<-W3Wc`9?)jW?2)2@B|&y4A5!yX=Wu|#{li|Sf&9%BD~-AU^qQkx-9Kkd0fe#^k5=U>j`2>(V{Fp?-gUAAsA9F?I}Ng%$I8sNiPQ%t2#< zJ@RoA{6QO^x|u2%R>=<9f` zSkZGR@I1AE+?ZE#6CtB^!#0k0N7fmQ6$qI}iZTxPr8Ynko>T!b*{qUMIc%{3lvym^ zmY(9pJ8p5MzW+C_fHHdrma7E2N3uYVoy5U+6qM*i5<(hP7xxc7el*``kred(QmdyJ zbTb7L64~kP?A|>|4AHPoW9DD3C%ZLf^^> z9e{wU2pH0G$kv#9cogORN_|lB9Dc}#rta>yXO9>!Glk$x=$Fem(bBvqRR?nZ=UTvi zM?wh(w!jVN2-c_H&$oWXOPo>;g6M)r;*ii^ zbN1pvT5aSWXGiA>JP4&UQz>3G3lSakw_{ZPALy^}epV&UiT7)(dC|prOjIu;h!37ozzYvqIR>vEn&V3c>#86y)ESlEkutcqVTV$pIzjM zd0T={9fkE+vOU7i1}PZ`z`WJm4*x(u8kjsd{HbYowJEYg_k+L5hW{ok+n@U%SKm_V zq)5cA0I91oL$^EDij@jTf z!5?U&BJR6k03>eh#eIsCG%mfu;dUYmC6HoE1 zX?cJTnA|EqiE9Gp#M)2$5_YuqJ&GK4_l}vogVR2(?4HM@q#0EMuO#JC;MCl z4>?P~0**u;OBrp5$a*sf(pcYW>>s>hn1qmy8LLjXe4mz(1Z&BNKD~mO%t^e zCLubAB4#ww7OP{L(R5XWzveZM;s_f9ApJ^x!v-^p?Gw|-7o}lZLWw`bz_IWF#T;)9 z0g81Efs=LReTBgxX_*kdcJ6DaZd zd=%cs4q;o)YoC2k#Ia0*U|1e$yx)md9sr8IyR4&u{E5E}k_)g$eG%oZS+zbMt_4mu zW{)mu`DxmXiC-fTCUZ$fsA5zWP)gB9I&*e!Q&Nez2Sgf{<)E&ne zO%kOjS|k{g8|5Y_lsinxsyRS?^Yz_lET7%H4=0fS-Q+bMrqO{@z;pNe$KkZZ7becP ztVR|mWu@4ee~h!-C9hSE^24HC@%H$~BKtA%WOwI63v#lj|LM!= z*($2aAw_gsi+8?-I(zvnCBwxJ@b^jZpJ+?Pwe9jhs*qo^&nacLNyl$+?OF4k`{XGq zT%k9bfLNb^B`w5w1-xp|`UcnqPO301ylmo|QOG$s6}8GG%`x>94dT%HHnidn?_pY0 z)q_^vqs(QMLDibnF>zq@NRC)DTPn9nmTBvbb;O_$VQNtxwn>lIl;ah6Ht}j5%E$;^ ztT_lRnk;z~kWkHG`l$ANu=~onbx%0r{3pK&Nnqt<$5+7|sfqBJ)JP(-Brb~j3-`ep z!0S>`$cvV&J2^o?Q_$Tcv|+}$>rY0HwfTzzUn8_8W|YuCkI^Ani8H+u!#T2M;s=yR zulNSMA9&a@;Z`JO>$i+lF({LKeNE_B(k|WCBlT)8%bml&o-e;1yK(*r^m|c^{c#=p zz&ZRg|JS3;&)T9u{cpe7{shjyYx#+$Cu65ZnpwFu1$ByA#|YNN~5{KDavsch|hhcg{U)z5C;?wSBs)cTdmks;=5q zb!0~na<@{Th`K?4Otwbx?JwxJko^{cy&<%Vy?~6oLo(P}MIYl5!&BWhsZ|NY|MsS@~y9{ttR9&+& z!n>XIJ*S^T$;A!-$mNK5$8tj!<1RHjB;7xFA?x@HWlq9$gy!~Y*8#6Pkt}ug9l=o% z!?Ga+-gDMYfD>%eID@mE70LJ7(?_x6~0K++Tn8SbVNn63yoN(AW3>Tiau?yk&8ADgW@u z`RbkTOY-DhEuhoRZkUdGV@@K{_!FiJAmVmFv8fiK(Xj`h0PYmFjKXvx*aDwcjm#s- z6&nlswu~0x;AB_$?wZ7Jw=Ba;%NoViqQlE^(Uh4<3$=@<$1skTc6+83gnDrA-7(ak zAR4M46j#*CA`CjJnZVK4Yaa64lMs~qN&7S~f_(Z*v%rqEb1Dt#gx6D#sWT3_Ho;%G zHRh83odcMCm+mz^appz4Ss?q(w(#Ho=uRDcS3UZnr*S(E_z-tNS|1PTA3K;0<<;YQ-aLgXWSahjs= z{)@j0QCjKyOqZx%L;NouH)U56!$f2&lPX+mlLj!h;|l!X_?z?K`o5va{e;XDwN{$! z7XNeJ|HBh0!hCL*6Ss;98?&@x+FnsH-2m0IVDpPz?H9`(Qs#MqIL|l=qcITc(E7n=H$DZuIOp`Dvuqc>3vXgevK)CpUD4}pBP)^$EKV+oE0VN$1bW32)kOQ-l@?9L zcsHub5~rf>!Y&U5$!H|Lz=wj-a4E8zCQ<$IKp@P%Xg3dV;NTw(Is8W@j{i}ZHNyE- zmA|6Xye6%%>|r|SV0OLboS&mB)oQ&eE;a@LIY;D*-QgEHM<`J6haI68nw3X@I7TE4 z+rCi;FQvyTk|I&wKqh+1g^d)bnSo+@Io72N3CgK{pM)Y5F3K2iCk?%6skqVC zei4q6jo+pS8^+Hy_K4ew+b_cx9=_5;*$ThHw~j4rMTl$S8PYDw;0!XUR?a5st6QXN zj@$ljjXnMK*YR78na_Pz^Zbv-wzbZ9rOIjufH-O8PY7&Tpkhmsk<-YwBGV z$Hw=LfHFZ-Haw$1iV>0stP7`Odq5{}d$S6xGhlY8;vTNWo!OnJD1y1qG+O82h|-ag zavUF_vm+oFWTq-=H8aI-i7Bi>A6(2)=2Y1M0bxI*+kBc~P+OvcoRG+Y?Rd5?3haW< zvn?&j7&tzJWfH%{FZ@~S@8quS$iKcFM5Y1jjfU&LKvA1NTM*WyuC~n zTQ^Q%lD-E+0~@EOFpRZ!JZhEPy)kpu8~mnS0vQkgyeQL;hjvq)3MWHqDy6yQ|At28 zrk_u44p&cZ8c)i_)vrh@CNu*IRf~q?9XtY86BDEkcLB-J39NkqpIDxm5E1}}+f&f1 z1x@n{eUfl3l#}@#Fm~CNM7^j%tcaEcXH)8Dkx(!$UB5t?q1;eG@4UAdxqTS`waOV7;Us>$oIjht35+L z4@Nni8S;US?$0I@F=6e5;(D#Rw0H0RoJ~(%k%oiusP{y9`vVcTl-ptB}TSK$#XFtL>w zfouCVy-!LbW)$?vmK5~W+Igleb{Zj$T&re$4_XSoY4y78BcIZkkylXzFJ71)_0nb- zY;+CmEPZKeNDIa}9<-`>2E=ScPpyILeY3R-bp}6wULM!qSzF&rx2G77w&veQOGb-% zGg(6?6|AfligZP%?gjCz6{pEFVd8Nmr?~l9@zka1f>xEne(*%wL?-)wv)`Z#-4}}E z!QXYSyGvX4*RfA!7`)MjEe|r6KIPTW#@{kI=3Ok<+7Iv6_dZRw#4i}9<9SBVwtr`f z)$k(ja-CR8-{dzZv>M$&>NE;-XB;`$HY1Y%R+e@J9ab<5@*SKv$?!%QChe~ncKEMZ zrX3B5GIoOgwWFTYvC$UWvGJVPu@N`&v$i!9@qDe@=k}yHy>Ar_XAXBXwri-nz%{a6 z;3Fk@oZY$N#%kUR|M?%$8TIfYowS0V@e89XT9|cIeTK%L#05Sn?68~UEG(0nc8jWy z#ONZM(ykyIcPB2KO(=DWn16JU|3CQQJwXoEdi4g~Fm4YDyN8z^_sa_Fe!@Rnm0;Av zXT~q#aFAgznhFbPjPT-SkzbJ;5Eaz|vfBO$Ty~FOIu#yy+AIO^hZ>>Fz1sl2A`Hxx z6GeP7sTZzU{u|zm- z!a8!hJ9ArniNqUNAoj)ieFAw(!4T4OVe^3A9syZnT)^5Hp+kRZ>t50 z3Zg%m!O|_NYtSg@#0W^#<;v28(k(hm)#Y+V-DfLT9mW_7S#UMWIQ=wkx2$-zj@jx= z6P>kgk5&vchU|HZ>)p<4vs6a8sBAqiE0eMRqOR`s8d)*BrYM)X>1SMd_kg8Z(vp|K z(Dgn*J%0|`sM)GsKt-x!a)xi3rc?^P=?fzigkoB%q!i^>5X{|D&h~|EL|p zX#~$7ATXf@b}d+Tki?OFY0S{s6Osg+2nCJsJF zwIUaNFvn5K@oTO!F^nm;`vSqBo~x9jW{&c@y2byh^!7H#Q-&qIpU+s>P&<%g`Y%+J zKXb4+=&~VEQQ^a%!wymS2MQz&AGpCW!p6A@yIC=5L~}|rf-5eIfbZMAL(fEhA#ltA zJ?o5*w_QvUymn1uv>2I%-tIv&zGoUs% zC*h+EjlxF^4?J+#7nID`6#eiMoJM#P^3N?uY`CRC7UgsR>UzN_V0^Esog#mBZq@&= zwg{xzB*&oXDZtxEkmjX+1K`|-VLORe_W!^fGuw^5@_>h}G_!{fJq{)tZ1;y}e^(Ke zGtfYv`)f8J1qti8Wl|IyM4WZZpbsrBAF(SXQ5JzQyLQ|rV_$a2(3O+>^UlqyW^8X? z@tU;ouf67dEel|7&9YnO@y2m+S>W_BXW>J?AX}y&5WiSJhLpteqj&@6DhJz3yuIkj z@CU3Nt};f-@kIv1 zUFv0CgFL%O)%C?9trm@qrj5h1b{!&2jUG+Iv*~6wyxAgYJPs5c3F)@dyQtG3snqPL z4eyz8oArW{?waB5PlWCxv#hVJ{VmNpA>^_>LvS7Akmo-|J48UVgpK0A*QSzRN-xRc zx1_QRR^c@4xBOpy{NC@|LHmOOdb1Fa?IzGrE}8H!M}MN2(QP2Rr(vnyydpsI82CGD zUuLt>($8;uhQCT?a2YLz6wzjL?NlKK(-M<9U#20nls%Tk8-!82PzrT5TC!+Le)m6U zFEVyoh&)x{u>Es7qOINj#DS>vq@iNf#TaS~-UnhHAR17s5h7zjBpZZvMa+K;_x3!V6NQCU^QbVS7d3T+>Y2n<(zn7_ z7JB-9X{xqVu31!ya;=seN9Q1h!&lYWMmDn?*BUw%BdUt7PHL?@59))l;R0VSq=VPj zgvonb&J_px#i!?wwc&KTV!J5ArKPX4*lG|RYA{#6B?#!6dL^9=Rw%aE_x)Tm*~e|| zHL)W6mWWb}KAy_5#(^&5W9bmSf*aj)G$KDay8V6pI{dufeLfCcK3{d}6iA2ebMBF_ zP2TevW}Q0saxEPO{A&!c$(QpRW<|O7az&m79E+zw&q@o6K$Bdncax3`9R-UvyF98x zz*Uw7DuF2()k3@@AM`?e;>oZNJFD1jNi#kX)P$yYRGts07OUHm6XKnp0N6_y;FnXC zZ}5rnXlWGb#kD@jFDC)>?T<)#t*2W(3vS7+HNSy=Y#m>39Hbkb;jt{nkTkdOk{fGogtE9(c`2p9z1m4YNa&?sm(dp5e zCS~VFVKwP~UWD&Y5$zQ1zk@*8jiry`Z54VkM7NhqbSsnYP%Yn}pSQyXp(@h}N{HT} zhi<8DVc@6GNFu};-98Nt`V=V^;gO+)9Q38V%JiJyTulu^bxA>g?#2^<2zGLKItrW_ zG28ZIEU!_b>;Vrpu=%nj03)=?hZ}|QGrYmAM+Ia@%#W>Gn{NS(zS*|Y#}idyDSw!# zE%|k3N0Z{xOb@cEbg?{&Qmvt8*LbHiYF1?Y8|?*Gc}dTS;x%51nD=JJTpOK8s&zjx zE{%@4);r}?p_g&xFiWFNZy9WT>3;X{`{P;!=lSySa4n!%?RzMBg6M5Ps;}?)69I1a zjsyY@?emo;&-KflaaJjoM4Bqzi6M^5FC_l&up~BvBvn(W&Aa~taEwca2ZgDMS*8bs zRl32c*1wzp8Z|Rx+}dqYj7z`+!fM^3)adS6D;0jqn~q;FZi-n_)w3Wt5h6&yL3*j5 z&MF2-App*_bkPzhB5aix2De`%WepVR#!9$^*%yF*o)Wh^4W(U&sP0b&EuyQQGR+TE zI+vCLfg(in_y7PfI4hwb@U0w!fN&&UZVM#hXZjWfcT=Q41{UWP|5;zO#G#)9^msJ9-4Y9XVJUasat2k|FwOv%{S}-oHjJY;D2U^@*;9qppS5uIe0bo$yDEqQ~A;<&Q8p zu5ZkJpak03PCOzRT^l;bx`KhhFigC)cLSS8|GJI8gKmHE*31k7*4mYTw!r4wR;7G9 zpvE5Fb{tDDiQWhaK~{A><8uVB{-gM*-xsh=T)UUmq+CJRUl+?*CgUSe^liXoK$S z-th_Ph>l)Zutf<8>DD0ji^x^xL^P3XFdx45@zt)wvS7UQz%_lF-l9*bupHmmaF2S}}6&R*;I4m6~p4JJq8! z`ue3yP>kQ6Bj|26lFsYc1-mQe8*+{twy%GepwJ(Jc(^tpAzhZG$6>*yxqvVBOYxti zTrvD-aZ!q5^LS^&G-xBXS;>QX0uVl+D4&r3{}fo(f9{D6hEmdsL*FRBnSp;G=*7As z2~GveAW(o0wk089!t_wJE=d;GO)iY+n3UCL$m0Mk0nfDK1UX!{?BC*uYMgdkl~t`d zI4d!qj|(wJ!&1-OXc66X3g%ZqUn{f}ao@I`mqm<^?bJ zXjC9!YmVUFlzQUX9Y=U(mZ^c|r$#>7dFmhOIk5-Zipe4mCKunIo-^^TW{}V%Quf6RA0U(}GNk`!l~N66sAI_j46fnPHG58?hYkQ4~|vor}EE3OehAc+Q!wssG(D0 za!&})UndYax0IKIUeIdA&6#>R6pD>$$OnOULw4{=1!kb%oYGRW*N>jExuaM*9R4Ta zrl$Ix#Slp(-;`!7zTXi<@m|x)NVpA%pF5B*8E=g+UO?gy0GLU{)aZz-b%Ra!w@VxK z0ketJXZMgemiB*KAHW{@ln#z0#K`d7N^wa@HS^E$qex4|1-Z{bSs{w?o`~s`PDgmu zOK2xSL`eTwABSdeQd=Ju=cp9g1VELS3)AZVwFFQxzlXSF0PLehO~Z)@`UX0((Fq9p zBu@F2*_Ekw&*y2QF^0vc?4GFHu&5pqs2<9wUhO5M7DS*5t;qS2=!Y6PkY<(NV;Y~} zk_r+UZNL=DqXLCe{NN8&5`bWZgO^i8a?*d`eIvr;Ced3(BFt;Nza}@0!xbhkYh}&JV!W)LxMe1MfEcx7BeREzrN>;FzzhS<}e_d9~ZlqJqHX zrJ9C5t&T4w*bMrfA23ieE-mS^$U=`d^*lH=aplK?j{p}yI19G^I(xBZ)l`-F^|}Kt zf@ub9D*P9Wz}`dy^KGCLF0EProh|=&bzG85DUv+sKY@Jfe;k*q7yoxXiF*EDw;aC& z-dD$Iq_$nVv+PxR6cXLMRNV1&uW09I+V+G<2$~`Td7#k%fv4C~Vxt1r_|KpA(&QWQ|xb!JYca zFd@mS7ov0TCmnCXMDh#2Bp>0}sM1uJv2%A>=IiBF`sL~A3ZGwx(EH=z9#tv;x-$U- zvhxm%xWNHoFE>+NIQh;4Fh_3$0MMtiKasba;TJwfXX2m8_+LP0;(=En`N;@`E?olD zZ^mGxbUA(;(vbPoDM^VI`F8E)+x3TbOC)77jMmy7)Wo_Xl6tx@ol2f)os=cNdrsEF zO4f2X{kUa-%MndxFZDq&ZK*ldmjtl{{AT9YnKZ`aMYE#8Pbia&h1VrNR7^{tN-J)e z4Wnu!9Gh$?vqzmV`x&tmhE(P3o0K6ay4bs`%g#CB#}Wmx%MTxa-w?PB^Ho92e415w zy01_yG88T$Qe(TGKP#)&QOWBfOOWa!JCJa0c^owh{trp%Rf)pWBC#lW^jWZsa%0oS z2ZqMYjQ0*W>aLw6s8bna_AoF@u8AUHS_yj+rSqG>|1n@am00i#nTK^v^sJ3?2r30(lM*Q{43L^r z(YQ4=#hg88K$+%RP%1zwb%2uqk`O1O`|T@G?VBK45{PGNQwoD!yAr*y1c^dhs*%~t zmte664UHJ9^}sv%sAafGZSQ_imcOKUGrth#8kg|Km>Gfuib+P3XA9a!T|UbY6L$Z5 zE^bhDXmKvP`OzP?2mCP7e(fvlO%D{3x2y4#MGq?<_5OP^Gf!{t_We>MkI%@5I7Dyw zpWJEIw}*3)z+*3}|3+w9PubVKLznTraD+&`P=sXhJ8F-#_LdtC>&lMn6u!`fja%D> z;#O8K87sH1dNK3BE)yHNW(^p+c5jM+xrnRNph#Z_l>Fi`8T7(8D(H<4vu-`V$K-|F zucFhnjy+>=W2lof`c2D4rr=hE}rdAk5l3LEe(P4!feUl&Fs0B+YafzA-!p-TT z(?+1js*FBYd@UHwZ)E>E!=odje|6=T6?LF)I3eR5x~TH9TgJ1D0|;MH|D|1-8i zGf!18P^^61a3TnTAni#oP`5 z?9Iy~e}&I~h=aCQiu1+&N)`T#+f|&`kpA-!>ZUSlYzfu9TYdcS4I@~Yqz!4keDoJi z)#8Nh>S|c(1KghHtfTrJuD#xywFxrk)_T8scD%XdES|qpEt-8@$jOSbsMY99@77Eu zk)<-g+a>wpkoS|Zf}sRrrFs`+x z=p)C!pW`1oaQYo^jsWD$mk%2{Wd}_D87A^T0UTIF^?5^ZAv!o_^u1`Gz&swFA72l* z^dF9Q#Q!&RCQ^=WRsj2U=i8PVxA>bNX=Z&QIv+3zwEI)C0M3RfI`B>d=fqs2O#b;A z{-&Mf3s16cZTUdbDXcjYpgz&-Wo~H3`D-ZCoujd`%sB=pQj@KbS|7NP;a!b3(1naGT^O;GI?aOtH%;%e#c%%9VNvm&J~+#3M~Ej#J{dJ_x(qh`CNSz zPONJcuyaFg#se+VP9?xJWg=EAIqTpX^7#@U{#Zgs@FabUYU?ljBzq+jMNA52Cd z9-DWUn6cwaO8w2maRO$|`n+g0qHM8A9ESuhT3&km<1ks{&CK8!Tgl*uw`@96$rre| zyuaIGMlMJ*M&cVS#*t|vt04d<3I)H@Vb()__T(pf#Vujh+AjvpUsasrcdpavZ36;O zbSL#tKS-sF=b$SJkK^=Pw0=bdT4+$h<^G7ok4mkNx(ok{aL()!(cSZi`#ohxSYliP zO2k`si?ZU0_yr=ic(>sank%i1i!nl~3BA_mj5#k#W?rWmCpAJd85XEkxH%SEF42WU zr5p+TYZxL$gXDhWlD;HyP!b?4R&=A1KvtmaX~~E2eGp+u;CE`G1As=?7IDe!H%_)v zF~`k1|EMOZ_RL~)YHV{7 zK7>T7l-RMgX>xcB9%x$7B{`Lt>PU{85ARP?(n8vNa;L&)q zPQ>5%pFBpkEU16EemqOV0<@G#jg=ANBs>k3Q8lpHpNl0|{^V#YlP+mG#5X)^;5735 zN^k7M_#|&{3nP#5@M*P0>-Pg-11c|Mk&j85#YlxVosU{V*ffyL;ETu0$!q-Ig1E<* z8_}E}UVUjWNzr*sW+Q#%tBqM86q;K*Bm>@#_8Stv%q&3zOFJO*@@afJT2{GN>)XSX z;H6R416!uCAK2fMKFuWc7*nIK|g=3Ng~OJ6Fpp?I(Wa_Yy5_e zNV|e4qfv+8EeNLrK1kkqL4}_ zf=;kvRy;?6!d`HYY(le|ssFGLSM~2jTl+{|Y@V;(qOX=V0m6nwIineFFzdv|V)jmlY=mFNQ!X zMoVQ|8fK)zu%XYsN{{FO1j^JQ4#@A6II79}S1wDl2at;v9s&0Rq=vp>@Za-x=!0*< zhFie>KTK8J50XQ;|x0pJ%>qK(L)lizhqL%yx9E@}xtZ}L! z)xN;%;~BfAUA2W8eIx@NF3a(`n=HK|>C4o3{) zAoZ_0-pti!SxPr3P?ipBa%lC+W9doSg2x~XWVK%FSWIRn=Qm8=02MD}h-yY(3Gz`& zU6$$dS1Y0^p*u&=5|k}Wwn>gJAw^i(uNUs5Kxq+*gjNSMCvI@bWZo_uSk55+i9Roq z=j%^Xjva<~o#Zv-$U!H|XZ7&<)&+Ydg9!d}^^jeH>mmzok znd5|)@KpNqv4o811@`jb1=Ja_VHrff_=3t3 z3!G1E;}<+4s53*~zLI8agc7(?>&Se}dPyLDb+RW6-4P^ArFT5;f{p%x5tTU~MlyG<8UqQjnb!pab zGm~V1Dhx9)J8yPjrxAbdWF51!PG%!>6Ow#Wi?bTg%XM%o%W_Enlx!x$A?4{$DjeYjR0-V|m#e z`c@FBJjJ{Qt_hJne?iztT)tO_LI6>jU7%MXBija|c_f%#sF&P}%LKID+J9$(!hjIQ zlkQdsZ>c1g7PvdMj0LQW!BC#%KNGFii2WgQZW|0Hmh!9y2N#mk=RVae>l4rCuPVbnCv$MKXWDL#X}}Blb^_sEf+sa zh^~O9uJ&4iyHMZ~eNm~8eN0gLT}lWas4P_1)0Lk?B0+g%w+Q#6I-70T6ueyylY<*` zpTIg~h%%mbq!JEhVEfjl2!1SXOz?MsMDdKw5q2cXn$^=jxn?`GZ+b66X!~eTzOnM# z(Y^JPGe6o^N_ZW+MrtXQ8fWJ+JU0L?n*8W2UhLGmb2$Sj3jIk9@fWz-aS2)T#>tlq zc~c#dt^wV zlN_(|$a2<_JPRvOMG2ii5nFRmoI`5Sb`vgxsw$sU3~%+FPf(2ayoUe)wFI-hBKip( zW&rVS66!9fmMtppi9tJgo&hOu$5LI zQbdp)#C2vK*4qn)}T*SJ~LNGMD&N z@*K6b46A{wP z=YllqUTxSwgr0i^C7Cxjq7-T7W{{)qvrHGQuMyjLvub}7n zd<#;(G&Zi=%|s#pH73#ZUS_FeIV^ZR3g8s<$+PGj$?_|HRy9ZWgU$?)x3na4_m+S* z&3tP--GwO2^D;sXO^wRntyB%xDu_`hIYu_jGc!vB4wCQ&p}joul8={5wG!iVG{)!D z#10NgXhH?|d`7~pcW^hjxgkAOJ>53jb0>)`=jC>T>zzP=I2qgI3DQ0)LF&O#?xhVU%3|s#COO2duoX@?+l$6RiLNG^;&3S-&=&TsxEDW^(4`(9^p7a z@S)+s(I`^aMZ>Q^#@Up#A04y=WFwD30<1F)JRzM7&a-WqUJx_v01W{?w43FK!)Y>m zdtL6wM{xn(uXD7=c)q3xY)$#C#)1I6K-sC(Pbxft<4j@kINuMBmCFBr94J2&$gA7H^b;6l0A% zIN0(zSIi`;HvUaB*B-ARk-wccUh3p%1A=hjFPQ)jr;3J8IW24g1|hvQty!wQUynaa zEx2cA$zmftz3pYJ&#_l>;>vnNvFWJ-_e<(qRzAg-h2Xps$h6MLg#i`Ie_8Jll4q#! zDIeebMQ4;8hjiYq_RgKp`+Bz0%BBx2BSc6nlJsoC8Gmj^|IRs&t4n3ffnN8ypb87M zw_>B00k;Cz4J~MBx*Cu4y1ME@F_`lX$j|d6Kh|DZ1M=#1wpR zHwa034}niOkAkw9&tl-)Z*n)*)edQC>-p22dT|*VBiP; z;UVn7K1BlBP0+F{wRTv+Io;CW5X4?$Q!uwG=6t|BK6T=mR`w)*2)%#*9G|s)(!1o^ zFgAnWXv47y$8{~=CKmb+VOp#o!lmGOZ~HE3=JJIg3Syip2Q~(L%R*K$XvI~bQcirF zm>tI~{{xIX(GGyDz`CT@+a7!X95CPPgB+(IFzuO2Jp@jh^oh=Qn);aW3cWvY5Op2V z`v}|3T6Mnq`Z!A%-$lVEq6Q5vXO2v8X@2fEzLil-Bb$`=QMMN$Ft8gD)-XTxi;KRM zA^kDe0t8@{+P^XylrMkt_!j}J)S5(qW@%gS{pd*$_%k^R?oFr6^>&k_9FIQF!9?mF zfHL>JilU=)dwTrWbGv(d-!{9OIc)O1nBC9F_XJHX!-QV2e;|`(b2fd=(mxUP^^tYb*UW!pWoPbGI-WeitspK3zok zbv3_%hH>gAIO>R?Stg1~_t8e+u{^o97iC0{?FZDt zSD4P(v*cgN2n(w~T-pu#z-yL%S!5cmEgt@I-4mUIO`{(=X5k9LMDN2r9Q#LW8KN4L+T#!A%GXKU zg~2T)->9ydJITEGDn`T6;om1RTM*xmL%PysT7v4rfv|I7b>+!+fx4q?Sx052HM-_` z^qVL8IscfJ0dw7S2&zAa+|f3S$-Fdd7-B^)8wCzNZ821Th?-$W0uss`3r@Z&9ZGTo z-&PN8et=g>U7)87HAGj+jKHmr#%V0iFI!OQHM9TYIQ)w+A&h7kJQ%;me@G`p<5OmG zD7oL&b|3XFK1)8X_l~!S3v5rw79=iru<+NNkAG&IM*v`1g$(TTL_m2#wa5MnpfuIw zFTi4gl668AZ5!L!Tly9QRg#R~aWapi#rdQf_jrQ5o$_Jt0rS0w$vA^?PWX*84v}-` zrlj$~hbeyX_D@xI8if+568xZJMMOKm51JPW_FO7|r+4)cmomI>@jfZ8LzHhk@tBC@ zb2)Re)5qylePgg(&|z*xFCIOMP zgm735GH`Q;Ml@A(hAflHZ|(G~e=Dhmd0#Q@R+t~UeBEt1=gmHc+csBQZLt>(>8V@8 z#nlA$&ZwiWiSbE~Dbmr}oBjUb95G)y_orMYLEnvNRhzDBE7q2dU(3r9b!yg_Ua_%= z;VuStcV$mb^s%X2yf@%O77ZtW{BZp54nJ1)h^#Yash_y7P|MN>kyu_GH>nAA`a`EY zGi7GqA`#?Y#mK)TihE2YPE+Nz;qJ$n>2TgizZz1@E9A(Ped(2)NAcnLe;)>?&{WRX zuBRmI0uz1_Ga_NW)T@ZTAd2Rwvssq2KfbXi-(Qehy#5}~b2e{AGlt#oo*4e`Wu^B7 zDXiy)7A1rb7OS#iC-q9!P7Ji9J_)l7*?dpzzp7;aKFP9JLrww1!aB$F<=9zAHFhn( zr+f-t5u-e|1~TV4+L%;c$F8^i0;fK?NQST( z2%IitkhmF9cWa{}Q;X&*9DlHWjRR z^a_cJkw80Dd}K5t1pM{M=Qb z2=)m!dMH1vM1caNa=9v4RinvQDl4R$uP7I;w-R6T+ui#l(9=29&~peA_RdSfLnf|pw}FLqh}7lM8U!t1KOHQB1axl*+Nxh zegzvHfSt~Fk6fBbZ^UDf-bh78Qp!>TXEJjBmq z$*5n;JSL3@kaJvCiOc)2eXE#AjLQ{SvqAB<05YHiTJZ4ACS6KH3$OVQWF8wy zHWTCk*VlJhrj@vx>xL5{sPv$qYl4e0j@T&B^YRqms+6ryV?{}Ud&bFbziiXMQ`e%~ zleDNvBTYdOA~WDRlef%U3nh=4onMjEz|&Bc1ES#59j3$aM1WnSkt;#g4pBf~KGk?m z(PTA!KnE`9`uJ**(vaimq9pJ~s*0hNUm8#b>T3zFcpzt1SAn=ggYB0sH2pZ63}PqG-D>9NkL2KU<=!;TOhJXIb2-S?FK|+ zY?FLhHw8>qMk|cXh~4h+2LOF|N#ty$1IF*5tAO%QVOCzG!o&v2y%;aeS4WsM{MHII zuy`WH0-0Pts#&@dq#9NT2Y5vZs`(Ckh%o5_XNJ2LhJ`9k@K->5{#ip!RhXZa%p!Td zZUZU`z7H1tjOw+;n_BqVTmbQSsoQ2+8LaS=gSyvDF2|m9hFZ1Bhgkb{w=6ZtFkmKLSeA`R%a3M?J7uR z&^0KdT*PsD+L-XOS*9qE`WmL{y+v@7=G;g%#}Iq5h@YBLA*mhhTsY)SU~ry$TEC4E zqT~1EpTd7NY^6d#+=c}&*;JY+fVt4D(o=@t7R<%e#eOVRVy-*T zQbjj5qhu~l04S-mB6UpUm?xjmJ^r1pY&C1c6|Ix+7~|tzO1ts`W0$QcH)k*Jn{CwS z+Hz~IaK6#d$5ov(`C2V|2PLed35lkHYFn?ob|Hh)`0%Jt+&X z=%><5iS-eox=SQcdyp#xP7F(wtY&-V?-KM)%vk!X1>`jI;>-X9i$ zE-KwoqK)8v4H{X*p&Tw5lFNI2s9Rolv3foSjf(*w{?-wFrL6c{|0>;nNCAKO{!>x# zp)ycG@S^uX94!DXyZvE8Mo$e*7@uI;*QV)j!6({|lU6F%i2Uey%^Z}H*rW)tQLYM- z6t;@UBg|1H?Uv4Baosgxf2xo1%N1$suhfZm5arOtzmu9Fd!?A7ff3~=HpAxjnE_q8hT{+9D&t$dh zjRkUV>04AvYm&6hw&hAcc+|?}i5S!*1U)nxz!A|7FbO+E{}gKRynsb`T_^IqeC(SmqX zUxy?4VbRnj9@5gcWY&*z@Bm6Pyj*c9yd1SoLMgndj26?d`ubDim0K1YseH|*Ea4{H z?W17K7UL1Vo8aFH_zUkGT#{C@J}I5mqQ;@`paq2`^HsuFC>>dKLu))Fn{%WqmuB8Zq`z7s&x{+I?n#{3{e-;}0|Gtvw69YTG{pEJ|fCaF0LUPBxbrlOX+G|La zMnivD(;>=jVdigXjF0vCh;IoR3z<(Z`ay|#vW}fNb*g!pqBQBMGxUw708O|ax-2!& zy6sOrIhQemtSnV&y)?Hm!?+$;aNq%39H>g2ob(17sfv#M(B?KsOY2*Cj8|0|=W?|( z-BRrotL40{LLc%UG9Tv0NE3&Inm8^hQkzs)G&sdeFOP?!y5}DSJTBa>&e)UYNO5u= z=2tBhtN$_54xx*RroCKkfeYn1$8o26uocx-PsQp9Z!0t5aeR4B zJ^f4L*5vlgvO0&Asi2*DY0`ePNTIOd&OxO9QwaK@LsVhctIgN(4|aIgH7sJmFto&I z-6x(zlRUGF208EyBdP@pf)^&EwNd3;)M&ED)(l5QM&f@gKAPZvnK-vuDG338@=ejl0^Eklr_P2tlV zhk*WIN&04B4kQ*U6c1MiczU78?tyNa`DUMN3wA8;@*n0UL9NN;VHXkVX)=qYxL?S6 zrFE0)nop^27q{824ZBobc|jevf4OD)@@mFcXd;3)Eu#&i;vW!-CP#tc!_A&kY*}6| z4+Bk%uH~f9I;k2%7rs%}Y0W-{vBLhfpjriqB4S3~WkHEoJzL|K6riQl8c!Fj zWH@QIf=Zy2;*8xB|oa!5u zkv3IoG@_RoaTd+_}Z}$)h#cKUCdC@tn`b&`1YRnJ3nTP#$nn4ZHf95%ks0BU-*(~ zpQPohrWbcuZluFIfo=udQe)qOy+aN$_Q$4H=bsawViPz@E`1ElTG~j>LYQ&fc1FY9 z1R0I;a@R05oo4a4!_`%q`j^zgUvDOI(_<%;`~vOq$Kw6a;u^hXRpIg9|&+RqNN z5P+BG3ytvnJThPcdV#2cJP`$DK|iRZqk>um_c0CNi?ebWEX0?uMB{qj##%$@&PwPjHLPr)01m89^?4M z@u(Z)hazi?5g%6W5k`i00e#TDBE|Fb&REfD)g-i{SdTO+SR}M6&uXmo2V!$&4VuE} zd;{Z!Qt+&@)Wn^SAN3ObebLOoxAXU#7nKX9S)l$(iWe}pR9zl*2w6z4Dy9R12mZUF zqSRAVKCok?$HdRBlZ}fu!>=}$A9zU|I5vCz{w;kN*5g(hkZ;i`v1}P z&S7>uUAu6`HZo?$wr$(CnVDp4+qRjpZDov%lCf=1PS5W-&wHNt;QQ~puBv<0T2;Hc z(A|6Q?z?JvkFBk#v^C*h>KZUl?+b${SY!Rj0#AJjW;$=~Ksf*MJ@XFodqPLGQ6SIQ z#4#CsYLfyM{X8m8iP#LisyPa+>OKLjsyQtnxd16aiw+I=>I5_gTGb_3%`mTk$h-AE zmtQ7_ZAEPd3Hv$lU#H0?`BGUQbm!K;N&(7jNJLtG=~!Fon2AE-NDlc?htbcO`Q5sI z>50F7+#vqod@HBeF4q`UQIISBQP82bSb1tPtvj647tJRY+f{+%nNienVjKc|c)v5w zpZ*UV_VAAaj|u|Mnluk#`7UF5J~25yHHEJ6439;=J9u;Mj<-+McXvzzMEgyP^!rdN zoh55SujiRd#-4E-Tyr?KNY^~x+CmpX-9e4k8&u264D>9^&3Z(Fv%U2NX3w7r%#J|{ z%!I)U%$OkxlpfpZ&PK|yzi<3J+`L1R(XZND0IBwTAQI3mY`Q1d{jQ~8HD(X3z;qhY z2lH)U6a2d<&p%7tJr^?#t;>ne5f#|i@qKMud3u*G9kl~feMj6lbq~xon9gIEIXVjk zj`2l4F!vw)CEQ72P~(OFrno_{{myuFph9uP9pGNa5CZS zuFYH8Y$pqcn{{%7dV0^Zozr~#qn_k7UclNco!BjZZ(GVdyoPvST<|8AtG1VtEOq0D z)fJgs^wQXdAzdk7RH{QVW8>INue9lVmXQ^^fMX?`x690sABP9X53ussnqwdrw z4(e9Q3k^r5Kh2v3Ru-ZdW?N!?lP=f76Rt-#75mnbs*r96$+I0Q}%Bm}9=b~%d6UwQSLESYf9UJSG`f27Y(87y^Z`SJ{DmQgT9 zperOu)5V6HD^e}xH(|Q3>tm$4t6O5Enj}A{&gw|BH0Z&?YJz%rT@RxxAbxU=fRN?R zU$=^ZkcGiNd*D1j{%MR7Tc7<`mD%PuZ^op)BaAs`fl>`h8;~x2VmjuZIxCFrXITCC z5?TXkFIs(H0`>J4HLFv-7OUeZ+3>@@si z*(yWodkK58PJplAQW@rd(W@#32?k6&w@QpRwU3>7=VT;pJ&5So`Oqi1Zn509zZh?p zr-tP(gO-na)y2;I0S#d$3bn+J6KRAWCDw-Q{&YsC5m{8gPb*AXUX~j*qq)y4_i45a zb9*tO%S=fr++(7y0QCwq_)|1Mw2DVbE^9&kLapRxNmBg_dKTbXxiTraQw_(?lmR(ha=-JCh4Rw&Rxt#(*(+RklQ3%Grw0o1Pps``4H-`<>oLw^Bp0DnV3O|M2e~Q*t zvq-#A7oz1}ybYCT6!fL!&xknMw9^`q?{a@EBu+=9fz zmo`HS6XSF);Y}=mvQ=?ILzpe|@+tPpJjzpdZ^jwq7d=8#GRH<~b3qU--0LU9w1mY2 z|HTb1P)J1ZjLQZ{+km75>%m zd#?HGpS)6eiV!HvO%a|KWzUm}Lewh=VGtatA)A>l%>Ic{;Fzl4>wFYf8>MKa#4roj#biw*7%RyOYl zrr4G3-|5V-jsH%q$|d@sRyCsI3aKZBYN7{_DiLTV1!9QF>RBmWCuxw5?>xhvJF#z6 z1S~<`C!}y}7adhc=TY^)i@rF@EO+PYY$hr)(FBc>$&T+j*MgG}*7wHN5c1yKy^Z`; z9P#vi%A1nwBFeS&A01LiVcs=FwiA}O3`ub32(HOY)q6sGI-R83qM1afhVXp5x)wrH zLrUEs$eD1@Ls8*E0{)&H_>0T#Ma_kkXMMwAN=_65{+hH}532S{4<#Y=Lb+z6y1DO8 z$JOXWStO=B{Uox=a=Hqk-l0uI;x4ALXlLC#Mm*+m9fyQhv9`ihJfZa8y(b{Z%}r|2 z>{DBQ*xTAm#uQ}7S*?vV2wj`6X+b3rYz%@zrt2dVl_>+C)k1ird467-KU)J{+jnAh zSNrYVZQZ}Cx)o?x4gdrrPC9K8uCR0Ky*);mzQ(2HmJ=C_4NRxK88Oyxt=Es3x`KA0 z*g=@*sErDL@*g9VeD)5rGSJ?tH2r^aBlrLwN1>H_SlM{2Mi zV#6`EU^d<26&T6N`BgIUQNqk=7{)GbOrOe^t{0|=1eFjpRA2Hi>1^uQS?{1;Ywf<; zWXoI|wj*RJrlCs*A5+jn1wiud3C{SH;yqwrChhQpkyFMGkjE;w9p!Tr2>?M&7sr^U4F)R5h5+fmk}6b0K!tX%uU9bjRZ7I@ zL`U6^@ETJ)`yyh(t4R{vBEFYLg$tAi5@1^irBN#a!-%Z9z!|KZQIBNVwA}I8eIKwnco#OzL&VYufqRS~V zLJvsY=&Ja)c}lY>jnq;xiZq7iSYT0)jyM|u;R4%+-%5;=)jd75ce{4c#gjN?Viaie z)(&S3psteZetUCZs@4%iU5q4Tzf$J>xUX${uZdy&_t4Nmg$BuNIb=Nu$@z?4P`2db zG(W2spod%5F8%InR-vkm{0*_p)hiDY5?WFGLl6ED$I6$U9ela(IY7pa3RxOK_7|!U znQ7z^3CWO9zUY-L=**y-bUbVB%a<~72s_ae+TKF(c3ePnO zIV+h}+RQFMXq+z!fst#)A!l{9Nt^jn3mC>i%5}f)GA~xnu)@i8-jpH8Rg-g08xsk+ z96~o(fhx}Tj%L~+zzdga9WQkjjeWMCq=)Pj>~pzDrgf8nB6p$2Dgm$QbnE-tZ{2F{ z@l%H7KsZ`l0yVi1#|dCx{Vpe8--EHptN{7$emmH`3gJ)2ih$b~6dMZ|`?T{;a zuI0gDj1wN&;8ivtVtOM-?|%M{oH2XaqeMYHyhcfPZjXaJ1o!!jOsUf_O_9~~pLp9^ zh=PSMU6gwz1W>VZCZUvAEsGE#<_jAkgXTpr7JVwjTq%DSbX0s6^9Z2=ydgqrt16s2 zFj!hZ#Y4AeHuag)P{6aN4Er z92F2&dGEoPSiL5qoa!?T_7o^+V1o8YQfvG5*4+Nvk(@5WbtN=<^)0Kp{&%q7$%sLb z`tUHnTqu|V=WBOQ3p;{SNQ=mumUlbGOKgVh_r>Zwv~Rc3F-Xf`C71*sv2x z>iR7O0q+Ns{{R~~zthlVI^192awP)g3^OiT+oYZB{8p@WHA9TK36IuJjy4lTi>8NT zZl$i)XdpQMIEbjHJ0bppO#2TFON8QshLyFP5VQP2!wOA3DM;kJ^rsWwb;++R@JHh@ zU$u7t76AC}B7b&)pmq>sXQhGTytIUbBAwjao^WxC%3t|D^Fv&wVK46lR8=itbx(V~ zsE{n1b`0I9pgLpU7VSoQb;(3>KiP{sjyzpss<+m{k#Z{6XC0;W#SId{VZR?IBY7_t zFFEKIbZR_Z2=2G17b;;vo>lMhqa?UYgOyO_vbQdlWpwA&%$%(IQy#KRL;WYDZ9KM+ zKmMjnPgmq}*_f;}{FH-pva2XA$E1g^5;H8ZcrsW3rD`;%$1a7)eiO4$sw6z{2Qupc z$lYt+5#p&g{G|Fy7ajk_a3iaV7yrQE+^OeLCn~_6!jC`lx8ChoPpS}g2`ph=B0uZ*O*+4CrKuejOHA*Z62T04p*$5h$!Qim`i=##h{04S!z5#O( zIK4D`@d?qpm$i~6Zo@B&z&S>+8-)vF*GsU9$1lwde99Jlib+q)!ScTA{~%#aYyAI0 z!ul2c{~}?n9mKY9{K$BD$W*yAT z2@0I1%-oShC+0}P#K|&vR>Mrs^_+1RX9>A4%e{z&4cG3+&S&iUqfdp2xVX)n9SB$9)QSMCv#&lHXp9f7cH%ed8L8a1I5N{#ztq zVOi!jT+Qb~t;>4w9^{J}M=XddEoSwb_ZyV@7gx{+_u3**UCH+J1!Cwo30V)7)POoD0vjLQ~sSxK^_JIvWttV0X2@jf(N+>&g&m^z*i%T5~6uGxjB| zC<4p)LYT`fSdzdx@x1(0-7vTKNcwfAfu*{_gQO%=VVuO6rLN#Zp`}J zAf>IsVg2HNqLA|nEjgdv1ts#uIeT$y89D?d;)w(n=PapnlXmftu)%eBZEyPWpk!JI zJf)t7O$lk?xREuN@QG__;e`#gvVwcJHBqp@L8y$c6P$Z@1BHbC{ut5nFwERQ4r!8e6(xP|S1 zcn6rVADBb@9#8Q1u`U+`$1+_&MC^lt#ri?Pg5nq4V3B&h=NWV~tONVrPrx1gdllW) z#;c?RU|pdRW}gHK9o#}Gu-r8=hG=7v(nZB%a$96WYUeQsfnZr~A!R4vXi9U7un7jT zj}ab{uv#X*E(!<(O66w~RngzPKMKp=c(-eHB!K;`{kB*v#4|VR$!0DjU3@~PPWs*D zs3#NJ9bAO11IngFB*MrW35YA4$(XWqZ(40cCf^ZUzf`Y$Rz;M39<*4c&xVI~V2*=V zO$U6?%-%da#IDUhw8U;S+~16Zb7ZV;Li~v1Z?b67Klc9?_qz~@6IUdJV$QsNPkdy; zygmb`qGEYrX2ExrR-|&%U@Kk|gO(n`KQY4z^+tNU1WPJ>ir?irzYuAXU$v7g;a%~- zd+^gUnF2$5+HyDz6Pv?~35r2DUkWOYWD1n}h0a$@SiI) z{K1WFSuwuAqle`E+qri>_FSU!ID)((fF}rCb zkPa%Pr3@&a8lU>#IyI2C8WSksdEG+a2qM4BB{SoI8x;KxJ3Jh&=q38VS9n5`NA!UM ztnSDy&mNs(Bm;U(myuhm*`WXzR^M}Dk6g9--_20#184*}mn}RMES5joU#w@pV$@VP zPqsxvKJy9})5j{7PXKSKI_b&>mb>fkIrC^8@RS7p7Yj?vb9<%WyowcJB)nYQH`E){ zf$>d5q7+9&J43{?b{2=gurUM*t9lDWyyLYFEh_cWZ(HM8m;yF{LAbFedHK`m7x6Xh zs}{mK*U=Cp>F{U?V~oV<0No1U2$xFFuk;1U`!mWO^1=-4+BQ5Oy)xv*ymBy3dxPht zGJ9C$AXw>*KsM*}H3scn_(ThCqPxj)}v{J=C^`P9!PdYiGaHIdq8|Bo0Y4PAr%w5X; za}YSMI9xw5lN()V~eWpe2$uZ2t3>R-@1=(k-I;!3~LTNXmk1W-e_ z^MbzA49YPqycM@mmRQj~v5-HcA_k0nS^WG$bSa22cwGL5p{M}7*y(_){pyzu3NMNc z0X8euSMmuL48HLx04A`KDf@7>F}Mci`?{gwz~CK6hggSkV4QiAs!I4;Yd2*tu*LgA z;wyq%grHp&!lA4ZdV@8NJlIlj9rB`lrC8H|jnbL5j%vpdCSQf2xlW~!tF^kx|Kwg_ zJcEXH_5=H00$aa=|9Br?+9Wa#kigxVo}g5PQ_R=)gIxq=^{K(q`=kor2tqwf@Cn1* za#kvhSa55&4uLJw0DRw5pz^rhOfgEn;6j1WGAje}a}t${;Ingb z#Fqw18gfuoRw+N>4yrOoUGdmP*j^~HQgfkMd~HUrQpXfb^r^Xkel2Z9P8m2@3#+~v z>EZ@(%Bu8${tPP1Xq)VgeT71u@#VkVQ)}o|9Clzcpz5`Sp5C-uVA^T&j2RdUSHhm<-boC{M(&m-)dZB*@loe7F;gHii&+!P(GS(G%Jbx7_ z%;bR0I$(@fnBNFDWIy8&9B`U7fSHU$hw_;XE=djUZ0-#Xgo}!5`nA?XEAL>DruhFE zHPW3OECx1ByR8u1{rYFR@)%DUDDjxl(IM|4x+Hd11D@gD(Rc?hu?Ef~-odAtej@hq zgvIkIfj8{(dnx|7fjXlpGT`XG9UQtXRS8dyl;*}WB6ylh`e0w}S1G#%@ozFi#q zU)+JTcQ)wHnsdwb%|QyXK*9Z0k>4ntr`uhT$@EgUbi}#tIZgA)^Ev>xAf5PRDpe{< zLzcH1^f2aUQnfWnzo~fuvX07}Hz9Rcr9igHc*#VcVp&Ac8iO#|jlKNy@A0K29a}rX zr)B**{!o8K$fpS63%vNT_!CfeD!^erW{?#ryL+7(+>p+hEU4 zDoi9rDx++fvs>_pvAKO)S5thH!|jLq$@`pnvaJ0x@m(R{t+E)79kX9{JhqyshqIo7 zq-9u)XUb_J&T*W4Apn_{uRmU!B2a zn64vo$=D=pW_celW7xF!&KAI_e=~>#$DgmX;eS)W+FvCHjOr#}kM%QCK@_+%G;(h}lN3FJBL7U=+OZaIS6Z~S({C`37p2#WORy?H(Ml87+N z2wrY296nBst+aYhjY}-=v#tQBKWmVnr{RGq&8tFHtp08mpiU_5cozvt>xIc^V=Oud=0y(h7G-yQ9Zgf5z_`p#Gn??v2XNU$O~HB{xqXDO#PGtn>% zoS8GGZ~MKRqR6qOAPv>MqB@JQ&k*uzumla5K&mgt&Qi>?5b0_n1(0c%3&Cy45YkQ_CNreevg)AD2t&>YOA5-#aA;Hn6d6vT z+8yJ1REVcBk zizN9XsFu|RC+~BbAadflh?-SjYuI#6BP(gcSF6lqY+caMbDO;ee!5&Ko=ZbXtt0%} zIhT+jUMBvHvkwMuT8F`lC4GZ6ln5TBpc+ zd#~HFPkI^mnUc(r%*RtVl1bm7$kvP7Stmf$S~^Zr4km3O$&czU!2>(1ewiXJgKAmy z=W$hb8+RB;Zw7_$hF;yB4OeZHxVe$ez83{htA9cH7ZpBMM+AxpyfPCR@tp#HDZ3!V{tYraAK<<-Sp==6a_(kC|8v zquqlze`*o#2om~E9%fOuB{)MB_4m`vcyE*LSFK9m^@Lgt$h=EW=#f2=ik1Z z8YNEW2}HQgnUZ$?Pci%G6gv#m45{d0&K@W~h7*y3CC?^(8Q z62F$i=Ls4Fn~Mp+psqqE_P!lE<8|Nxd+p;@961kKKWoKg1u~i`-b)e3oE7D5Vl-BB zI2N1AH6m3_pmOgHqJF+xtt)M{=^DnrVLM-{dC2F}qbh;E;v{eTW}IO|LEiq&xPrzN zs#`+y5Uc#5)cF8}tTHlf^V~y`A-6X`6qJHhI7;&PzesdT0ZMr(OWVR9PTAk8)k#lSsisi={TDkZx}`l z=r0^{r+eV%pF|^#9?N|$itZ9SmxpJbPN<7+Nbm#+@wplLL0n$-SJ@+u9=jS{q35qW zt#nWdZrVj9M=ti7!s23XN~<~30E}h=@W2ceu;ia5;SJl6Rlqb_S}u8<**VD!3U}`lx!bATDNOff@C}#HXun_ja3{ zsmb|rcW!otuQ#l03MMzKtP0EYygaTMrrN^@N6Y$Iu>NT58n(QEtsJ2@}(FTk0>ABYN{p>ME1{_C|P*Wj?2duCzp)O zg*-Xg7iZ{{@2gj|C0qYH`6Nq<=kfZ!^R)B!OtWDGdm8?Il!Td8+WDv7&owDvlTBU+ zB4N`s4u={s057s7c8zJOa*SJE7mSC7l_A&_hS;CVm+1sBGWSc3FJ4twK?R!FnHoQW z60V_#tz7~8pWEeCQM<>Zx~7HI+@cZniq+4>9~k=&LX~`^egDCSk`YhUY%g;p5)qZH zuL#K4+?xE@eZaeIPLcuH&gCSeLc!Bo-cF{~gf72K4RaCh(?v@s?(v*q?>PA2d?hj> z9`_q!zc6@%Bg;qyRuR)jY5t2(@mn-cuaGkPBIL-}-3hTju+n+Iu<(~3Y-c0o3h2|X zVT{pDWP9l2_J7G8vojTjO_I-WTnN%aBbUQsGnxq!NsfehIMy1SoVK{5RqdOWxZ|xn zkm7?|B==vq*-BLM! z>w`J8eW1({8r@^bj~21dlCSzGPPDO%dj7G=@sK#P(1cKT2N^o<7&sl(9Ri(@3^(AW z_`(WCd(L_?J&&w8mZ_o20mMnRFs}KA>5}aGZS2B_=D`QUx{%U z)rC!wapfOe{Rh`j8;j84TY!2Y=2sS4kh@uH7u%073LojTsj=-6^;xrdbuDv(CB{Ue z_qE)LcxA`#l0#7X6UqCDirUb6Mcz+@)_xyg_^uV8^5*_S z;Np1th5C$$H=lMk!~Ko!*c{oFC9EA=^mkSL;)XCwpxXuIA0BDBh=&9ft8vP8Dgn4Z zQk@p47wN^NpD)tIR<)8GF!w6Y=pLvGtkLpWqh%@ONYPw;b-b;}58s=g=VWKz?Cy%w z4cp!6tN=+QyQAqlb$6iZ8*_J{=u2?;qWt{!tb?d9g(O@~hPSEf znG0G9K+IpPcOxx$O-!$sAvKi~R)BFXRPg;f6n|gHEw)A~u||?xBnR=;Ntr%4d#GXf z{9Kz%s-eg5C2VGl)zGY2>0V8ycCRV+}rvE5bZ=5XbIt8@En9NRSD@FrOVm~32{(j=Gy2?g-k1B!q! zSZSyQRMC}kyqTeeVZ6z+<0f*)ULBSxkR0I@JI zE$j`5iRpgY1YVLXS#Z1FKz2hbi10iFO>JcoOR$usH0V{oy~6+)=ht{>?(@{&-muzY z9GTGEQuujh0R+?wlALI_iuvO6=|lmr81WVXDUITHA7?aapzKV-(ySP{rPK*D8QN5n z2&M|=P$rwmfnAZ_s98~VJ#P_h@!OXGOG;T}0>9kg@aqOfBOgrisp$fkBH=QN*`P-) z*19io9!nLe`5GjLs|i~=A4qKYfkvhum~Tm9*^z+1tQ+XoPS*JTqYv%(CvD$fo8k5k zRXt|>Y?7s(+!GE=Sb030C&0YS3C%euEzxWUlB1_V3;Tx?{Yx+&D44Uk70*Y^@v>Nj zsgtL&);Jt@g%kbBgx>y_AfGpRN30cZQiv6~QDv*=rK@%fy?3%CG%I@@#D~S8$EHu@ zx918d9Fd7WOD!$RW$)3(pP2uC^-}%r^IpTO-ny`LR2)M@@2cxmUKlNdAECx41{ZvN(Ld8KWZ?0dYm=oc^BoD^%-ThU~iEt#eeT)H{-HgAWVKS`s~ z!3(LZ(*V)|Xtq$tI$a`_rM<(`91F|W68rtEP`M|oV&6ioSt!{~(X7z0*j?Q$UlG9e zyg&Kw>eoseGrTlUWfqn>$zHoOnHFS(cd(NU39;g!0M_^jmoi4YMS0QcQ}Qjv&j(_0 z@rA`42t{QP42~ZCcaiV%1-LLYqE-n9%ie_ko5*(w0gL?Ls1M=?FrCL6YoxsZ4k~3g8KwWQ=)@=(igQop6tuYOqf%~f6%4#c5_3Oo@ zRz4b=4hKRR6@}O#l%kPs@;MVHk|QU3LYuQC8S~L?J|6B?*EMw6@ay386pR(m!@ThQtdz*5a5E`Tl#c5G={gumhg4%*- zz3yD*G?732Vf>c@*CnhOe@V*rsdQtGxl*74g!ApkyA4W%eq`F6_F&a767w!hrjw>H zts1eP9(4WR8UD9WAVynIOj`g!S71h0Fho~CMqdz2Uwu3?Ro5@_UyESUfu#UNYOG~!^Uu+mOm)KW^eA59jG@1G@ zX1fwS!OTOdXCx)opPAG;!pc|0WEbg;E54zqG)1OW=pEy5Wk}n2f%7fl5s0}8L>&8| zFTPP$yeO$Y6qcP!%nlNWX}oE69FMHZ6WW}3SJ^$+HQ$b`z7tkzxK(v;*LlQ^h-4dX zoEkAF%_>5Qpgb)&Y0no^q3?s~jmQUN5r|a@#*ROVK8VGVsOL$b(99Gb)*RNw%vNH|U2uyiSEu+y zUcr)m-f^QBf6;6oztz;wCWtgVQi@8<5H6Ou(`S+|kU%+Tz%s_`pZ=J*}5XL%+K|g4a32-ue7FH7Q}D@kxVE+oLw3ow+#n2+*V$-&riD7qjW{xtxIWZ zjn3yz%x_Q2=g!G*FU;pI&u{;c&)w+1uG`edZKT{q@|My}TjDiXx`@L#<1nUT*8@p# z+p)_3^!nT}g}B6TjO+~se8XVmUIL3$dxkt^a>W3&5-0I3Ps!d~QnMdiRl^iMR-~(Q z=}ORizA`!)8B&Q1e@eq4LcjTOr=xqNh<~g2`(82oNszufNn?Qlg6pnjCcnyHtHl~w ztS1;zhC#MR?00zjZ=B9qhbw)i2Ax2`XSzQ$Denu02|kez5y2d6l=z&QzqJq93y{6R z1R}cwbHz6LrwcB&EM_^b8V)-iwQlWR*S&(`5`EU<#K$x&7Mg);Hd|>8xR+5wkq zeAhvovOIGM13*Ba(7@@{EN;C$4dlj~pvXx_$`Lvq#LCfw0IQf_Ept9BD_SpPxZe^B%vlm(C` zuuDj`fA(Va{%HC^ok^AjC6VA?hI|Q>ftkWEqeG=ZM%s`t zqerbl#@DKCNbG^jh6r3!_f!M-}g7L+TTmM+r>dwajBj3gpai8(!(PJ8j3tFACZwQmS6*GrB(%?&52;Mk`JX~-MWNYr~aDffsB89gaS*Ba{{#gQLq{2pj%;!UBC-&Eokla($xUX{$}98 zORja&f%HjCDTR^$x-8KB=b#~h5>wiU4m`VBWVcGDqe|1^K~n{6_mO44oysMhESi00 z0E0~hBY&?|zn#xwC<@j3e&{r91!MOxP{QZ2xe%u1X-g)aItocT!!eVPU*V(N^`0EQ z_y!wr_*;D#34=B{%^f*+p+x~7{so{hs&6}=`GJNgEE4AKw*ZCM7A|3Y@YO{Sa@YT- zYUK^6bkAA#KxNitVg@5Xal#Ec_APc_ukz)O4viUO47Wr8W+Vd&z%1Y;s}{WY2yg8L z$;KaulPeTGoi}WuxWiI*g}v}$7WbVI!zcE@w?)s6h^kYB!W9dRSA@z8pcp!oV)9Uq zN-4Ee1)B7c%t0^wxAlJ|s{-|F0ufH~7EcTZxJSKUgm+I26EVQM5!tnb)@6{hfx4<@ zAY5z5VDS|LHhuAJ>p>qrO1K#W7a4Er0E#El~Io_|@$7YPA7 zIop$*^>yaLE=T#8gYti7|5t|5btGJ9>IhPvTG>ztd6Jm!(p}j%{GTKQfm(wmj0d;3 zFHu<)ijjLbL`gQGGpfNe=hUhP0o#>|*>Kc3IuKTs!fYHwR%&JYkVUkiL?&uwQxMl` z!N1CdZ7EEcomvaijj*)6*0nP^j*h>LC3n( zeS&3E#i(UmsxY{~k&@#`R1cJ49uhB$ryxp1FqC(iW~to1RwKkx{bknzxLwl+5uAq*dgl>X>P!#37UbE(adMleSo`4)`Qn#Ib`iM zNh;O?j^>-X2Qwr_2Gm7WY_gFq)h3oLO-NOSqYg^b=+KUutCn3^wS+nXv{@5VD79Lo zVzx}NJW9paa`X$l3RPC1$1`NWc#GXi@(Q+ky?9Wv%)7T4Aeqs-O{V1~ zX~gGaLme6}@~S|)>X2r2ksNFkFH7MEIm+A>(ncHMHS(kbjiBq-2*n%&lPkHfiC~J- zskm1U$_7O9*|0uZ9HuleQpg6&K|@Rg@CHkwBr&jjS{!JzSwYH3NMS8jv`mb^wppe$E!4ntBc`+!?7;LDV5F_!_nD&d)(gskmh2+R;oI7f zaaevdu1!D%>LR6*7U*w4mKnt9m~*6Jq#1T3{&G;gElJWIWFkNRa@8R zfNE}lAs5x;u1+wg5N9J%rGm@HQL89{6KWBQs!Pek)gY+Qf^K)(tIG_|>&WL#Ye074 z@Zw8qqg6?HkC+IPP81hzyL-A*+y`beJT7!tc;@B|NCLeczqrP~_?r;Q%y87go8(@1 z@k!mTs=NE$gYxT>Ua}4!_T`;o3Arg656bm8N$?GCPQQDO?3OK5kz+HOGUpl!Rn|cs z`V{%AGBL(fL}i%Il5%j8s}`{u0@w%#P`!Bo=BD-%i4dG)*S9cmy?Ku}6qwcXzaEq- zC@yjnux7;T$uLhq-v*ObZzI5e>oh%K!nC(oqcNhoI4qi*emVRDbiRRD6&^S(KE?o8 zmzfQ1;T_k%I0gEzIF7ev9^3qG&Of$L;^O#*@B;J;+Lte>yuZTdu8*^b*v$-0$cfxbb-nwH%nkc&M*DZsHmHZiLhkPjcCymtd+ z!fJet38K*v3P`Rd5+d_jyd~=!SC2C^qmiu5sQIF~bO;PkienR^P^)$*k#4mJ@;{>v z9LM})=<&CJhNk-hbyM~95R9vCbr>rzWkvy?DXHCdu=6CUdem8?hpv3Dd5}U@zpH%E z`UkE4L3aRwOBt=>{& z>jTYVull%l|0#!>Q85F|gUlh2N1h6llPTMi$({gA!1wA$MR@;Jlw9@TTHr=;pV7y& z>+SjG_3dhB=Mu92{9OlHm@jBw2;q{T21P9~= z#7n*@B@cDA9B3hcKWH*NW%eJz0!g3A6*-pAjcQSd_kNR29Vqwpp#EUWtUr4qh$k1O zK!%IC6#_vSS?rRiG|){JnjS4+B4~45($NOLXh}sFQ_bmxt*`-xxf8}ie<%GpSis)%RXfz$gc^aR-Xr$nkv@C>o6plp56E+aEIR)C6%XLZA5cp`7 zqjwiX2Wi>8Hydi*)34AGGL^z@G6xJ%;gX&o z3NOddY!D=rLi4jz?-q=@7@ngW>Mv1Rm=sYU6##+qQGn~80`N?qxZ}a()v>Pe9GBtd z{lO4DcX%ffdiy}2@b3H7KtE7?*KYt#GYt4BC`}x2gaU-o1Vi#|Ka~y7n(nkb&dr6- z^JtY0S=pMXvhsM2&&VohP+9zgUj~2{q#1K=UV@{+14n$Ehr9p6xrrWt52G%*9%m?3 zOV|ezdbJ$A={MkZD(2X0#QTlpqcjitM|&SAG*PQ^vHZN@5IK3T?8LtNli-%&QlQWx zLS5N%epf={E8`5ai0wOdL@u4Kt=;jPPuE;>>OK02IK95;=n^T2k|vGr^lNOEDlpJ( z-9=*{umgu+o$X@t8V!yPv6U)|e*iWPY>H@vI}vOuukSdviIM(Y6V*1-sTaFmllg1! z80>aGNGUNg3?k>x071r2kvfP!&DP2CYy~=V`D0q<_rOz0J-%<>pIp1~oGK88IvCm1 z4cs|K5=lKi)d}cNf0>_P06|QZLBi$MJTx?c%H&>d4m`u!=q4n12%U%>Y1!#cbRl3v z!t{Lcu6AoVyzlSu&5r#wFp|FK>BhrL_~4LjN{Fd#jq6pGu$;AZ_bs8cZ=E%{y$a{S z&2wGdT9!EZSVgj!9z&VRAh?w+je00APMV6kNO=#oT(wG=x~U2|6)JHeaBQz{_uA0e zow1!4>!R9n4@E{?e|U6s0t?$6o0OEn#B|rp_E+C|m2^b4j7b6qz}oQruW-Wbdjh+; zgwb0~lqZ>2(>9d>mI?JK_{77YXGndnX`4%UUnUl4v zEKdu%;aVKhs$nb*6It~^(N8oe4L2iC*Dl!>5^H2Ew+FAS7FOI1Ys?0SMIK=9_g-NGP^xfMrncwuaE;g@gO89BQ;I;%Yz zXf%Q-L7-u@)AY9*fR)8n-%Ji^(>5_VYsqZhsKU$2eF&s@)~a?xW@{xuuM(x#0H2!H zSPPk`Vg3Avr(B;Pmwv>8-Jbt6=&QF+(!<5JH6aehghYcC&9PaeL%CMSR+ z-Am?%gEN<|yLvKP2BKMSh%eXf@9#Y9^Vf#w0~2>6`pjhelELzNNk79dq|N7H$@og%}z!Iom z8bOq*M7?G}m1?4^6G}X~L3k~D9_7jtdM=k}|FCfL4JVx}@p|^=agoMsrPh)$EXED! z#Q0P2GU4t^vUC7!z&7|?HPbhC^Cy3U>o!|tgWH8UqEb8FNju*(O2bmXqCkh*<(F7j zvjblH$l7c^i&|zfv{nVC<1erlzBoB5Y&=iHmS$VyQKIeu=aHUZ=BLTZ zpsM%zq=4R>Y?1Oatk-c=y7eFqekx-vnHbm{w{R?Gj3ejL z{fyFFlZDK|(d?UzJm<^e%-NaSv(}9)nzgL!qje@=GLrh)OJEHw970LZ*b_bOmkZ>y zXU6H-!~Dg~&Yg6k6vYiZ;nS0>@GC2Q8kSQ3M}eIHv!8pAl0h1_EQ6DtgwXI;ulH>TbsH|TNi(e8zXsB-l&mZFC&fBx29{%J6+(g+My-K`%b8HF9(jj`-|jDyUyg4)P+}3yPo(40 zF>L3b?@yjzxG5rR&+|;lm+wQW`J`i*}4bN(m$}3n7Zc zhd`CTnu1up>=7|I`p77NGfZxR;4VQma6h`cy&k-}H@^^Eu}_~lKfCc=CE~NX3Xx4pA~t)Qh{czcccn75WEj_m7iyUSH^8 z9PRh&s>h!x2NuUzYjrGcJh~2{u@n?my!w!$iKR?%opOr5 zV4B~J(;87QRY_G`$k0hr>(U!}e}AW^>lrsEG=bYx#a`pgTmPxh0>i0-IvO(L-s58A z)sp@b>%~8@TyT`#vZ~uocYP;{MIWkooU>3ByVyi#?V9twyjLQOvb!-cGSr>Nkb_LA z8RCm|yI9j|wdv0>A`^K??61tDX^BGm#4B$(!GRi- z{++@wyBN}&mchVXi(7z?UnZPD6#WRa?vG}B?%icT9l@0Ge4i!~16E=)1nF7;HtCuh zcE^d~ZWX7=J2A_j+jGCFuPme79D%Z{%%eqgfk8YIn7`w1Rfi=N%%T86Zga-4ytW0U zhrs$+8vsR^8`^*7Sl6%;V6Z{pJ7beDaRj3?spoU{AOT`q|F(5>bNBl6tvLPM05P^^ z+9{!hE#{D!MaO>X@#cjn?OfZ?fq}_nP1R4P8;`%Iv^*AYB=j{b{UjmshtU920Amtf zRAn>-X@43MNfb69>c+mw_76t5InPFFda1Jn*!AmxzP0<}uq$-3P)F?=zA9+XSCSu(-CakyeWv+=iN#Cg)_Vw&s6ussGZ~*%IULn2ACN8buiJx8lVn;agX$4mf+Gx14ER63c4>}Gp;u9cb2?VDGNk8JIda4%zGDy8q z7LMeCmT(xe6tt2c3~?YivFI$Bsg1-z)F99CH^ZJkKW>C?JQwH(3#=WDwC*_Qi58h1JRE?Fft!4cW_TB<0j_+aD#NFLB zxVuAeg1bZT;O-t=26s;&xVt+H4#6QfgaE-^V%zZh`@P@YyS00_cB^jHIdjf*_w+O~ zUDNc_&wKjL_osJ&kQ6CTN`i%QzIj0YeF9%Ya|$bo>0saF4Wc_i?&r1rhbKnbV%FQ+ zJEAPRl%7pdh`H!vsE;*`??GsLqXf%rL+q#; zueb!GBzAq8XE~}muv*O`Z1g|*;MQdtl(QfRHB{0&h5TV8*1z#-?=mjRA=F9dVgpH; zw~UHRjMbTg>W+|_=?IjR<_<1FlWEE1l;Pdx^nBNeRd6!Qg~&1%T$7+fm$a@$LmEx( zzchFm7z&Tk4uVLUa98Ss&fJCT!{LJ{X@g`RM%{kztcO!+k1ou?FlZ&+R2daLx9yIj z$*)Jq_s|wHuco=oNRasAC=lzDgw=%TwlcjMqdzG{< zi zman#0qAA$me|#d^mw=dxUlF)cyPLDp*6i>z z5zu?9Phr_Sn3mdM_=$Z>_wC9W%tWjDPcrdvEVonjjoZUdpC$#2esN^>OvSwMyXYf& z(~dQ~yp!pNsa!!Qo2%p@C^B3k>qN{&D=PK1SW&udJ}i&cz86*Dw?x#m)*5|E4AprF zYHVgmh>qNv+?82*35=u~Zo1byt(_uT6bIUrE{ihGdYVe!F|HQDaljFev|E$ANG_zW z5G-OL4b;&jG3x3Xs$}SRx1Cn(7~W-CiO^BG-B}!GhTO2&825$#Y&&j|H@R!1f}tWW zrOTxJdr_l!r7hAC0#gbGj`Zwl$jL&uWTHVxMSjcAtdqfa)uh+|~KpQZl%nEmpY zQ^7FH(^zP28gXB%U0SwDIlz+e-Y&-WiC!hZHim5I;#V=fzUvO8qMAGPHhdLflJ{|A z+^h2t+Ze})uK05IBH*v-DJwku-sh)9EZs_iR)-^vOpyIKo^^xA3Su0)RWN4P+Wkq7 zE<~{fhUbcMl|?+m{K-!uXc^cM^+V>wt(;}h3u6ie4M^4*HE>6l1r5~Pi9fH! z6k6~KvS{IKpisY>WvVSa)HdO@U9EXFL7(tuQ*SvHewf|vqvDlgX9@i(=X_~aXk2y- zn$sG6$11pjBgURHV%369qq22dS4}NsH%!ZM78v-*Iy%<8F?tBDw5CY782Cb3*~gYr zXF<)girht6)-qhPQt!rN3I#0UIOa=d#~KPV-&cFEplC>|N$Y8tHr!GFMB@z*XQtvu zvF8l2Tx66Nne9vYP*@g-kF1kHb6#GV>%nAVwWRjd#gkN80bRyGBRLEG>&NSe;G|8g zR3*A;JRI>w)R+%6Woq#*i+3$l1cP`HdI~FyOJ6q0DWx44Q)+GFyYOncW~m2P;$|J% z3$4#`${7UNba3V+<@GHuGV2S&AX$gGrE$r+CmCasmkyz;<1FwBooh-Moy~6YTo9uA25@@NP`@9zr6-_xi*SnP9A=e#iaV)4s(U7xBx`mEVKGiI z(=C)aY#L$@gd%NKUgRO2YkF4>q#(Uq7id7=w>Q$kfUZPr#f8xT;JbL;r{``Y(Cz

acbjScnMrqEf zx^q=oLTx2w7v`8UV?Wd`0K2VuC2;exl0z$kV;Z3J;j0mkF;|4>X~LST!I+D|aGk>o zox?XuAzBe)gB&paQA~)raT_YTOzS)Ny|ZRXAGiaHhN;AD{1q=)#FZd3cZrF|SWma*H8h&yET$p0r!_QgU~HFMoh2(6 zeC2uU+WT`MrFzR9UR|1%GIY#Y0Yi=(1N+Ap`>DRws**$boNBHIV<-BH$SMZj={zjU z&Jm(I%*tdN4xY8vi-IZ!U;8XK$hNR07v|yg8u(<6+U*W~4R_SIepvX)?5hvD+Hs@y z!BNefm7?Y4-Q1=gJCuujR7hEdpPqu1DHmnEkjlw~)uY}XN4nNZw7%PW71F5z5JHH^ zQncNAcvckv{-NjQ3r3BQwHderAaZtxN(m+*_Iai#{`1T(*5{cIM!-x&=Q_D83=!rz zsf$l?n9IK&xBaL+jk3)B#w3Ml+iE83Kkic+R|mZFbdFabJP;Bf-#(lc7f$bppM_uM zc-j_{x$pMw%TLDpRbX8(w8b16Wdrw~?f; zob4MO8vkuvBep`wSTwXUxtZ;5@O)&Z#WQNucm|UuAv*xz4D#*Ihk?(PsH5&jiYCTl zM!ciu-)1N4#3XShNa#jD;|Gl>!#pn?83HiS-QO?@A-CAjbxr`or0c0ZWL5o&;SKC( z!#foDs0XaJa9*gKeczoiX2{%5(U?#8x7}&eJxH7d8wa_(ctG=>@qLmHoS zzeR@jO8!T5@{(_Aoia9#1C3u2Pr(X}Ur*eSf14YI3V3fl3|;ISXLX+!{Ye8N#L zR|wY}*P(Po%`8m_)09`EOk>oMD>lPbFSiVD6E-1>z}meE_92junt=XhN>6#O-E#1ZrZhvAqSqa17#WX~vAPn5kQT}zSi49c z+Mwo^kJ4qIMBd#T{dhz;9)c#*ayvhekGDJ-%T5y~H2X=7rJpIA&P3Nl!-Ca@)}QYUuhrSUxt$WGcPwNITY*%-yn zG`E)B!W}JrNko@M;onH6N47ZxOi=I4nl==$nEF9RpD|G+&0ODaO%z3C!;g7{S;$W& zA?0<@XJIm>PL|-x`<6)P%~;%ggvV0QGj6&k6PqNcPvw$fJ^?w{2eA>55qB8$doewD z!WL*>xWJ+_0cYp)3^IXJpuYCi`~ zOSNhq*2MZcZ1KA%v=&LetKenAY|v!cu7P*-qsUR`^B*KJz(=b!gFz22O8WXL6_tpN($r0A zR8$%!N<154?ngNeIt8u%_{6@T+i9-6OXZ0+2*DL*R9g;aw2&6+3@)|n+jL4>r`}|> zyTrO#rr%|11T!nv99MRmyDZ3_&FZ;M)|y{nxWrFiVb4p_66MR|pvh+X-GuS8$h<)- z4HmFsVDBXp-Mte6ghvr_Np~3aec0F`;#LgWv(2; zjoizWzX3h2wA=A0x4~lvUBsu#8sH^O03NKWH zZf%9+|F4n6sDst!EDr-T(VMeT6hpLGny1UX$UHKpT*Z7`LC@LG(qrny5@TVkhr3)O z!%-iT>roGe=5H-qV9@x5=Iq7@3o_7gD{nZ9;@NL^>d{kp6j9LwGOs5B9-h8E?P0zW zxUApM@cVQ0e~}ud(9wdOM*gTNa(VYf-1b+Y1(K2d5eUjZ?UC)8a_h_$HJr z-sZE#Zt52#94KYFcDv(1{!9toGjTG}QlREd)wfaYt}pj~R7pCaxSsQ~eBPFHmrL)| z*7moWxaRTzov!5nf&Bo~;Cwgq;B|O-in|ZLtFMquUijcQiaTu*{5s7x!+|HXO{_v{ zW^nqKtPlsoy8)9dbrR9f?k;}$C?BtTJc~`crR6|>(LwtAy1J8K*BMk?`7{@qa!&b? z^p<^>pBnEIFx32Q=)9C=w4Gs3N?wh(1WPI5J2x2OKElZH=X01bSb%g12#JLVvh3ya zK>~#TLI{~&b#Bdgk4?h+gky~|rS{Dt&8!`{2m1P3iHhS#(a*t}b=SL#9q+)Ji{uWM zgw~T8v(q{t%e269$+TQ@dM@{m>bZb_N`5<}S%B9&uuAlBgv)BOOnhSihv=2gB6!Jk zYWAs=Q^uFkJf-;#|K#){v<8mIU$;LrVMcO?_RROzzGZv4b%gvT`>U((fVNx4+aDt9 zAzv(h49*`MKVF~8b8YmJki9L~d*x(DGHYqovYvZ+a4*ij(cI^M{uUyD{54d;*8GC3 zsY@&#*D?*h(O`r*j48bUfetaUOc;l`6am+BlX99FCO{^M?TZ;ZV=Ggq`R)U)J>u!S@%g z4l)WEaH$0N@2ou4E$*A#w3fMy0bT#}N59K%q&T#Vz3qXiWZ)MybYk?1KcKEDd1?+CL$ zJPR*Ws7buZdp zLb$gJoDI@%oU*AMNmy=`Tu|eBl>7EoVClf;k2ke}(9r-UFUq81!RyF=#BQVb+FCR9 z@9J-zYA4(l1&@xa11LLV=X=I#E&eMS=-J($S>#?=`I@c+)x8i|oUQ}gz0eM52;E)Z zVz_fM1S?zBt*~;2O8*P|`)|qM_Ipzo$ui=+8bX1(w>Mi(Uol-u%P_(ghAf zB5Y;9%K=`!ynRgF1E)y*hlFB-98w>AdpdeETP!eg^g+tfaC|38yB6vayA+l(O|eoK z%_MvT!Wsq*18qN?6n+$9OhIrXdD_=8Jui!6VgyZag!r!YO*Qpv`zLTcKjs?TR?T)N zZmzwmv~Zx|wwcrkmyGt@3kg&r1=(|0g6#V&Po_O-L|0?qVd*DB8j;s3Q?*yh3u4JW zR7LLnfiPSAbbWBLa{$jxcnC79!13M)9ZwOt(Dz-$?#9i!q>U|8dI30su3#m@qjx+;C? zayp_ti~#O72d=Nb4};v>?&d1iofldckRU%pN8Pzsk_bR%t*UnqySG76Uij;44x z(+}0@B@bARx@YLeUi=LG6rQ`zWk5G9Ycp)jA@)m zzS)q}1|bcole?`+wQ{O0@AZxbrruk<_Uo+KNK7rZhVhoK;TWK?p`mVk81kc(dZRkh+FD7swLoPuepAw8wq;{DJ_x zVa&T>9;j@ov!u1yV=GLUV@ysWO}_myIrwFgPT$XL9#W6`j{;>MS|Z_@hCjK>p1Ekp z4i^8Rue@f&qFdCM4WAMVAIFz-I69=`&-cf}NUlU(v@UAokFdF+gben^UhA zlN#FC#{5j8; z3K~7&wvJI@e<$(`gWELL!BHo`c zdY91mjl&&hz;9r@0O-d7iS`2h3}2uhzsR$F=-hfpLFQLDD4mT!_5%IQu^wCir#*cL{;^%eGS<``8fu*+|URweyQwR;O1Q zSAWZ62H!8)Rq|wu#u`96G=^Hz6Uh%6EF1tFND~{0RqE@CK{94v;h`il<`Q9xCP^A0 z6lZl)yC^E%FFsKP;3NTNHjyE7Mqzi2k|)-VorEmt#lUySFz5s#CRf4V-3sx_MCokb z|Gfh#yKe$1l`^Uw4b3l{bczQLK)tjV>Sy%A`fvf(_r?&r{*^A)GxfuJ5ft|^o;e%G z5QMcfz`FXs19;Au038q%iQj3YOSQC;_L2ckbJX7V9=q34vCo zTuCwsA3{Y;hxzeB{We~xpD{rFz~*$%)UQtRnfAE^h0ydP#XzpK0_Gz2mcDxiy(>bO z3s(Owfcs)Xr*)#L=eu(i2y|J=($xc3L>k2Yr$X;({-+quc zbBnlmFZ^J`=%L4>yjfeekSW2qSehtr1UQC0NG^7L!U3;7smB1eVY>t)Ze^l83)9d( zHZCsiIVj?Yq>hC zZStM*5Pij zD!;YimHoYf;_=ERQ}N1Ba`3$C;`(EmS1Vg(n9xv-QX3eG%<=P12BSj8bFkXImdsEp zIRMV1#|yL6C>IVPw$T@k!N|2MtRh<+v%gzm7@S3hcsh(7b!%59GnOs3KmcFu z(WUgMC!fikX@2jb{@E^U5FT~(;;9NefrmFNh zMJkU$>Co6AR=G>oov)1BozR&DoK5&ERsjZBuJAeO6JQbw+*C`_6}4615|-l1gT2G= z+-N(BCA^Fl?0$eh`gM_#3Tia#MJE>9LVAffuR*V-CimCFd)iIVMxbCtr)KP^JW51L zALpp~BCyngO5+=1<8CTCm^}_)2T4Nf$!7?TA4NT>^#I{R7Xg!^asdJO1rrWtKUV*l zmBMh`&}G^A?)+o~H^})ODx{MTcN7j~JOrJZ4>yVOQ-`Q=5=i^4@#3kad^)7rY?rWQ zDEN)s3JGR|3MQmkB5m+ZAmcD}RCM~4Yx}gJP^tPlV<#?k2nl2ZE*4}%S#)qGBu#Dm z^{X>SJU6C1j8=kg#=^yvv9Vz{AX?T9OzO2*K#z(gygnunmdY(^{5f=!7#&fH6+Vdh zjZB16IaV!skijHg4{5SCGU1`ic_hEh(Chd$O0c|nhcHTwKb#H{^wG}EPu?*W%2%y7 z%>3mBf4PJin~$Du=6`@cB8xjl9{7A|`4R*k7g`pTV~&EtS}O|f zD&ZP68q}^`OtzRC6CRWe6iorH{Y;p70&Oc3U=mg|8}RWC(}gMWBD%mDO}{4@QrXMc z`v#79H$LT%P{t4M@*}dmD6H)l_4g=hMGm^5YGN)pssS`Fb)t--lNCD3K!L!Gb)q_r z)l~4QhNp4PTw6DbRYGXnFYI;Km3C)|zdfcmxa~Zz+)jsR$slZqfIkZ<%s$i=9eDzc{(fEcqbA|IRK_BAdm96?5i#5c1kB+K zPuBwr3s{{#NBKf~WVr=*NV*BFB$eQ>Jwobq32;<+Qhj}B(pbsYF~A1|=b~_avq_?i;rI`zR_SiX~!&`$mBHEe-0~3lcm-z_}I^ z3j=m@3t~2NFH%r0?z>4bi+E#+fM@RajQ;@c_l*CX->nv6_s+3Cb3Xw8@#@(H^>3ny z9ohn3C9d$pgD{TcZjH{26>?yJ-%s({H>{;}_B>vX&0B*kWlAk#!T~+`Vp}!b^S>r` zE^h^tuUf#EMpjl6Q-nc**`oINO9){zFTe{+WZakXdI?&5=Z zgyIf$WMf;YqOw*8;nA(}kMk{Mugi*ES&-9ES`;u*ro<+0RE5Fd6B>q1hF-$qo#wa- zFlC1r<(5^$ZRxJL*DSn$5Xl)6L%*{(bTxbT;qf7DAgwb9Lr+tCl%?X-bF8@nqzz$)ZYQP5kg5S^yxPU+9TWZ|G(g7>e zumm2HSm8q!>^06lDsiE?<1#TwK%TAx$-Qt5z<(I-g+c)L!*egp5vRL{6{kyqI<$a` zJ*DE({jW8^XA($8FIyKXa|Aemle`dl_cwZ0up;uik2v`>m4Qj&npK174WY3P@lOd*7=ty|Eba6?9j)a`Rg@<# zhZ<3Cd!E_lHzYqrX z!xpK)%?R`QVW;|G8~R~F;8L1_szZ9f{sSbyas+Mu9r4ZYCyr^;nBcY_--^jIZg>ZT zTGFmvO5-j(1B3h?52l1($zX~(56jistLrx~*M^s1y( zCN+Q3_;&oAPuVU*tD2?r8uq?>QE>4d`XR1f^AGE>F#DPoVLDa3F;^6v10D-hB_DL3 zxgUW4-f0(IE+L&uJM4_F#=GqC^zSI-M6>8Mk3A?Q=KS| z@qXrh&-gEZD85)fY&kibW zp9S#Wj!n%XLxoqAGw~nUqRVD(q99=7SD!It(Ip%YQG#00B_q!Oz7`i%^&mhi+lk`X zs%H87n<_@V`(`v$XD1b{PD+P!2q&it*Z8=G$?MJ2Rc69nBngq2|WhA{%Glsv`iO-?c? zIszk9x++)fZv-*v{KuN^W}zC(vwv|v0RNFr=@L&#ab-taabr(eTUY{*FV5fY(5C8S z-%`htHx)@-1;ETLPG$LpoSs5-rNDn4VJ0fHQhf_K&}L=#2(*|Djqpf{S_F=@`zEW3 z2$suJTxtag3yNGmx6m+DgIHF_E ze}rr^&RH+Wj|Z(a@0kI5;=naZMJ%fWsE`AWU%1pZrY2z(B{*RzU3@7xSXNYNw!tJ< zc<`;s67uX1zyF7{XrOfpfYqn(%RhB6$A4oBb?84&D%%pIQ?os|#rG)GaH1unI z%z?y@G0*m28tXcVHC)sEnjpP^@r>76`qE;bYoij~xTVX7T{2}$;=fCO^_O{Mh_=kU zm0NOUBWBF4)pBb#Nrjqk*jvQe*MgqKKXb7tH(yD$aWTPCduqZTkgTFS(nq}B!i#{{ zmzfm6^%8?NlUOs@sHBSYt3k(}IMDHAIlGWU?VZ4iM6~p@fy6l=-yP_#?;fW z&lRE>_e|h1q1L9EH?wVV+7Z{&xLM&mfO1Bv-qVd?F+zW3ZrtzFTyjW66pGB6$+ItB zUqzI(3XxRb@c+K`2l@1D{pJ1L`kVd_>#y@4)?W=^{qa0)cRpKxgQ#_(-k?xw6Zp%o zjQ_3l_cp>rL<;i+`B|-N`(3OU)f1#gwUR)#TL+I_6q)D*d9}BNrolnz7d5DvNdQb{ zZQEoIJvPy5+vXVcNRMf*i}Z$l3_%(kmFx;JgpJ}UiS$NwO`~0E2YkQ0O78ll7vC=% z@cnFu&|ZAMMH0go-%s(y_mh6{{pJAQ@0%9j``x_weu^)?-yed2BqK!r^17yLAWZE% zb4o#`vGZX~T=-w1pJoAe^K;q+{<-t|RzMB;A02*1cw~xfsTO%a_KUV}t0lldce@J((W?{f}>2g&DB~kpBXr z{u2L^pFKZCj%WCX_zeGk9>MxykvJpu$HOqaa+IrkwUY3XMV5KaBHsa7WOgzk00er6 zkRkya9sL8F8BtpmrLPATz>^P4g`KaHB)8*I5bz>Hy|r^Y%Lv!CMjoi1tcF{ll^>&8q=NYX#Qu? z*d$*ROPxVFT(iQEGA%|+t~ed{-&8+V^vuYQVe1C7xGx|V^mYX56=HIJ~EV8DRJhEnl60-0Lcw0LCWYM4qH!^}%el>NrK34h8 zR7!kbDSBPVjw51`z?28vMr7VVmA=7wfp``Izqmat>osQS5@s>)Zq;hp!;OxyzQTn3 zk}Te~EX(kDFzhv8@2(kh$bSgV;X91U`=|G(L-fryF0Oft5uY{x-;%`*#4apJ6VYRjkG5;hk7?f|euYgYf8W16 za`m^``!=Y|e`RHC5gnRn!%6vRcVd>EvF5lnxIECf#e!7@Axn)lmI>Er#lffUfYYEE z$P}0TY|{vMJA>PicI5J)OHKX?RgY}9<(4HOOg3^XuqEsi_Ekr1u$1V`RuEePl`Yw; zWz1v-4p~O`?}ZjJRjQmh+Vn?RNR}cgvyo(S%$7d0n|?pp|1CxAZGY$pyH<hU>52 zKY}YyMuDY%YL8d4!d6T-cpoy>0LOQa{fKquj!BHBu`5pQ`8I>V?aQv*}O~QhXD45 z`o~Vz@67>wpG7v~(elc_R7s7hHwSl;wVl4Vmmk|+f$nU!#Fm7MmKvY9DD`(3EWD** z7YR$(0c*&v4$Jsz8KrkzhnU9quOz$!-TISvCRI^Tzh(|Y06kkVK!CVP;qskw$^Z8K z{{QOx$uC*q5_Qn)RcO*@eTFsi|JFvpp~=~@lk45lGnw88`A*iCMPTpx>Z4hjvDE@* ziKy_MX+uQ$&PQ~&z;^VvTZTcxA+P8}Tl{R##Yj`Dq^xcZF89(Fu_C)xWE$s0QpC{7 zXIz(dL){ITw-=zt%$kB1%5-1b#xqkm1YHdcJ)Ay>#n`~1uhfZVF80q1?ui}DG;Z8S zwfZ<_%#R%V1U_Md? zWhm%w^&3dIhYhc&3u)BFO&Aj}Ys3t~XR|uaq)@tVF4u5ZwIW1wICJ76titV+;iZ$R zp0$6JDCeA}*OEC}nQIY_4`RH)q>5oX{W?81ic}N4kt(%{msoZLrJP<#RRDoF0;s>? zAWURxb8~p=6s55gfc5=T`tu35xc@=Omi0(cs|p23fBgD8K0 z_|nhbiFBD%MK-Ru1MCkO{K=tzw8aEG%4-Zh6C@B|n$8RwCFu@(qBvehX_%g(NNGXg zw3(pTnQoA#3oJHz4g&+3VEcxp-yz+kfu9#&hoUoiUtIdouMZj3=1<}Me7buGHBK>9 zR#~wFntki|T4=Z845C1xf#0(=?~h`)0wI`#fyTapG=+V{+**Ro>{4$E zp#{+iQ8O3m`bsfwpP zA@J*%sJz6esE!0JK4qsKA|fki8$uTR9xC$}zI|71ZrDzKH3QV1`j#WZa_CDx-jpD+ zlhwAbV3eQxu37H*mG4a&ZHscyB7aS-xdp|aZ6f}`#Tqz&9!EhWUSqG()#$-ihw&?kIu*0kA8-vBu^1@v#T9jcP=Q2-LqjBR#NyEpF*i# z3(`XY4Z9D70CvK24K^^k@_7w28sTC~q4o}ua{Lvg6eLX;N_H5AbVgz|X&x8WdD+_g zU%qzaoc&*(|(VzVJP!*&7`ou)wZ`wJV}i@ut7#LjJ}uPRuJcvR=bS;FhZFj(aH8`d-L^9`3yNknHKi*7z*B+v{l{TqrU&G?f0w#3aiGOz{kx2s$Z4^gy8v6 z<0O)A>JWNtzF48Vetlfq0qmk!8ldA4`3DRw2ixowK!5r{{d%IUhcrvHgZqG=G_?$* zARs*VU5g@cw~69YvQ63uWYq-$L|h-_z{jq-JqSVypEsojb9ysV4P0DSk1OH_-h;n+ z%ROMCl(zWs=*-rh53{WyDX0}uBMHccpr`=wsJ!4a`KvDvO=2klC84%~Hdbqf==?4f zB@{S69Pcf@dF7?`Ms^pW;MTcoYa3FEmHPEpP9yA#zXg8(CjEI+7~%~xu0g9Pj@Rj7 zo-&#ha`ThI;wo!{QAKNj1n^&(Us<(q4Tgyv9^Rw*0|gJRnplk`O>YxE;{16C71o^} zm=VngmC_(P%#vn=wPu9QW&|&gib9y)teU6}|Grze24Kxo(E>IP#THOS?DN0G^3zWbazdr8M`&8{p>|ha3#Q;x5 zyOVYBjc-2O&*S@^f*(sx^CYIm7lJ<_R+N71ofIpPr;h7fRhiwEa}!oMz)&413}$@6 z1iL#@K->b=@a~?z4ac^aVne*{1fo`5u+hV(pHdj}>%K{WW|1MnhgD1=SN?=;i(7;pmq{y% z*T*-??D5-iPglw8RpV~Pei=w>B;5nsg$!o!VjDErrd;N{Vo`NyK+BZbi&gu%#~8!1 z;q61>a0z}hZ=K5>2mZlLqRQ$a|l~n6_FLQik!=0Zmvv*{}8ShG{ zpf&hLRyT{Abz5c+O8NUb9-6o#VukO8*AkW{UEiACRJKX?v)T9WtnZ)F-y2+1>09S# zGUzf%`VSBApG&7`j;c195U98nU2^jc%o|o}Xr5#2@cvGa*|W3Z{taw=<6oPDYRgSl zB(tYw8^%^)C8Zy!RdngbL-ba+$R)%^E9o-C1~=?7)@Cu|l7WXP!*SNdRH(y!ITO-a z7(y8gxdT&QhAmEO5JL2rc#{5Mg5#G6;kgS~oL9zJLG|yVU(0jI_Zj?oTjYb_8^^rK zazNGUe|%2*R$of-6KPp0g^ztnaQ581fvt}M{kcX=*Q%tyl`B(}`XwdB$dvJ=%?tO4jP}e7goE|TnRc>WXl0qP$Y&zm^Z;hV%{Z9-wIDtgmk z4Gtx>@WHsO5_+3BYK+da>bEB(g0-M)uicxZnlP;EXpq!0PbFQRY+@g3(()%{^*1s& z5aZw`qA)ecT^aZ|?x4GjZDZyfF1&$=Ga)+{EuR%*B)X-ptKJlREl(nUUrMU!c%_zW5UUVN%lA;@iorG&G*l{V=qMOfK+BKd4;GBdApV)B4&#Wxuq$N!8{J#* z?8)!i^;%?_M-;wDDmrYm;nQ!pkC5M)KA@_;C2|OU%C>=Cz}F!D&@=u8;&y)-uB>)~ z_jNavb+D3$7adds1pkheLURdlvtXaZUdkwIW4rar_xu|B-xh8ko(_DyJ?3_lwT0w8 zI*MUE4m^HFYMj|pH=t*?b88GHX_FRD^p@b`fcUtmq-QoM#DUHG{l*+hsxDU;`v zu^5ceR|?T{WtdOC9+@8|e(ZP|0fT6@8UZKi=J?h4t9YcK!|~Pv@6GHvx=1pTj*LGM zw-di_`o`{a{YS=`DkX%hP# zoV4-1wusd{k#l_dO_j25!}fx2z=CeTn5y~h?NQV)I;x8FK5IlG7!tVLN1keJU%^U& z2N|_LtX8!`_EBKp&(~!0V#3)|E_cxv7#t1E7Ugrh+q7+Vi@OXrNNR+(vqz8a%4J^= zrvCX*(hz@2t2dvVE$+ND>`Y_shA<7j)QjUr>gnghQqK=H4|>tUnqG`K;96!C1TM2X zJJTtUlCLvyBf@W(oK8F~L|KiWN_>m@h%z5qx4y0VD=#+0Q&cm^tA?V0c#e(itDB_n za42I@al`^NEf3W=g>m&+za)7Jc4Xhwghx1v$I7N4+x8Uv(^C z!7H1iLkqLDc9mKw`l+^76>;uhBgI#Jth87r_}GYbvC%NP0eKLenR3BeODeU6sb8tO zwAf%9zZaWmcjHV}WQ0srX{Q{`AM1$@XkgvmJdqu6SS!=~RH?n}jH7FhsKuizETB`G zWWrOM{=(eP2qqvMk#oEz*ZqAfFMq&Bi#QP^_jpXEpi!Gv1fwe;AN=o49>J|7k;uyT zy6>y7@TN2^@YW)kX>DB!;&5HUvXW!#s-kE7Sq3+?xV=uD#;5j1iJE() zDhog=D{-27SRe7qWWGK4xPjf&Iq$iaf5Ou6OSV(i=AOet&ok(**OqVTtb4ajUd>(a zWJMukIDY2FN}v;`uob+zkJUZwh*r4!3tzh4a+P0@yc2b%<-14TYI<)?qljRiKzQL{ z!-`KN>qKr2j9XCvle=y3a1F!v*`rf3ptUM)ZjW4lUpKpX`HCi%0{d7{uB$=n#5?@`v z7n-O4rPy>|UDYU}-o=eqe5)GqD0V??Bq8S~DJxsT%#||Logk4}b1!r3HJYINtP*;f zmM?twd7;;UA-Z&{H$}%Vv?Mx-ABDv#FkBrlW?koL*ZKQ^vpc_%wMsKNPt}w8PHUYd z3%24=vynB)1DC|DX}i6ss}y>-$|W=V@qC7a%R0QBK$ZdEdiZx146={DN@22@OH{yr z%meyirYr+iY0ChK^i5E%7nP1$*npVrmQEY47t@UAYIOhH2$T{CkP7 z6eiX25v;j#H{3sJ8=rScE1hQ?DbcD?IWDeRa@Th_Z5pq)NjskRovFV6T>%pZEdMT_ zm6j>GUaU*x_wP!6uOQ5W-)y_WRU??2)nLNkRLT#r{CjVQvVK!d-?8ofge|a4ts2G* z=pi~_8&7Vx?8B~UuaEcFvOYh)3R z)go@`gE+3F=4-)^$mL0T?|_%f#Z3lRy8JFQ;v{~aib|K>Z=2gokY`q01w0jv9c}{N z>VbJ_4Vb#!sGD5rQs;TM8}^+RSG>N%O|1&W2&YmWJ?=OF|8z-j=?7_32eS0%?@NT@ zl{sWEr&o+MVMa|8OnP?TCT8KPg3Gjw)SJ3>8u zXr{|wo_uAp1b;A zyZiY6i6D*^csTg^8~V?_^4V8O@dnz&$>wzbw0jTBnH6}BUO$R&Ug#t}8?q;lr$=kF z@S9Fs5s(??=@$ih;z+H(C->5l6^e*B+w8^;kt72@EcnTXeZc=AuVzo5xBIYe5<~cRKozshl ztAlHM4Q+}ObF{NJS-1#mAF4m!AMeljkXg+f^~2(aFYXl9=^ElJDThw`?j$_WrdHnb zI>g=0f6-q&lyTg%yb;#;Eu1Hc?{$XqGRoNsgu+;mXpKV*lCwrrxXx>)6<}zpb1u7H zF=O;3XjV9_FJ_IrY3PasSws0Abx{U$r5+~-gD9w?UoCh?7uyx{>7odewgHv&GE@?{ z^Igperr=o^mhVmH5JYWB8%XZZ8CLqx3yqYV7XRs5?MYfq=FzuQ8M##@9S^~QqAAY* zVs7pt+*P6D|DfwFz~Ts=ebM0VPH=Y*?iSpg#oZl3Ah;8Jad(G6ki{XmySqbhcX*p~ z-~T>2_k7=U{i>^Hw|BQ@x_WE6tD32NpVt#TQyLnn0JAnLA$Afd#l)h~44InNj0s3& z`~rSL-T7M*)kHi~(&s&D@SD?w<~4?l{5V_O@LoFv0c~T**85G6G-&wiVQXze+2C-> z<|hGnfqh`|2F}^eUsV+MEtYC}WRdmmydDDR!RggSU?rhsgfaxI=I~s^P{Irh$74>i{#om%t<53Y+7O zS#MVF1^ow)j@rLmTQu?%&#@XoM@j`Iq@Y%Au9AiHXI4hse1xPnZkxpnsa#=JPqvdw z>ilTk)mkTm(F@bD=e%lr*fv-$1t){TuBL?Q>gNY;R{V^9bQBTMu?n|vmUyBg&0&>8GQKM|5CT%H%)BJ=C|?} zn#8>=K`^4qswz$GHSvG(d&(jZjS!0{k4W7eMbIrK?A-7}$Pgd5^pA8G)D+kqoV{8_ zAK7>(ip5>63~?-VOMrsH;bT+z2SQ8Y%Cs%k=VFE^RzsEB$lhPF$ZNwm_vW2z+O>NL z7~lvYiIodhiY|Ek?|)0*k^he%%< z6&k^16kN8!<>3pC6D~o;62?!#p=RlHWaiN2jwmG4IY}S@IW-J0mK6qI3Jo5sE-d91p#4#~AWgGdd37vbFWMC+S5f5HC>@ArgS8^~c`K{-+3 z0r2kG{UkC2G=wfJZjO>IGR@LlmTHzRV?GR}%f#chHCElfiV9P;eEgjvSe19~Xg$gIZ|887~R_x@cE$AvSw7I#pF`qd3 z(>K!TG1*gVmsQwtJ7$87_L)Hs%hisF&E&TYKG>ErFb~hjQ9& z5SXf~X(W5PJs*=R$3m6q!3I8UcIC96bJOcSyd}~;FRkGph6(Uoe>gy8;^$>ZnqrtO z=#6Mqvr2PQ>N{+5Zk0o<5$Z?EoLSj2sIRWBJX;DGdl<$|xO+22&w5`pYiXaWOwzqO z@eG`-$W#}~o`E+8PDhTvtUX{hKF{4T#A^Glww@pD+;&ECKxm4JF2z){Uies%7aL^ zPoMWo6k>l!23*P@Em>|jpz|!?MOVo`IfQ>|(1NrdWsXZPy?(#TZ6?mI`-YVt6XN;R z`m^|Fc;-2->F`OmPslO-cGvO^9G9QB$@@yebXl0sI!neqg#F5JY4v*NCG9{N)+pLo z)iy2#Uzn8|O8O(UR<3AV9}jQty`Rt}`jX+{oGpfu`L&e{hAmBd`hG@IV(HU-SCIT{ z>Di}>)c=vWvou#s2^I`WsT$>#B|STNSfr2WU(wCV%d?h9Wh%3SwGS@*<02DYKtqLu zCgFy)&z`Jw>vpUOuSf|;NMK4pj+a78fMPm?PY9-c*m{XwC4P|$bF9-D&(wPAU6Qkf z@_zNMcSni2l1i&h)PxD^O%9RLbIzl)l9an;XN8iC?X7h43jIBJSV|9l<5X=C&D;cv#dlu1w5W+aFo@C3oO(sotrXI5vFrJ?_gC zQjb^ePo=GCSG)Vyk1Sp+VF;UmCT)If6dwMuwy4c8#Si(zX7qpYp*L@@@;|8WSAVH> z{TH_LUhGJG$e%T*Tm1k(0bU$2+O9pF^SX+8^KBk@F|zHt>zYfka}xr66gMr^3;jRy z8I@~hrZ$FaIoM)}C;e6#o??k~EPkL!zF9q)d#P|wC7M0I_#p15d^4ngsVk|h;=$pB zsqFg^JAB}IgSO#Do_lG>bQm?5UKhX@3!Eh{%G0ge)V2e~kC%KLj~jV+q<%Kye+&He z8S3S1{U3v8){PYMU)*OXR&-CZEzLnkv$e1M(V;DxL%%;GxH;7#q9(wUqYv{^n)x7N^@3{7@8jSr@w!@*t4J(~~R z@+2j7^S=q}>fYc(3>=_OO*4aJzcvm38ef-2cf?eJPrpduG@;8*xQ)AR1GK(zT(qq3 z;0NPi`|>@Hhn=_gWfTy1%jzaHoqg{)b_b5&ee%@$UNW?J<3SASqQCZkeBu`-%$k1k zZ+oiFT8SQ_tNu5~Pi}oyx!mWO-a}cW`24tZPUsEjtFDNsT=FNW{L-93 zF#UhCPqD>t12a%&^bSnLF~tpaK<<>80kst!xzF-8`9NH`{{ty%&|POfl^3BFf2JRv zQ66S`15(T=)APOo{}$y^(36I*&u(iz3%z6NMs!IE*M!IWy}j}k8^KLU!tS!le)gAL zd8{nL(R-!7+F_M_x#BJ|Vz-LK^OtRZtn7oTE>dZ@JR=7u${?p6W ze*MqQ*0LBrWTiuZpJzW?n3$i2I`I5)^nmeWg=*U&29gAqi*gDwkbJogM6UzC@RyK5K8xA|S|KcMttSkb)J7UTL2Jw1jgDIteG&Lo!JYAAB=??=KK{&X03c=&juggv=!mJ6JI zWu&i`Y_nW}v)Yd%C4D>)*iSx=(l&SG-~zIl*LoXW+X1yd(8vItAlv z>uc0^i($TZhBHx{M@{SE3DGO0)TXGET!&f7w=)4UohwPDfNCo$NpsD_}FmEs%TZM!IlIw2~%!z4|{$S9X_fN(f+oW z5+(C9%@1`z4C{oN&i3^Xs-`J?ZeESOp|RSoN=YH0B(nl86TXNW_h6ED=^Y?Zfh!HD z(XzL!x|L{x>=W1bi;hXJ4*u&!>|fz$X8O~Ns+k%UIF~|hlS1_KM|?#?xk3UBwz@bZ z<*0l@+uvq@B*1TT5H@uU={DX|kY(v=$<&)6EdRAj{=@6|Bgiau&)~j%=Og|-nx5gK zp9#Y7jL1A=iSm*B9^NlPD2UWFZfo%EdYAkyEoCoBhyRg01=TO2IG9v^Vm9%nVeh9y zq(*}6X2HsMnXTTLuf_}cJj<|}V%3Axy{K9Am2IS9e|5^uJVlq@GiwZryu_cG`1nyn z9c*0OnDkzx=z(IR6uMqa3!MUElR#1=yztQIUbV+x*uqSqX!6^=iEcxhhOVgc6A)1< z)s>XYwKnq^f&+{v+wJ1am5=TB72555T@ClP+S;QTc0IFmbKuJdcBk;Tqx6+8fDxE5X+ij%QzgsuJZ{cLmL{|eW7-|^$qk9_B={gsOI z2!3I_Jd4F$jn=JV!8^&ucb&%X3bRI`E%# zl@9SQc;BU0c{TE+Ny(0~#1nT-`!Y-ve=#VLm5?*j>W^svivIY8&*Iju=U-vbq}Nul zf8;sgf?7V`q_>sP&ofh0r2fh?;QuFlj)SXVSgZO`lT(9uj-z#Fh}%ID=)A3hU0MVtsEZ)1Ovw~|Zuf{z#zEPR-C(p)8Hb&!lxi5Rp^9dV@= zgwIM1PtGhBVS*qo15OX96~x9Ue<}98x&k)jH=+b$C0k!L;>qm;gYROPM21FpIyVhf z1EMkm@>7MY+Ofq$0m4bf2!GiM&XAo~hlq+_e%#GU$0-T0BcNVg#S?ABw(Ard=;6Oi zI^_c^lc0TS!J1cfKZ+{4%t`kG^{?_WYACu)N+*E!W)N7v!peA6kJr7hH=hHsta?;? zKg!Tqb-FApzU)Nz0&n4ze=4uhhG82+I_N*v&%{67Fh*kZfuRseTgYI;BjPJMZJ0F&Iy6DFl2z{1J+n2$_kCr1=;d|=VJ0v$1G>NH4J z@S%N%uvYveb8ol9s(V#Al2DP`C9wC+e5vplXA@cYptK| z#>GeHe#gtbr=ZZd`W}8Q*<_%|MQPmbnfud?37yq)2Hu}1a6Ev+0De%yBjy^Zk)aX= zZ%U9rka){-{F+K)_Z4`%)O)sBBOepBy&2B^Op{1>+_$-z#4v^DhB^)euRlc`AZi+s zJx8so98dsj7u=e_*Eiuy^8#}F5Hb^+CyZen2wB`9Ccg}Paj<6@{>oxNM;$*iNm-Pu)>a`3w2S3|<@dKn}?{vPkS@J{ymqlVvz0hCyo%*|z_fE6N( zyMvW`OP;@RqndWXo`sI;Wq_B;x$sP*0u8?qcFXKOrwQeL&S*(B*FG;=$BTje{uLU@ zyt0E*KG%@xkx))-vT>H(fpI!wxR2bA_@WU0K7JmJNZUxN#ehN;aSIoy;vKpK71<8w zSDQ#G8jiP*C7-riX;&h+1F&HeX8v6gOZ^Mk$M{nmbhXps`vz*B)$IyOz^N=SclZRvi`6(X0nE0>0{u0rJ7SY{OPD~nA zjAvc_tBfo>1|q`Jcx52g5%vDHkMVemUxe#+GED?$6&uVs*pt4yHRj@cz{~4Kl*Nad z#Fqk_n+H`cIAqI~9Bt^=tVx(&RR7HOlGLmX8skFs3%A9hn*VeoLj0;6eya`&=F-3| z9!J@OGR18@^}{8{Ir?5VWRCQE#?oAC#kW~^o|7ZmU)mC9e5m5vHUY-x4br-79-CIp zk_Jvq2KeJKEy_>QTC+N7f{&RUz40MQM1Spx)HO8`f_A3$?O#rK^B31mNVdY#980qt z&c?Ds$4u$WEk{BuP3+ZdEpyE8XQk!FKsa_!`PIpVs5L1|m}Q@V0_24q8rhF>g>$YD z>YU8-zg>&Zwo?J?L6@^!=u=+DRxPypVw;-IKJ%e~`8D4JC)DPVUi&Wn1!Jihe2&h1B0d!F=>X; z!+M$Z2fC%9zAe3;B%}MVgX8wE<3K9CMpUz=)B7fvCKL^9_e%T<{dUD1iP7;43TJU57ORnv*oQE9bvszv9|m128-~v8vhVF87v{_>hAPgL389NJ zdV)nRmPK%me&{?-tvcGmN?FV>JNESGR`P+o`iOlk@O|l>4G4H*afn!&yV1l{Xy3@W z@&^6aH*3P*mM2_oxE=j7OY8+oaqPU@GBVL;s}R(w#`dAoNBfr>-3qIy*VfWRA7&e~ zneoQ$BQ-m=L0_O-=R%dF0s@@zG(lQGu(4D7+1Ucf#;F!Dg^~m45~w(vV8q~PpRjyZ zcuR5c7oYS*P^HpMLAN}cj%PT&m00iU%w~B$JJc``IiSi<2$itpOiR$6%{uiK~~+CuVcdgGvTVe9CIl~^F3}#R%Ri+xxV&`u$}C13D=Q# z5Z3ha<>BFPcj}q)Yaq^NMM5jfbY03YExPf`X|>TW2W7=s7Ry#fmD>;Z@@M6?5;-$p zSAL%}z5i-@7lgTK?ivzWxu~5Sw}GxMZrWy>(5jd$saoN-7_G!fuix*ft?JIxW)s$8 z^V4E`|F0te%xklWYfWuZH&{jbsX%p<*q>C6f?sih&So(h?3+rqNj>C+a7~=wMLA>^ z@*d-zRf?iCqQFi4q7Qn2clextMmc0DxtxD0#v?yOV((6j?`LP~Vq z{UV_by^9Xy! z)8VV0`m->ie{Q1Xy%>8(1vQiV4~r(p&OW~BB&Yft^QfgDH61Ryq$3^GF8ifBRC#Yr z!0enue>wz7{7&8{XD1QdO=xoV$&#Es{{wL);2}h3Wq*1Bzwt-WcjyyEBNE=YfwfLZ zUtXOSzsXX=2Wu#hECiL@x3bNj$>&BSF&&{-)ul}TdC-hVOf)1^NhYNKs~Zv5Z7-eb zQN&kuf&77pztD67CgVzMTp~D{@{%+1^a@9hL3p|9b*uwJ3vvw z1-+~l7)4D5ED%XY#njiF^ z95tOY5mtGd&w9byU^J-=UDazw8F1iq{OvLuwEAuB-tHwRUc68lkG2j2XGunkcILf= z-s}{`%mJS%c=V;Zo_TkHKfGf`Ftup}<)kUK=fc0CnS^LaI>u@9h;Q6KGPs6>>dUbbMgeE;2Mev~ljBFO$o>c=l>eQz zr_lVez3*_-l9{4VQ?cVj?m(&L?%8)Cm{t4p;4_iI#=+mcWwdStdM`6M4-QIDg$UEX z2D-pDdvEHXQ804-n}Wm<0(d`~Vjk`Kxl;T-YmUX46cg;0#aD=Mw6l$R65BqZ!=KHS zyWdfF_hN$y&%2JTZF5pbR5B+$|1@#f7jA!_E;O7g$EyxUp3cZ8aX-CAmmi|>rhtvEWxi9! zt|2<~&f$S8CDlsOL0pM$>#!{)(Gyv`P#2IPXdN3L%3vBpMRe_n7`dZZB5`yhXMI&qM6!&oDF052x~qKMF0J?ROfDLe`E^*_mESf_7@Z5;g>z z)1oExjLVQFUJE~lztWw~ub1J!mZ8H-_Ztb0*je^&w5-(9;LO6TC{3!%u+}Y4M(Q99 zw*fP3N|FIDe!{oY7F9y|$iPE97E24Qoo0hPb^ zYS-zua5*%+R5C{>?}o*kj{B>9P{a#=!O$VEvFjUeQw!#S(Pb6qf!S6bkwER@ zPdq^a1cH>0^&8(3`zHZf%k@arQ=XiRzB$qjQg#1tJ2>ir-%N4UgTL+Is)v3v#oGrb zM~JI?Ma$-Jm~n41w+I)0&J_xbYK2(_HL&Ke3~>D#q39B$J*%b)dUJTw>wwl9{{E>A@bGg?#dm`DD1R%aVR8z%Y3l!Y~=KWo^-;1NKUxHmG zi2TUUc)n~b{m86+^-=rIrT@s9eE2&opr4+}G`j&8dJ(1mrS zz4q?yc9@gVkh1d~B_@2B*RxT-bf%2$Ms}At9D%xkr*@ham1Z@lZr*7py#TU^utTBJ ziHWNZCnVjDcu4*lQ1*H5F^cdw)?Fr#8f`rc77w(_|z_;w>kg`|KjPI6JX#6KL6Y2@2L*H~4>ST3z0iLc~nS6@8j@!g_9>DzM^ZQuR+#TIJV@tVwc zOgVp?%Iw!sCJ*W`B%y?^EgkN>-0e}=U8Y69yw4rCRg~#n`mY;ycI7s`G`}fvhBLoE zyS!0P*We~mqN=$G6*jNmffxIsx8~d)wS=bB+p|n*L25Xt;>|afnJnmqY}VMjI7Fj0 zJz7UAH$5^{o|F)@K0A*5E0n-g6+-bS~QzK%icq!Y{IUCbI87 z&e(7NwLUK}ZmpkDhk>2ul!BcmiV#~;QF?-f{p~`H@!d<;%+1DTxPkVmhgwSrbqq;P zbJNEEu!p=*B5e_P^7X-DL(lnO9meW+;MU|>gUGIYR4g_z-QVKw_9N*V{rkhV!YX0s zG6OGZdIVRq+leEZ%dP+MjW!IkSK5nxo(q^Vt84 zXFlOhm~xP81dfQoQ~oxugXZ|76#tpliU1A1Mc3oTwetty7CGEzV&m!T#BX`xhbN7K zx0(LBen|Tl1ad4%&7;Bhaa{>N_TbRhy>H9bs5Qo`9Wo=p)H9u>gF6y3PwG}YzSimb zR3ZA%vsRA_shkRC=PLR!gHJbqW~tYlH6IfS9P2wB9vXHs3IgG^EM-|+UP|aH9HN7n zW1FmTVf5L%nyh&UeK;+gzT6=4H|QsHq`x%;rJrE4&xTV>(X<6FucC~RaI^WaLxXZM zZTn)N>VZ&vFvB?*70%#*<>W#=jyuiM33{r^1Q}61IJpK={%HQ}JclRvqCV@h+~%I4d86-Y>4RNuDJ;K_iyNnOO*^(rkFndmKxicD08`6>#fWu_Cm! zj$b7qpK6yg9D-WhKBp3SGS<3}Rg$fW-1e1xtm`S!;XDo=*MEG}hx(Iv&Qf&`d(fQR zF_!a#qYD^wnMcIE ziqUc+cg;rPR;@29JYshGy{9^+So^UB$9mT9ch9i)eOgHn@qj*Av>z;7Y!=}kJe=*1^++JV#C46J85;$w3gNq&}mLg}Hp zIS{0y97ocHx12EVSN$CH&D^*DIk<2}$WPqvo~n3EpaPky7yXNi={w&kAgwkN9`18Ph zg5TSAv2JDaD{jT<`X(>E8|S-BK>jwirn z9SlJ!-P}QxdcOzw9684kD~Yt@I!^-3<8tA2-M?xWQ;WlBQT$J^vfnKSM5>Z(>6Tj{ z9#v-nmAH1;!KRb~jJ-!-{(ta*uAie4xQ~FN^FM-3DiotC%P-7*$o~l%66NiwQ-|bo zOp6h5=rqej%f(^ja2Ql7R1(yZk$@F|JdJ(GVg@`q%@)z}5g0j57L^Luz<*88*o>NG zD&^ZVl4Zt6NI1wp^2HeuQfb6PwJ=!}Lw074#?csJNbm?%G6bY2htI^_VytlXA!lcn zSs$}qJ2qix$^piSBmXzJP%8ke6UAX@=rxT0CrHUNKs{Az={^S{5v983C*M|`%+w{y z56ZC+Gq$)_?P2Og@-}wM&29Go=hr1mv>1#}%}pRr4K^N6D$74 z3EYX%X29nXhY6N0GoFISK_<)(5-T)7g^h9T$x~&)=NbXGv`mTbh!q0Ztbs`XxBSeVM@wyC5u^XJ$Os)^nvc8o2I_#`oJ8V)+NEijRnYg51!H9*;l#+j=qJ zh-k+n)iuyf$Ac)`bxk46!x|uWg;A-o#T$Z16s{7ui_Y+iEFTgH^Z$V}S7Wge7~CSj z{~4%9z&c|721Kl;0))Wh=*fcMF&G*WoiQjhtf{vtB+EnzIYwa~Fd0CpDm@(`h)il$ z7u^)eNK9%i|08&y>lL-OrGd{a>!t|(4^&e%$o$u3(Z8}k^&dU`FQv_hlkbT$%8p{z zL#i>Dg9zMzmM#G#UFNZoXn`#1EyKy|SgLC^Bk(bFj=gdo8FlcI_{>G2+ekJh6q-_C z`Y5i4&l2u}5zAT(=>gxLT!yXPq2}vLkMpy(@9HX!!8yn3c?X?y2Fnrb}HsfK7TK z7y2A~P_@%W8D;cq3>&OsqXTN&O8yl{8dm}S8YnRRD+U>Mu^GDk;$;HD9_X-j2#KhENBSjk{JwR#({{TC;!QajB!&$f5h$Yma>*Q0pxM5V`^ zN@L3~6mhLmrH;XJZC^m;Ml>%~@i1r&A&Uq3Fh_6Swm3FKUI^EI|OF$WdtUDm9q zVqbptx#TABcD~9JJk(oS} zVTHxb3Ffl>PRP05R&f{%@@FECG=1 z9!^>ZsWHUYsRaHEMKov9AT!H{BtXI$(kYwzES0HREbatUVA%uHpZ`V2SoZ$E1DrTx zBn?e5nBI%Xfv

16P=p0VKON^1;i8?h-0*mhLKKbH&LKG()Sum946$pOG4)ZK$z z?D(Qxqvl&i*AJJb=BvZdZ^7jo=8gG;agm}H7>UsDQ>9^S3Ld3WR!LOb2jyJ&==+N+ zu~WmO!$4eWQ*@kvkDPl!ZmbvW${A*`)o?CtN}L zAT?hAUH{i4HD6?genkKsOE>xXFST}kIT$1YVx=B&=@w)1Lcp(hRTiBgIy@$GAQCRe z2)J!ODD?U8_CqJ!d}UsD-#_FHnEPOr90-CHgTTY{&rmozh9Fi;;$c;pnVpIbwh?t@s{zR5 zTmtF(VG6K$66a7IsUlC_Vn18#fY@nSjvHIbt;*RPz zz602JztjNCaxNC&A5@gykyp+ohQ9wxi3Z!Gb!xD;bc>3ejSQB76$Bje=7{+fEb=CY z#Wt9FnNNc$v1Jdef^y9oF->gSbe~Hb9a4A1PKthtKSn=uGt)ao#jLnviv`tJ?B+F& z*vM12DQaU-@_xL0w=?4?L~6jc77cPUhY2Vdp|@HA|9rHebd3VIgPV`t3||bAqU&cC zr+37VbBR`o(hpHvYb-sk8oZKExiye6R{)2SUw!FqoxrxYDizhUJQyOk>3&7 z1Nno8GUdo!pTNGWbU_zi8f8G9+CB&l{jggopfx6bn?w}<93teugJYY7C#s~ooRqqc z3mW<0IE~AiJ?4Lh{GmTE#=bi0^uo}|J5bv6!fVMpO5*hAdcYl2S^DzvD2-pBP%S9u4di! zUbq0{IT{U~1KBmVqjP{O$vZ1JQAiR-xc&VsB0EudVT^|OHQ!7?spw%o2qvI9c&z4( z&(UlNbs;r*?Oe$!gouaNxKVL5CLop2ZSk16+C$C@h~7{JWV{MCK-tQC{I>X+Acsm0 zR|K6fIMHG-Fw{p3bs=KuO70njMlw<8DIoRk=dG%5=oW(_ zfID~^rGLH@ckN`TMSaMz4poD1LwPxu{87YMM!7{#ca);D==W7-)MYG7= z6Hp(Fyvlx1M}cdPp=yh9Z5f~zZ4H5LFgrjE;35Yju4)3ygB813)s81n09sCNb_S0L ze#MVzs!?%V#UOpvDE&&6wLhWTj#BvNS|R@dtQa=m0t}jl-_GkLcHTAK#K(ZW?^5rT z<{;nrv1JvJ+)G+v+$qMLZKS1}QOMR}h^)%p$U{ zRxs=OG0)RM>+T9Qa+M&aYaq zaJ>xpT`*sRb>yR`$gqpP)RCVI&^(n9?d{G)Ik6s|$qr1hrLwLX-AsC}uHGi?x_E(< zHtO$3cgzA4E%=iwh8T@ zW&Bkiu(C6PJMO%Zb9-{v2=>K26F=+CT}<(e>xdE<48reI1E*Jou{RxG(+YSE=p(Sg zf_NM0B9h{R{?$0kf_N7hB9wR=a{`Y-NX2PiRkR(j2N|n-snsoFBU-i4J*vt6es5dt$n_6!CzWW?hTVV1 zA9_m|5z41~2q{>@igHz^`&aRjQawj3Si_NQVT^FqM&GX?8!-?AwgvGHsGSf*Q0}r5 znZ@t9q+71Kfz^Ai7ZawEiPbyt95XS5)tT3#vwFq5yj^DSM1%=q&YwOgI$GCB3KI zTLX|lMFEr0y(~!gGHlqv#&obfqR9NM%Mozwbg(;WKMl~%EAbnY*^k0ar;Pf4G6T7T zU$Ni|l#C7XX;rdPlaOZNDzb;9*eO#@=b_E+#_l*Q&okMHsEVyf`q;IKELhU}|FTiO z|0MWgF;>QDCVfUA!OrHwSC=Amnis5B*kP737sVw#b@ZYs6vHW!~vG?E99uL42kn#$`qfIkp&Qe^00zC%sUZaA$2U z^p9=$3NhUY)9XTpAB)%H3_uuYjbTtG!=lz~VY(F@YGoe%ipHUes&E9QKH2@9tDYpL z@vF@--_Zp@1ky1%AQ7!6v3=`Xu@s1^7MW!juP4!0)m%;mkVuQ2x`#ka&#%&e*d*ri zQcUL9h23|S8U%V%LvJ=h+_{$*Tl1sWL1}alg31mPH(-S{z+3x!M)CP?ujHET7T-1+ zL?`N{W&o?znk_@|xk@F1R5cBDV+Eb9rr2CXP?;u-Y9(TU7LH^!Lxi3tdSiy(r0CpV zd|MCX20dqB3_rYmM9`8sOr0BI0Y0{5AbmuECVFz-7N<40cQcICG$LjcZj#C^0fN*= zqKX0!idd@+{H$VfphaV=bDIQXUOFzKHBCHZMtMnqr5ZzCdMCKr3YkG)7tnA8^1+&_ zkw6^!ZoWtkV=h#G5)WD5LFf1vx_3O+xGDieAV+orTFqw|3bD~ffiYjNs|Kv6h^X>gqSdkDQPFfp>sz{@qeAGmOygQFAr%#*a+yx*{@Z(C*2JV z?EL$1yFUNg*?S>{$BwI4_rEQ3AJ=J+pyq-3KR5&x z307!3r@-uv=0Em*`5$}yk9CtK*;apYaC@(lG!E@141|Lw(cg;4JXlT|H83(_5xH-M z>46Q3f!4>YngD0_@mYwP7qaUoIV7r&%jUP%0fB>zX;ROeUgP0EG~ zjQ@zYmjYi#N5+8L@AU(m7ND*wTt$L&R<~&SU|(}-b_?s=G0GC;v!vzTe_zfjjbnwf zL74_YJ?wsX&iG#s=n7BUs~l|!bG*Bm}N1%9mgbrPi*m2))_Cl^{vQOvymUb1#e2=o8J z=lHSYtH9X>uJ42YPCsYN-~xaQSBiEvtck6ZtWpAvthFv7{!?lp=5B4 z#_|&pa=V9{5=kn4(cW5kJ+VI}tnWKN`>n4}fs%sXtbHp93L{w@ofaRrTraO^qWgAr z7q7khERF{MkatcT#TQFxq3R0k^^jXG^H-Z$5L*XAKmdeCZKohXz9~5QFwRd*mEuT( znQ2aN z<9<=2IJO_Kf4BSmp7@+nV`SHEc)xnlwpOCqUn=I!m%TSKK4ojwJx~hgaVL0_qFtn2 zg=vB_x?kaF%giCcBQ5cFKl)2h8p9cP>iDg?s?wK|pH64V~A#)@>Wj?;S^YL(F9@UA1w;q;zQWn0DMR!wa+k&t?L9DZ^8V3|+pB zZ^NO2pV3A3wx?oZ8M9p!OTyy=7AawrC`)SMm>iKM!)qBzmru`>oGhWEN}tSDCzO+t zzVUFTeexXi4vkje*)-&F!+T#N6^@-Pat6{mRQyB%SlDkdm_cb1H2>;@CiqWHp2NA3 z3QAj^1KbHT2EmvQJ-HO5ym>+oxMwT#e?4pAgM}`*us4QsbD=$rso}RkWxb(=6ns$A zYIkEQYz+tdWx-v4E$ga{K*cUa?KBo$q0F@X6KOp+NU8E#t@=#LV5|8^bUL9xKB|VU zwd4p&ij@9YQq|F!<11&{=e2GW$1PY|8%Ws9##VDmB4gYO$h7{pPnK6K-JG9h*pbpg zTRu@jT4s_5EL*|{Fyq8oLD-jn<3lo*@Bgj)NuOb33=$kZ!Wg$ZC2Fx=^a}~hHCMy0 z?LV{v1opYobP|tO2!G;~(fxdbE|0K6zUGn%K0|L$TUl>%He7&*KIAi>uzMkimz?|` zlT)4l#I|mMD}X$1ys~i^TelubAbp_y$S#Qkd7wSv=YA-_a>KV%Tyi+w zjJjQ-p_b>z2Fv0+ zE;K2xpA`OCuf3V&_33R2P8FR{kn6kkaei|60?GHwslxCa!CL?&wwpR0^k;Z(Oncd1 zI6Vu{K4eRjJ%3kcC*UH_&x}y(o9AxV3wlwAYdS6d`tr6Dd8MvTuJ1p)eiLz^e!+3| zpb$Cf@4Scwe|5p|L9Fk7Eo&v42;OhJ^7cA2;@-kCn!*#9G`W7ufD6x#XKindxiuf@ z6N&<<|CCC{iSU~^qwlfhH0TrI9A$o4jX3R`Z6ujF(QtOe(P3S&js6O1oyU9SvA5;G3q5c#naXLv^PHvel#lV?Qt_) znW=2J?tA_BAW54ixBb60!7W;X#d36b=w4n3s8BoSEZdf%YwOejC=p3%A$<@om`P*; zWHsaUnxFa_b-79ZY0488th8k^h@BNCeHKwbrUe!pe3PylRKV8ymsMj7TK08Lh#;>; z1AH<%_Vq=GAk5ip3h-MfnYPNp^ffNaD*Ruhy=7QkG1D$gad$7a(E`QYio5H^-Q8V^ zTcNlYcX!tU#icmK-Jw8n>9=^~J+FO#&bh8kGIwNFVDFWkOp=+qAwUe@-vS*Mp!K)E zKTAK0cm8!cX#%(C`?vv#ZcW#=_ZiNjO--x5j~>P6j%@JiP3Ly?ftyh8jpn2qWRSoD zG&5CzNM)aXx){dOE9r*&*jsaiNrs#k8GK{(AkSpkP3>;sSl(;dS}z{4RCE zVV@{)n@?Jl^hXg7-VAdvjehm)VA3#d#lSvrqA<+sCyvVvZ9}@xMv?1uC%hfL&#mw) z(-8&FFfQ|rm%b_PwS=H_TiVGG%eNdBx(~Z<9vvhKTxb18f4gf|WOOc`KXJ6qf7xW1pI8b?5!O4uB;Eow*6Sgij<&@_+V4Q8m}957z8YCTkz*koduw<>Mu0*AQVY zO$Z!A*0SO&WvAVYd}oPdXYlqmw)(*vqdC`sY%2@8J42*1n0BGrT@8Md$?gFP=PrCP z4+cZ>jx;>|?!3p5COZv@@3aN8nfXYmDjKhqzn}Uhz47Cdk_Zj|6;iy!`GJh<*ac%k1_pg5~(B}2$$aL+b2c_U;-KkJ1Kp&U4xW9yKo3`xM{%VL) zM^LMjDK{=8t*3LtKMJpOB$jvtRhSK!%AYaMplRjsRr0tNEj^8Gow<6YswR4Upn1M3 z=^VQ~b9^j#>GO(RAGPYid`;TMIJw+Fq~43GC8HeWI-B%K)BpaXI;UEgxTHjLZ~O3lgOOo&xl zVqq?O%2(Qg<`@iwNq+5}PfgfeyIj9I?;ipN_@WqT-}EPVKcCELKZIyRpQJb|Za({a+I0bLR zC(aySxphmZB$9O+{aoo@-c)b!RSmGq#dl=Nr; zQUu5ipvkQO-ZN)W@)H}&oWS-n19CyDk7T-B=AfIS4vKN1os_J z&}(%04<4T?)$vBFRZenF#2~z7lpC+8IXb~#N%c0041-WZT%=6()$v=szUuxc@#{E6 zuP-Q7d{0yoDqYtS{3+OL`Pr81fbR+ZSAV-?wfAnlWUN4ab_7{rWIhBB!xQhW>Q~>> zcr<}}nmji}k(2C@1p$OAA585#%En`9%lX9Va+*AKMUjf^5DlQf8&mt{-vvMmGewcH z?2r@z1O^{W?{mG|Hjm|lb2FcyfOCY`Hk$i`?9Chi93#Az(cG70hh%$WdLK(4meJ(> z7ci6^LISiul|HPa$@|~Hq4Z%MOms8_uOhFyse0-go&64~1aZ@!iYPRir;xKba{{BxAZcCLSc z?L$lHx1v~7(Ob&htWuBMp4(MPc9Hogv42Sgrb#p zH`Dn7ycE+XH7oWW{0qB@gF_HC%H+|RJ51M^DwOp4q?YysE=eU`4Klxf6iFKsp{=*F zkHqd2_n_E6u57*~<|PD*L&C=$u3XDiJvn;_&S(otCQ#ekelG24c<%CXKBANMKDLU> zQaEf#g6_-Vj2-9o6^tFH^(Lvr?Wg%eqlo4GpWK$KD@`%xjXLTR%+$iqMrCkSh@0u@ zwPXeXdwCV&V2$YNN?biHhW2`GSF@(WQR|<{^FRTNLM6k~7pw}94_1ZF%=`MMvGt_W zls{po@+0=r4#WQmY$UW6I`HVuv=A{K>7b_mVF;IfOzEfc8)M)j3bTty7_iPkK!M$|80mZz0laV{W7mb1xz?J&VS;+CHiDdgW4lBM0OY zN9boMRg_^itFZp+wrqG)HcL9RmUFNsu>es_k@-OEymS-kxI{zB$;< z<>@kjvQFd_%q6+HIf3zi4S!EyK+bup!&-~cLvidU2NL3p3B_cZpW62EV*MA;HfH8y|Z#WQWe$*9V=xX&5Bu$Sh3Fot7(}X{mI$ z3{ph(avcs9T|n*2D$DxT{JA1fYkq%)lc4mR4r)G+N@$-A$xuT*D;|dV?+SM#vZ3tz zlC*cWRy&$(pBe13TwrU9VuGh2nj6z8SwAxn*A`8vB?12n&B#k~x5%_nH-vP6Qb*k) z0YXR{trbgBNMrqoA&y*(EUSrOs)H+?$@*I*{zwasV+ zuKWC1`JO{lK;cEe1*pRs4654tz&7^3=QG~>Htoay@9=S+@HfuC7Fd=IKctPhu`+OEfbBBr`Rac&Ky!|lsxrfa8g_4c<=T3k3lsiiC;u)! zf;Jm9^oB~y_T*C4pKp2EouYWXPX_F9AyvvzHdfCd>w>LdNe(_LgavdH-L7~QCH2gG zxX@cMpxJ+_^8)!(Eg$%ugqxfZ|L9b>C98@=QF9#W#eo90pbR%DpmOl<`I$0Sek>LR zM5AI?$^OOt4?^nvvY9Csl%y`A z{08RH!gRD4$h8<+D_OtPk1~&1_nA^{2%|}AT*tdc?RbuCnA5yHo|4pRk}US)Rs25k z_AvQ>fEmw5+}rs5p!}xVuk3i(RiS=`4>P`n%bcErA-QCT!ot`=K_8%Dl;IJ1L6q2` zaZ1=CBFgXx;%}f9F>IWUkd^+EDeD(e>VC_3dQQ`YE)WIfdsdjCz}l4c?KtJQg#lQ) z2vG@PB38tFU^{3bpG-SC$dUm7g+B_KC>zgzVbNu0NLOrr2&PI*32LO4krhr#3HrbQ zqpXdX--s<{MH?}rf?kwN_;p6+Lu^&Z_R@b!%$S=~elBTW)g>Dd=@7V&8k*S5zt<6A zwV~zy_1PPZ3$`EM(0e47odzAv4!nK7M6mb-dF6c><$UU<{P9iJuE zVc4%2bL(;;S&oo>8&HHesB8vgL5Q6moDgGAhba7)b<2fPqKAzKEi=C z{3E{0{M`}9GIu?27vGjhOHo&7pP-V45omfd@FoVxu9RHB!i&2p<;fvSOxv|StL!+O zXcwv1YT4vYJx;;1SZhQxC!1_X+^(!3tNw{Sz>yKuDxr$LXozXbMY~ z=Y+-zRXf@Cc^sk=@WRIiagv2JEcc7|09pG$7wFd;^fvwG0`&K-{3PCO@~s0v1E2#u zKnI3-4}gwq{h!K|lWo+Xfb&=#gq2i|I|+RQmn5*v>DP@3P~XYW$;~3ie5!y@Z>5Mi z0jtR{GN{4wt9tXeix|K7fQcBt*Z?oNb?y5IGqEoiEMj77XA@#Fs#uNZo7#=%w*VpQ zxaL|CXM^iY3C^-R9WtaX~6u(cx!{M0wJBP0P!Ei@{MB`(XKcOp^WC$tk-Y z2iB3rjjL1hRJ%{P=9-y$<@pafjVEAr-cf;nsXj&GeFdm>NcbCXr$UQm`Rb~uAJ9%yBe*t3IAr9uV!&duNx%l1{qF==AVQK; z+lT{8HsnH5sH1S=Qi1};#%e>t+G;mK$Dih}Oy^<=;Jc8XJhgrpmTU=cuYb%Z)IrD)7yt{Bzi%IzYE3IBN1--gm>%tb!X2p z@knT+SbtFgrLL7Qz}0^-oRn&m4lVIlh5G16Np0hwpGax{I_OWHFAc5H?axAYj(YY) zd7ZG=s4!63Et7c8@$|QL`5G!846VO{rJDaTaGzU~n#Jg+f>ESwjG=G_UTT6`|Ei+B=e~X@s)&3`aGA^pg+R$}*XG+*qS0`P^7h+q!Vux{}+vE>7n7gXu=t z2N@{c;p>}N2`Jq`J8|A|>)V^E{~Qv76@`g>5dnw}mlMQ&k3G;=LWbHs=Sk#?FxZ!> z)R+>I-$aRBMU`jCfEL)qFSd~I?ZS9H*p|t{Z&^f#$o8k2eGW}}l$Wq=pd>U2x+X=f zh+$-JMDJ&z*~!kj(fv-p7&xr8|?3dt(tS(lvCX(ER7GxdJ1)7aliO22=ATAp+nW##>!K>U9@Ew8p;= z4PoK^&(Z~v{4Y*&%Awebt=XT7i&RbCXY{_cx)wzjyaWpyQ2QU-c%*ihBe*#SQeSX0q3X-{g}g$pFh}V>DB6vk zyU@w5mZZFvZlGj8X1nse|MB{=GqrcHr)nRe#F0Z#uG0!Bz_&XBa?1qAwNKDXt?lC! z+Fv88w0OaQs`R}}V^avqg|i6C^Rfxb-{ldM8x;`LlWY2se5y)=CLmIgG#0kKgDwk% z>RN8-S(#arNB7|pK3#(rHacE|5H2Fh+D27qgR_8uW5J|o4A#aJv2=iQNQSG~2wuGC zJGtAu@%jBI;Q2z*Il!c_jaOnoj6V?y9r%gf^r=fX-JjdaQw4Ep!{R zAWeYNPA6L!g|5?}j%Z>Pu1rQSrmALW#00~0gx=u|tQ(;F1PGtLzzD~5Jkf^`dT3Om zTd!)hiIh57>>=j;_?Ao`qK{mqJMkTKI5;hhWX!<`FGEBFEv&T7TgM)w4_y9%6|s<- z+pOj2BY_7F8vM5Snq$2HABctt?;9sH4HKA)1Zjka9nAOvR!<4JJvZ$n=okUC2s4i@ zQjMg51erHx5Kvx623>^?uMI-?v=7Y1ffS~PPB7YKMlUoblL8c!utC1Ro5|2!g~`wh zg(kDUF~Em3=cch)I3PvwxZF0AQJ=SOHj<&QZblAEv9$JGmna~^_X)rR`5;1P;K2`2 zqesY-&2<`+aSrB!ql9a)UAmlaKgOLTCl(4-gD10LoV%`WE7MMrTP%PIyfWWZFMHI- zd4OF;ni`8hA#%t-F=)t0cxX%vcuI0~88Mc_WaCNiu527YjyLY`&FGO9*&=<3MWAj? z=Ub*syES78acwLZ)PblxTrGlKzxMIF_^^9J1TJXhn%p)dd!mwwxV{4YEMN4Lj*=c8 zy#sON_}xIYNPRbimvclDnjJ{yd7H{#Ig%@NG?AXH`JGoY%mC--@g~G&*bk=a)p6== zA{u*x(qyLU`nu3>tQCIXwub20cTlPGsx^EN-B3|CS*f$`G15QSN!aEFvl*dbca5yt zv&wd}@TJN9NLcihW&Q~WW4_D-Vj)|L>q?XMt#Mh9jXt8*waRcts>nhocKv=)90yaV z()MI0HhBToT82%C$l(I8iy+dnPnd^ZPHd-Z*AFUnZ*&q?IU+ti zjz}?(T1Tc7w#YwNz-kk-{$9ar;nYiQ{^`PodV&i182z3$t-%!TMaj8$AHK}%Q z1j#fI%x=EMsRxN@ARuZ{eK1YV{Rh}a)QY4J!Sskx8s-!Mt-y!c0=;PJkoX`*Xf)55 zVmM#jyoHWzmN$koP_Bnw;VxYH3#QH*@1MXfPrXA#;|wux;9H9-JXLn5-YhHv#?tFP zJ{;LAxHU+aZ3=`Yi&#usMeQlZEjR4y6zAKMO(x z!{fxVMQVqNN;K%a4_mbgM}ao!(ZjSA|JNH-OB1BEhGKI3Nkqc~QEQFX)U6~=JxN4E z8Bt4|_K)pKc?`q@9qg4l@@@tGFqkE-#+XcYP$(rzOEiO-xD=kJ%_v0`M8id#amIir zrXCDV7IKsg+)RP&V}Pmemjg{_3*`y~3i&_=x zxialNv-Ak6i?(CbqSGFz1g69@Fbmf)MQPaTU9d7D4}&4IHJR27=s*l3h~y!pOJtfN zaN$NhEPP`iZe%b|5K=e*+BxR#6PSe=G}s%04TYsrIhoMb2Ci7){Dp?IqRaN+AytgXZ|Td$G=V@}eV_ zdi>#~&?G!CQ)zqxMn5Kni zquQMI^jeK8v)_Z(L+N4LmB(WWcdIjTw3@Su8wMTG>oJ+kgX5AtSqMWaF{4JvOp}$6 zVe{G%*H#(B`70o1?!SFmDrPb>GfsVoHud;ChlFG5Iy?h<9d(BSMXU+I8{<&@BT+A5 zXe?J9E>{4p1cX)|W7n6fmNx5(l`;MVsZ+z$v0_;1EFX_fgEX3h?oTwA5sl60Ba*Ag zxZ#zWgEng&>($}ILldh+xIbpu;(RxXmtY21RDVq(M;B@e7^-#aYO)VbH9V`RNI{rG zXSD#}3O9q2gfDI~-bRI5)cUe&w8JDXw5Tty2zOQ-eFBD??H~JCK zA4@ZSb%Bbd7Iw7n{YeQwiNc)$-PiU`GLej%YqPPMVW16Ug$&AipU{o|9bl0_NuDz} zA|Ec12$<{%N~130-UI1}x7h5Taa!;${4yV-S)Id0DY_=t$fy;Ek8EgVFLn?}Tjc~5 zDt_;fUxYbV=5)=7c~kn2-h~X=lk6E}>*o+H5EU}v$5fM;27T(6xySvGz(X15UL&~= zn;)sLQQXUaTFv2Q43lYT(-#%lI`=7Xq@LJl&8owTQ3{5*TWJrAPG&egAMW)*_{9l> zRyRinv+CNaoOl{enJsML*e_kd_+YA?{p00yN~21KN!*#vE&r3#56e2YV`dDrSl_y-oUn4Qp3s4%9Rzue^Rq7(h7J{TuxszJXO4-}e(48%%m){?A2#|Lv_bmB@$ zk>LwniQ*GN_NC1zc*D1UyVip5GyGE^Zo{MOQzCjb@~DcQFJzccWw1uq#ox8bteIhr zmldQe_NWTk_k~ulabjNE{!!#f8GhfV4YyJK3BhjSpinnelS|k(s;^ZEd7(HeFjZN( zedPH2JjBU-g1ig96xqS7uBzes+!jg(V=NrgkObE~%M0zj_+W3zu>*nmVgnE2hJMwl z>xx0j2&q2;CS!*|Da_-H4GXfXl=}z|^RB-Y_?9zQ4V`)1_i|zMdY!`ge)K_y}}Q z-9V)Xd&^i;wr%2XB94;V>?0~lxpfmS=uawB+n~8h)n=LQYH1k;M>47+}FvfoMB>?bsFIGD^au>7xPN_eQyVy22;wO0(#4?+|H0u^~!mRl>pjg6cM31 zUavipi#AWtvHgig4_p?zia;9L@^caXDwqYIi`7OGf#$$aQd*`waGqM#u}93J%0^OX znuukN9==jp48OJ&WB9D>5UpE*-Li4CJze4wWja64D$ej}W^HA44IIfX^!G@AnwxDF7jH{tF zUUU1UoPVS0(zWHs&Ke<_hfU?hg63LPU8jx-5L$H#x{Dag>)LBN)%{@fH21pw!FMb5 zzTF0UNB+q}PwFJ+V6BZB6@%Y+8f{E#dwxf;cMk094(Fadvpar2WA1R6{e@!;n8Ti^ zIacx391Y&}`yHX)74fa6o;w<}{|l_Ao_iX!@Aw`0_g&YVdw9DUxK=zNYRX%WuP|zx zb?|jQC%|shu=^Ly{7#lhB)^i4-Ig&ZWAn|Mybt9aW17?Q%bR>eawF+hI=Dn~%LbAM zq|rE-A1^Wn$`$wDo|3zbt+##7!P)^uGyPyX0YK0!n9fgpoAver{3z3J_PkN^2ghjC z`@p}b=7Z(}{~f*G;GyZ7OV12=j@%{(5J2vv<+2J?Y8r0e0V=WUE|36~q|*#k#=zJg z$6DT=;aZ>7X&`;qW<<42cYF9)<|MO-maO_X+2!_?c(#2g)@sdU=#NWkO0U${YEtPE zR|D%E;<6hi#*V+3s1!hf-*Np{z1zI8g++f>_j$8aJ@>bhWoH#m^IUoA8xUZ>YrUJ8 zfO)PU^^Fh>zxP@F8X;le@xt^6jg~Np@9i8wZdD#en>|X*v73#hX|0ZE zLG&7-hZ!B;cRr5uQMKC=59^OzR|aS$6AmshgI$RIU5Gnfh_YU?ylVGu{<((*l6$ml zQK(nsrb2t3xZ!XCF)gVSZC4@d#K~gTh7fmj=w-`@VlK_!*CZq!%4A0s1wsT<%#_0C z)A>x@lCkRQy-#Y}M-i4Ky}CRGj_H>uoDbtozUtl%tAEwK8xAK~K08qTdMevelU}W1 z#`n|6jTpaPPbaZUEPTGnW`O!a;-fCjVxoEGx!Uv>-I5^lKfIm$%6!i|#QJR3067EX z4Nwq3Q2-?n>k}J=r_MX~1o3{?5B1?q;&5pRs?2!8agCg-$c?VlhtVs(p{u-5SMpe| za8yjF4?EY8ik<+f#v~2e_k0%+9x?7P*s88SFMrDJi(oqex6)WUS-l|MQPRd%8Ej=! zn$@2IdD7|SPdMk&D!7RMDB^qY5A_L3So7@>;i^g%30?c6UOpoqR7AI?rd>WGu#&5O zzIbTIqupzovd*4_UA?WQ(?5kr zz0E=D<)aHA$+;MiWCbv-00ZPW8??WS+m}1{wEZJ7aD7qzST^d%ajRHYNOvb+9uNf_ zb*}mRN}Hm#*X{a5BdL=7goxkcSnxD)mO~`1-c8od^kK7`@G0CLD50Jggh(ns^k*(5 zr%y(iU2J5DNgz3US0a}3=0 zKF{l~;#)26XW(01+6qHmR86W}HmP51Pid&2$;^QrvWY7hwd&V#@XH&zX9_frivoC>+PS;3_&d3 zWWkNQi&R0T$GYt}&8ZE}riDk^Ddf;Oz`sQrp|Lef!1?;{gK9Q7Ce3X43y3X5ZVob8 zv{#Hli5B{eeTVV&lk$*y9yVCqKV4GFNqyJD6%h>o> zWZP^?DyneFwb^y)p+N9-SqSUF} zEt_7?y=TY{K`lYwS(Mi4I52j$qC=$IrB5hM@sgifZRyMOTnJ( |p8hK%mc_G(B z2=My<+0Ol}VzoNj`DCU&CH&0(7;<#iJY(~TRHd1(68i40obvb5f> zAuf1Ih3@@hOaw;8Xg(ZMmc<%JMWp)Ar(n-Cw2(!_q1oMQML~~LgCVb<23pI47tNB+ zTd8YQdTxZ}6QJDO@5Y~Yzthi&3T4%Vf99?Uj0YURBmsz(AOVosS-5$EMuu zeYh4sp?@nxHxHAtI9ai_wm6YBrqkH)SFQVre>pdOc7EXBkzdMjA9O`;IX;%>TTgoP zO@;-y4h3gK9#x~3v`wG8JnlKvx>5!J`VW*05ZB{AS*{~l(n&uttLR4xa1c+2=4*OBN}U|0SZqvvAep_9wL z*n_gi-8{z3oXX>2p_4o|OPY4*>Kx!+RFW}+bi#c9jr#`H8OPG4V0k<-nBR(b4N;App+6Yj^XF|4Zn`A+-+q}gJ&Lwu z87!eM3X--bc$F!etnu8D^eW(R=v3*v1cm{4i^D&~F4Xs(?1=dG*xwxs(8Jz`zDaA4 z%TbENUx)O%qv}!gKJpkC0PirMhqdsgxM?W${>C*`g-Fz-4PP2Vv~nHKzL;sRW3q=zwimXz z+EVB6Vi7p=hZ@;+Ct_r2EkQiml!GctnN(06F2%&boLld}9ReYqPpN_o-@4s?O<0&( zZkeZGRu46yiZC!=?*QVf0c>R;o*O7rBJ^^O0)*tT8|N%bPYomrDXc;x|CLu*ftH&- z_iP?{$ojk$Gqy}L8e$z)(v)R@lBQIuM>p-AjY5zNzQ+K*Z5LGJbV`!zO=7^?-zm7A zG~5+~x6U|}d8&a?4-yL{3rS;sFCwSu_uxKgn@wZHIh9!ZX#YEExl{T3v1}8)hC!P? z%gopKKcdFUa)vy$gJsiUJHZwY6*?dsP71<(FG(C`-_~7xgWlhDSun!s!xpA2z<3=r zJa0zeco`B5inXA_v7~jV;lU{vaM-0N=QQE$=of~JCH(!R)LU3`-kiIfB*k@3R8HW> zoT{8ac+xH(Mfq_>QFZEs*;Diw^H3Cdl#SLk3~`i!5^eP!i@xNC!80XbypJcQ1745p z{3LRJFUnW<{}!a5&k`CPr1xW}(zzs594K#63VUP$i+QPW%rhHQM>U0K=sNMQqa&im z=d75!{Qg4H$CUZ7F0t0vw=cjkOgo>9V;5iK--o;$Mj6?u()&NkW#B&83!cZpkylyu z@Wpc?M~I>5!QAuql0rVS^yWbFA2!{0N<3jP29VwkZHyXqq3RqAeD8LsPQ8Az_i*hQ zljFHHQH75bc&Y11!V1w__gACzn}G#6*}=+?3=3DMw93K>S7Bdka#l>2#gnH@9C>zR zp*o*3{pIo1;TT~oy>;U2>LzLlIBjVmbr#vax3f}}kvFTfq}oth9e$T4J$K7YKF8D5 zlM}1mFdMU$!!-hUAnb|FR2cJr1`+>kw!2%L)Z3dX3(Lw8d$P3FHum}f zzU6QveYx&~3nuw(gWMwv7twdtcjT}ms?C{MzRfXt%^d_0tl2L?|p$F)7V1+1{*qM-2U3jyxCoc+~c{aP?>Y9-n05zNS5744r1qtq_6+H-jM^VY98)D@b^*_yw1hPuJb54K5BBfq z7o+<}g>iXjzaPC_8gc&SpOU@a%b{33Poy#tjZI&sa+XvBxu1P>x5n2a%SNQn_|!7H z_cb+|pRK-rEUo$1*`Mx>({2Oz#fndOzAfkMsDkcg1sf^uk>W3bj7#17T+;;kvWg>8 zViEy!XJ0Y%x1hbcKVs}gHj2erEMe9~0eiF|6U5}k80hs=yJB;FpyP1<{;9~)Hs|(l zSngn5gbu;V)@X9wW@iah79R4&FJae4PmeDL_9n@`*@}O@oo@S)k4E}86eYn4+6Q#^ z=yqRxb#GW^<$#DD|4#H%_FIBgR8*LNYbmskzcRw@k|jBLzsc8PYVsLMpJxt?_$_Ir z3WAUa`mE1O>Ewec7+UE^43am*rU!#rERNGNqUTrKXZu2J!K-^tf+gs>pNN;7-{NWK zxEK|6t)%dMgmj*20l%T-7kz%@ zI}RpP!J#+G=@OgGzSD8b8OFX|okC(v2-pVPsRGO;s#QLK@JZ zJFn-rCQ+_q-;HdIh$;eoV$L_ViXi<``g4kLs(C)(9F26yD#n?=2t33|?Uk5&edE6T zs-1y#xQ9w>!sgnlS&8OUKx&NhX@Jf*;-V>5z4hN=sk350xejqJ^65=(qOI8AjAmQs zpxKj@2K84)G*%-<1AuB+jp&0I5F}2of%}(QR047S{Qor!7XS<8mpDmsbRTLvum2Xz z?Le%4`>!^N*^)6Y`9i_r6~k>hs--}4o!;QCfL zU*|V|hOcNQIU7uwIjL(GOqm-}`R?1J!QPVB-1%m33i~u@XAMzi0}Q~)RMw?^FmvKo+F8qgOfM-$jQtJ<=6x zc;Sf(rXml*Nr|A(XMJ|A$ljexFj;Rq4ieo+z}qM69KZ{cI#ZH>JiHd+9LV?51TUZ> zS%g&U!IJP9+cdYn*=xd%557b&pjKbr^=-0N-$@Id;ih%c=HY{B;$6lI?F&V#-QX$I zdLLx3$2+?Q!E=Y5Ca>^>BG|m~P+vOQ9>ihc=|5RN$Kz1v#N^!-H!`KZyRhY+-=9Q3{BZCKBS>MdQb2@qt_W(V+W$Jx39ikDyKgTT zfgx!MOa?7DPV*6+9K>PA6j?w6hrUVaT-<7tHk<L&|Lpsl(YuJAU$a_rJFq)lkE}Ihjl- zs%VYOfAdWA9G*cJW-qJ6iN6@sZ0!812())oM&IkN^cQkfk7o#UoH0 zyLpyWHnaA5(TM?REgS%Q+KhLwRJ>I03m{ZkH5QL|>17<)SDW3>Wv9X9)q})k9!cpp z7j|OdORp<3?vFDQF9%jG_wwf(N%dkXM|#7}t@pR3co=?3J-GEt$vwv-hgMNrheFdd z+INu)e#LG%(a0!t>H<>LympWy>NH97^JADv^Tbwb@e6u0?XnAOU6pVL73VkCL|3;b zQ)4%`b{UE|-*o>Rm^FO9g4n|t9b@+&D7CkiPay2etbh=ZdgM{kLhEEqz7aAL064V; zsN|d6diTSq1-+l`HrgKYC!eO<(bPBa2x3-Vrluyg@_iVf-Hr-Hr{$ngXDMyJPd6NZ zI&;FCI?Lz{39ZH_OerCMBKB09I5x6lYSp`A z8sx@6k}McyVY@Iu?pZh-9_AkA@4{-I%Ql|TvLZB|uDKm{JbcG?OT`_@kuBAFWvuuG zuZddk7q>)G*Xp8&;mjZPaaX6^)*sufC`387g(- z*hq{|Owg=awgpCIJ5J;F-&7;mv1H*DNwW8w5bYnGscZP)KCP*DNOQEN+2`3+ZRizA zR`}Z1wN1onLxDXrZag6cN}T5#Qp_40Mv*M{$tFH>YPj;Q;Im6T5_lYoiM4V|4hj9V_6^tg+Ft<|QzFbnwoLdJyP zk?iNe&SntMefa8ohR(hsd`3)n3f@d&}hbAEI78ncYee|&==eD@oqMLq9yxyzcURcJkCTxu^bPm4ryw-=_ zXK3ZP2+e4*^82QkwPQ;wpgc$$rzRU%sEtTjWI{mI1W(z7F0EA~z(;^z#7g(24Ih8V zHOxs)k$K73O0wJYoN97927eYF60)23CU_ zv}J2A`xlAVYI@uH@pKS1Xo7XjQ0$Yb z?84L+Ho;o|L>#RE(D+Y)tfI1BjC+qtL??tJ?H8X`FwXP*bGufIrBf|^;}u1Rh^iVG zBZ#9PIZNrKiFjfT0Y!jjE^ZP5XOah`OvRfQ5{Ly@Ky~0=Mr?)8$}{)P93;(J-ij_n{9eW|R#sD&P1{#K}bEVZ9O zDDEJ0rk)XNZI#O~zKGq1r6GScHFXf0<@sgV8vXG|TSv{Tv2s4E7&)WQyt;1&E#GVT@;K75~2Z$zg((3orL*KX}fD_iHFYO6>q>sBr3_zRF z4{QXJodJHhV0K)KeoQ9`zo(xLTkmGj)(hfH$&I11G(q^K`JY)=)u0wy(F>LOR(Hvx z=GHVkDBe)((Wf0>;HDHuhz)KWEh(cz=NP#3Q0?wM_bUpfG1=V`$%%mZ;*w`#S)rbt zOmy*_9I>`_oB8py%2?SYdr?Y*LWk4gRhtd{zH51J5(An<+9bbP8~qw?6=vV?OnOW) zvP8;c0J|A;y=zJ#ZE$>%oVTh3MKCDK`40H2;uLrprjv}|OA_Ja2@a^|#iAIBuq5Tn zs+KLmvJJ2$30>v|Yh`nlWR0e-MWdF{#Z~liYlx;Dkjph{TsO{i?ah{&rIm~2;$7+t zT5jyWo6pL58at35)qA9o z`ZY`5R>zrqA>@rIq&7dcGN{Y60heyke^bBda!a&R>(RR22Qln;^$FcxI2K_y%;L@E z!u2O-3C#rzj0|mPQ`F`0h)+%5J~FoWPYRgSb8t`g&aTf-M%%rH&UK?mamimHyka^} zNoD$Qu;g(PWCuY0LM-@0EhXHLiQZgVHd6z4@Aq06<5}qY(Yid7P216Pvo=FF=o+Pk z968#O_siadraua+cid|Ouaj@o@>z_ty+ zu2C9EJ&6V#XkNP0O$D-oXEL*ln?TunVM+2m`J{x{wHDJ?8HbPPdsMV5&muP1 zAJk33b$vu3Wo&_S=GvADgIX-V;&qjXMsS!?ljT1nu(NrN7;(6uO=T-@agt0r2^dHN zsuA9{`;}^*&JV2W+~Ezx?6Tb=!Qq|}+@TF8xU&80((BdPVKyTiDrr1!V0%iWwKiy{ zcbH?Gdz_SKknrM2cf^bA}Z@~7QS3GNI+c?UeuN?|@3mHo>9mBu z7F0BS_bY&$o}(gE5|%M~DyQUH?LdJ$`ID%)N#&om${!8i8}!ep#{}VobCN%k6@02Q z$*;U&UmFo9Fl}9T5$-yOBqOwK4YeVL=AWey`YhC|nzL~fj+_`^>HeeSF$>wgrVAf= z5lQ7PXr(KcJ3^SEHRAq5%~$9r%et>0o=O|O%AtMgC6?^}TYSj3Bt9-|n!N%7Jbta7 zOY=RYL%cUGM>A@JtAhQ~`Bd9dl^;7J$Ny<5JDc8^#p}LI!hvN$G3bw{7xIsO7d6T& z2YZ#0E%HvU-+RgKZGic})Qu?wIM4G*)cyUumRoOm{oZGNUc1qLqS$f8#*p}loJIO&vYISYiBi#Pkard1gnm=#@&LI(4H#twBol zj`zxAMnn7BDmQK*9huyxWH_#VxO`YYY(qA@zS(CTZH@S|U-}&bBiz`#!1bR{$8k0J zaBD9{o&koh2v6rKT>FOZfy{3GsE-9HuMgJ?4=rB4oA@LdEUTy*$pWYgyanxjzf?9& zb;_>?2zLv#ttZ25Dh% z`!_wSpTXJMS54icm&^o%#CgySY=jN6mo`a({hUz^{RqtLzJM?I4=b)uZ5^ zgQFOU);&tG0&zLP9Z|k}B{Y7>>B3aipU=O{DlP*l#`SlgWYZ>ozyY_qWABEr2=9Aw zvX^?{ntwwNZ9IxW70%tPH${X~o(q@Y zz|tON*!!PaGB$CXU6%a_JPu*ebm0=`K8M~xoE$DKo4{*MjP%PMaUT;*HxK=+ibjW{ zsJzu+$xZp^ylL5!51&PwPm-R4j#BI1f&QV}@;H#ucYl4^EH3GF>yF{?0nxYt;5)PF zK8Jz{W%T%!iO5SjD5M|qe0(g(FyMv=nP)A(%K5P8GClQgXU3B8Uo1GI*HqNDrQBk^ zs=%$ks)BWY|EAt$8M$LiUb*9F6sXCRV!&%)AV7PwUC#s|FiCq${hEih0!Qqu-v`rI(eDk(t5p@^{qiqT|i)VZPo#gbe}I^<0Y zqJox7l8TeJ5YlXM=<<)k+)y1za;+EV1yKkF612?`*RXjFGR`L4ec%0E%EhJ}{blui zm!`8>2IuUie3r?M4lOKoHiy&3_S1-c>971Ebjv@c`&y|sT^jbWY#`cijY$f&^~FJK zT4Nb1tVgmr=}Fqg-*+kB944*8uak^TgH$_k3!?t(*N)O_^7n2BO1rJf@7$DQm` z)%NLESKese;KoD-suY=Wr@i&zq;JwLmZlnCD8z>}In4EAeiAXH7u}TC;+6^^Xcu~m zaW$8d`1_%270s3QMIm6BdJUiP7nf|H)0kV4er1Pcco@`{Q_#OCpOg(4p7cjLAGN3j zEGGIt0Jq|G2>FGbmD(9!eWvZV5d5{$M}=zHAat4BW?5Zd9Ev#zEEj~VIMNYltn15B zs9((1EP1#Sb!Xa`tG^ILr)Z?Qm5p_8k{-`nXeWWTCbOLr&K|eNKbj0A&a!b3xN2Cl zE@?sd9}mqO`=Q?y^955o6Ux^+^Kw`}*Gnzmyx&(#d(mtP0KEhiYiaV{u;Xz=d*&j8 z7WXOGvOmf^+sHO(OA<$M4Abi{}+`WeZxRuuh3KZ#V6Y!RmPX(xQu3i9>0mw59R z`=a4e)gupPy+QE>I(1VvCyf|8Ywe|i(l#{)nmmpu1wpEb3`31@_wRjwPYSW3|Gf7T z>dk`H#%{%v@NMVHmrWZ}WtGkQ zF+x02u?GQDb;f%;QC`t2e*V1|3+ISpqH#xzT$W|?^yg;@X-4N$6$AupQ7M)~Z$>YN z-C=)eeXn%EIj&jl@gzJ3;;>2?Pt&@=S(a{%q<&H!YhSx_xvyk9HVm^Ak$6fcBW9ItKj zrMNx6C&@ICxel`F@i!zAG?kxa7Pb>1tZvmxOVloH;&z&boyK9OdDv+n_8{9$wU@fR z*sR5y$^fu41nkr{6Vr!C`~ELuI?qlPvGWw{K6WOXXxeP9i9e^*6FUJU#`K!BoHfQw zJ$7GO8(}I%#V&8BxLErzuRvM(b4cdXF`f6@Ny)PkLA3l8Ghc7Aj1@b>#FawwJkuX_ zWzQ?eF|kr+cNlnVb)3VAQz(Aj-kBv&Ey0@`2ZoSV>SRK7D+^>hCcw$sPt{-(gZZ?LnK}3+_9mrytOVB)w^rWP4#lE-iLU0TE|B<0}9p~GY2%^q9>yNbij(S3);o1@LJ zxv!apTg129)_Tj+f~8mxmjg-e6JOISDGb9+;xV049ENW3=%m{6tnDK4jkX2eEGfDF zfKNuBCZ5&xm4@L8@yMk5O02IYzU6LkA(qUs;2!Z6w_wsU(!yKC*L7=h!*HH>LZ{@1 zVT*WNr})EA5l>I1zQF2l7GKqihQn})cv3aiZ&5TFiVMXD+M`NZNy=W?B;MD)#9JT* zYx@t6HxG_ng=QkFF79-&^28bwcQ{yB%7-h(-R)~#H75fid+&Hgyw`1GA}MXWQM|`d zTR?{F6?aXhqsTh;i+8n0o$1R3aIUz0GDGD8IF=Fb*305@+98g&I|o9WbpaPXD&DV` z#qqC-+jI&J>n?Fjr{Fv~U;Ii9JyCETZ5F>&tHHbDh##9Y)PB17iAlpXcb52` zNyC-7PJG{_;aWOdeAlF*ZEMAkOd8s9ruczw9ctSyexXxP*KYAMoq|^Mh@YF9u$^a! zADT2Am(}8@ZS%dES8iQj>nYcIsKT81bh}PMZ3Xd3gM>}biq9J))U{rG!60F$`Qmd1 z39SglXABaWUlgA(NZ7`__>@7y&I!b4+h=&~yT`79X=2$NuLB`=Bw&g-%k!pOxqsgX zbci!&dQ)+djEsXPI>GZ8mU1)1x&_{BRji5sHo{aj|cdgG*Z#%tDtK zPgm_5RUZ`Z%&hZPB{KSI4dc}+7wfQiTWjH*B|IwLo>}P4(Q2p8y+GVz5pe`xEN-@l zI82v`n=B%Z#a3~HMZ^JYiW}3-42)(T63=Gb9hIeyI!7FGb9oajiNmLP!rf|Y(oAu8 zrX?R6GFRN$nve5ou{e&S--3_x_hfOa)n+WzC$4X)6X)bf;#liCu^$(R+wH-@nywZ{ z7U{$~HLq9Pn_1>9adZxL9eW)c#C@$R!v(oW+-6sXCiaW#EFy|-6GvNW!jYaQ?r2>T zPNdo5u1s_iW=%U`qj-B;n#JRLsd(7U@?p7nql1O(B7}x`o12w|A@MRd%ZF>ktK6&r zR*1JaSUFO7SiIc9;;Gvy-t1uU@?R$2a;U78;d<>&08$ ztPlpo>)ot8TqWM+U=_&TrQ+3YRsffacRE-_Ds`%OrGv$8*edZl2P;PkSBlp>Xm#|`2&ZPPkF_#=*2#)UVJgfs^) zOWwzmnNz$)lCgJW@BV{FiA6hK3D33rS}_ag%iNtws|;u_emt|%TPhjmP855L=xyEa zD2@Bda`7mhb`zEkaWlTLg~7Dj@=l#dfghmvbVsYE6>%Ni>o5*?j}h^hyJ?!! zkKV&=tyJeRD7x#H$?r|7^n|7yoxRvfK`cDr`)^&M2u5WU-Knn>lD_zSM1E(t$bj-)~75#>1r{Ug9>391>+#Nj&7}Iy@MbiANj^ z-109HPqb=?kFMX%E&4meNZ>f0O0&^lO*nYKJd@EKPBIJ~&SiK~#ZH$bP7`MeZ@%G~ zO2!ni4xKee@1P^FVv#rZVC>yW>U7a*$w!_PI-;vB4!MoQ=@4gFoM>#(xm|8?qVOHv zUear*IJzDfI!#gbc+*hbm*zCu{hDrhG+0991qi7V1>A)<-`T2d&}I%cd~{0 z7=%z%{y0d4ec{GN|+asf zwN#aiY7Xk+MJ?qLFU7}*t=Ljdn9XiI z?H7lacnc-nIH>X%s$J%0g%}nLxmg9siYuMQBzsK&*NS~}ydv)`Ft z8X6rN-FJOwZRE)4?vc*w<-7Nctm~}qKXCNm=v8~hJAnx9rbuxOW6O9`=cx2?t zvzNA=aKZ^Qz3KI_k-a1P#$jiNH>I54umP4Mk$|n+hoCmYn_A9q***Yg5L6&&EeTe4 zW}%w|YYm?Sd#8KtWh@+c-n6nTyOY>G+Xo09qSD*@>oq82=?Yb|4A}$_yN>J%SF?s7 zuI6+Sq-wU3U{uXEtfqp6eXOP;%MKDds%8h3p_}3isp0xAL{gRN>qI#MNNyvtt6bk| zg1Ej_sIpq_>)V-wGv|6UCD<&Jcu~WLdio$t@Cxxu8czINf>(*(rQyWSCwPtc=V&yQ6ZPT1EU$xL?!AksdlKlnTKa#0$WEp|>^!Jfz)Y6k71`}!KSI!wWq z%681eAsZ|g8GaGNC5F#MiNQLR-ZU4-OYS(^M)$!NGgG}x7CNSR86Td_O!wN#K0L|K z0G{G!2v74f2hZ>`4=>Af;AWHWh0ijf058wr+$q;;eb_Vf$ii%s;KLlWS|?;-u|@Gg zSd;)xvM3=eF%<_e*Cd1hCLss&OhO*!n}h-^FbPFiXc9`W$Ru>ZiORBpMtxIb7A1f)ElLRMOvM2#GYKIq zHwigdVG{DN(j*jMl}RYVYLifc(@jDbtf4v6fDiH98G?7vWUAm~`ZS&yQ|O$54->1> zfIrN5c#3SThCY0RNki}flCGlkCo{8go0NNY7T%k|X&qrS%l}BjX+!@Q6|dIe-TZ98 z@3ERjH@uw*o8faz*s>X3k)fSc?b~n`?t(GatiYoYTkhg;wq#2T*-wzg5_}(nZ2Skg zk|B6MKX<}MGq~Jp@Oi4UhHd#c(Q6I(eI{TByix)IyedQca{~|gtlX*z^dU<-GlN;^ zieZeoV>p0wV>slkK*H$7#&90aS1JQO&>0Nbghs zU4cc|puiGrRA3iu!rfjSfY8ne9ubXgS?Ea+AGS%P!kpd&@u3e#yv8H`2Rv@{GCm8V z3iRP>K2ZtG!l4-UVLXNdI2^+v9Esr^To=Q6xL&CY;2H&nFs8s9>{VbM_9?Ic`xRJ( z0}3p`wF>NlgA7*4>Z1zu;f7gMGl5ySJrg57+>syw+?gOD+?60XxI01eaF6Vn3fX?6 z0z?2??GMDBi9D{=Xf(B7#H&+l2su$2L_nMFtQ$|(i@8KDSnpVGx%($Q+o!UtPSv{ zd2P51a6g)<`8Y6z$lWj#cWJ|ifvLC&HoBW-cqeU#4XWW?1o5?9331;rj`4bu04i%Elok@XU#A@+k{SEM1Ppx8r71A0bqNu&W` zWIaah@9%>zQ2Ph^8ZZlY%|Y~EUQOHQAUZIwkw6Hql|T+&CxJY?UIGPpg9M83MhTSQ zO{90Q4sS-nl)-vA3&Rrd;Zg|%(3C(3mtm(4)+>YcEL^TYAFfbf0J{|!!j%fl!H5F$ z@L~lP;3@?cVGkNZC)X!%@pSZ$j*ko+Jum`O_4umMQwc+8(|y!-RM5YrQAJ01ssgvd z6g;Jritdqdc&H60NE!Z;+KG*NJwF5N33`Uu5%kQ-e!#AJGkSM3qkxpYSp)w?i;f7* zUibwqC5}*=I3>T#MG81k@%-gzwaF9rPgsg^oUmVKtV%!HLT&$(avo1K34Y2|%%)sL zKjkV0uq5R?o@^=(;1rV(!cvovi~X~RkdOVdh){q|lTeKPs)$gE{i=x21uIg{A)j^W^z1Pqbue{tHjQ2JVTtXL1<&9j5fcFZnryyCzQl3j7tJ z6<<1ll|aTml@Q%gdggHZrDq;DU#u~@CgB?XlO{hAaPt3(CNkff(B%IL7b&2Vi8k>a zik$pkU@0~EzsZ=I{NH2sT7&+Y3n$h6H!E%6;Y8jsHRx}c(hJAv7(kc!z0{y8{4J5I zKKh;X3?gSUM30%CIdpmHnMWs+d{(VN|4t1e0ygM)8+p~LL0{t{1@vafn@$?^MfSZ_ zgT9r)ZCksoVWoGs&G%+&S2oQaxTh_VqlU2Dy_y_d-JslknjGEUu-yG^i9=sS_v9m* zgI`4-<)a237y&>P{fm!fl6vS02uIE9`9=Zxbxrf^D)QLz~^Pgrk)Q3OQ z(E$EjM??4$_mmp!A8^-egZ+K(;5r66RI9pMV%Fbruc`~C<|f=bd_2aeRmsr(8<%fj zfDwf=A5vk=hs`jiu*icLYjp46(&R5`u}o3QNKDDx&NU|Z-_tbYJGFmch-m-FR`kMc zG$Ap}`8b=duBzDdEFQ%%F=@~1WFYjfqyW)R@H4>Jj-H`(scJcl4C$Fi*9!YDy53@I z{)4?gIdyNRsmu3WGJi6 zMmA*%XJlkkrf^0^Hf0KD;>acon-u74fmc=wynHS23bep0)B>*@-#4outVgK~V4DI% z=v82jf*}d!pOuOxX`W<^B(Gm?B$7l&&@dbqYz z57#c%!?j&Ff%!)5M_ROYi2{8XrshV`+NCk0<*9$hJDx{!vP$K z;SjEk;T#-{;XE8tDgzi-U?z?+j?Nb?loz*L26$E5%xO5jdf>hhkN z@xPFGd@OyNwsF3(X7tAtcQs(PJC>V%lDEjZ(~dU1DT}=Y*3CBSn}cqRy4_}imx=>B zPWBe3?z|(l?Np(C^UZny^%!3~-`2g+5QHc2DTfse4o-r5$K~zo4lxJf&1y4 zz&P|yawy_k`)I6QO;yF0_bmStnoR?+2)mMR?U^_qyE3}DXZ)vh)G&R zZ8M^%^f5YtIV^oVf&zFVfd4p_hpJv2sv@wV)`#l^0sd)88v=L&2P}g?2s0#*;{X?d zJakB)0MihlH@SQx7YDjikUB6rHUcM5AU=9f0u@6aWAK2msH1eokU_ zPt+Yg000<1000XB002W!RXJj5baO6vZUGoR=fPNPcvdkuMM5ATARuL9GBO|_ARsek zFfdR2i;*JPFDqH58_IlB3|Bnnp)we5AM0zdi2#=59040ePgTj6_o(zPt2+nK(s4&- z<>^M|hl>R=MN)z6_K%>}`rT!Vc)?H42gp;AinyD9Q&dR?9dr^8n%LifA7D|1X$5|! zRYBAzq+I6uHCeoI9_N{o^A6ZEr!md|_g6(keikHdPb~slYsi`iVCIoMdxLlU*GX*s z1F>|bQ?n0!Qyug=llP0erQihov&+pOlt`!RFUb=x(%xgBHw+cA3L9Y)VH#NIGS*v= zJT27pn0}tXJFIrQyoU3Aav9ncXUdCBR)pf-pVpqTcg!@S*A7us;hL+4s2bP@!Ff!4 zsaTF?KbecS5wZJ0s)yqRC_KYeWT7VdZfPMPVTguvx|T(bhpF)>0x>rzefqp?9SI@9q=Ub}qGf)j`-#CJ{~195x$Uc*=ixdJx1}H) z9=W_&D!6DK*?qw$?HHpDqGdG&-|1YLxN&Pb64DDYpmI!@TwZx!U;MuL$s#EPOdDKt zw6h(q5yv10O$|sE>Z)rfV3i;b{M5%rr*tC~3m&eyTpG2X?5nLkW%oxVxVz1i{VuA@ zTFo*w_&cMh9cQ4#b&wb>uR1?ulc?7RQfZ9H11`92Yr~_Uabu9oEZ3{;I%eSZDtvlJ z951ljZo_Jk?4&D}TXz&s%)ebiZ<_Se)RbDzb`nA1Q(}NQRqa3JE zd^ma95+uvE3TqVY7>(yRDM1UzXCGHL244jci~}@OjZ+o7D)w=`ez64nT^i`;pk%Q9 z4d%xK&-zH=ZeB(01QR(KoCj4lgBN)yp49bEs{A={T~pjXD=^jJ)6abna*!XR5#-wh zsc{yX&VP=;5zc-NWHi;d2F&_I7K1abJY1qnU2RF0T>}lNLyk*Y;)wuS9|?M_BkW$c zSCnvB)n3o{0mH4fVN7Rbs{nf7i7s_+()}R)1GnYSpqmDT2dFn@k?`o zGP-%g4|)VU!@eIu;<4+6#oeq*gykD~LjbBkU~7iY5P1}qa@Gyu zoY`3}9ErV4HJ&xRV!i*hf+Cnz>pq%cI!#E)I?eN+YP#^V7dN1&N*08%4e?WUs;iv0 z^^YFY zPG}hNc$2ssvqB+KnJ?>T&mp}qSGJj39ldV8deQdg!7)9qU~gXbjtkR%&0OpZQs*we zk(72IsROd|Ds>t?;^oZpN^jQJTbMttxrOl1q7mbm!F;^g%1-MgLaVR+44ZYul4F1g^`h@hHlH(heP-}>VG_UmSWH{f z8vrd%*Z`!JJTJmk5V(9tlcF*FS>vZ={)gE!OscPz1fTT%9_#xAKZ5#6D-~sbg zoz*P3sia!p*f2zrXnxfx?EHhUlg5xJ{(2945Jkd4{%hUNuCT4Gl7^W#XIUt_bu_=P z5e0S5g2+)?b6k3$$=vDPH5gGk7&VU3{q*rQ4X~Ph43D$M0e^K;RTd@+z-666n`ac4 z_A;4l7u1ZD=$yI#B?8@>D|XT5hXu9(a`8QEIa_0fC*^ZfKOd)tUj27(6o0WF3u;;5 z%wR7+qr9)>b}XCeRB@)^?-7mZACT2_jr8MI0Za8WpI0GP6;Ph<16d@GWKo`DKBvpe zA4Df>SX945c=v~dSuU>i-V;WIApCkC1qS3y%VI9NiohI`%^hh8g=XBO_JX#>hARW6 zdu9{VdROKXM809Q?;_*7CAmCcz#|bLYb3BZR!Tc%v-=K(L_?I$5WBd--V?;(nHFf} zl8ejRTx=25hD|osex-O?CdB>ng9Mcu+a`gp*yYfw`mO>279r2~U*{L%*oPJAMWz|0 zXeW~<`lHs2x@T16z=NCYYdmtpf+O8tkrCVeLV)sngP@3A* z+MLn7D#QiSqWK6i;J0~Wow-GCwV-*Z=xSg^(upojB-RyMdkRNBz27o(5?j_VGkeoc z$?;QN1VpL=k_|lGZ^9A5!)$13(ERL#68GR#YUVhH zKpHXmiW?(zW&ll+*1Fr$b=yLoD|apJ8xB-Xg+zQ(sCVkvE&1BfvV7cWBQg!a zFwP&MJYRL7q+zBZ9)4s{*sY}Ya<4ZaieWfr%Ar#W>hcbQ8~6%687GSc0oS8wgjek> zxp~sBNy~qWc zw5e0UbCgG$_yaG}qww#8d3=nE$>jh@@&YsicEu`}3s~d3darhuxqfG?(n$@+tHc%P zdsE8`NmJ1V(G?Iox8`mU9VUJCb#iLuF|EB_;|&yHkzB$s-thB}3Arz2D*#-5+git2 zUJ9{xc9bs#4l#xu`#*zh4imPHC^RL}l69-W!Qli+LGP>)mwq~1Ao3e4+Zk{W5$FDF zoF>9J%n9pkADknr+U7m9dQ*lMrYI(w>XUZaJ67DVYHc`%KDy%+6d0g$s&dNy@4M>q zeHWL@g7oBEhFTRypgIJkyy-W3z!P$PBt_jOaWOM1H9kKVq?Va7puq95$JPIues z=GZ$eAww8x4nW?V=qc~9G^e<%ZuK#NTi`&4M#B>QT4rez?73%iiX=+vUUVyb_~-8| zuKlpjozJe*l*)w}wA}ne{Jj?aFBsXy-TK4EZJ57K*0QVp%4fks2FJ57f0}Vf(7)Hs zZzEs-N=7~4DP@fv`2i;#&m`q@Tyke4BN!(g74LUq9s$tf+30nx7U->}5}i*as2g8aHAN1fQuLFcH4yuj#kCJa?xBR~ z=!fsuG!_z61{Q)AHRhB{h1ZKP-OeVqq?+xC2pKd$}RDrr(Lf9bb>Pj601BQ%J3+c>aL7f{c$OS5yKl-W?e^rJ;b z$Vdi8$eW3H!-b>GgAlYC?(wUYvIddH&$^MZe)AQwk`bvNQ`yNUjvV$Pz)SS$jzH`_ z3!3hSA-63Lwwod^JT~oK(OdXqfzFusVOd|sReyCha^3&pO%afNv$5{B4r*T&-=hnZ zgcKAQy6)nxSPhZ|inmF|ZF_DFchd51qb?mv97^*TOX($)R*1Bf9Jrw*9|yVnq=qF= z=1r4MsL@XdQSJ3gqu1yjRh^ih-g$ToYUr!p@`+41ude)NcF}0qZ-4ET@%$%C{V0Fs z)xYMVQ7ar4PfdEuW;_0WJYIQw_pDEyN%+AgpZaXcxHHe6T_+mqG?~vKAyZ)In$O7o z>O)q+64_VZ)mHMj&7Ayif1|7+HK$!jr^2?U>MR>^=sT<(1Gb1d7zN7GHwRDo36}~Z z$Wb@y1fZQGREyNo5tlb&AHJC>qkM<~obfo6nsQN9_k#^j-mV*Xpejc5 zNM%fLSeFBkFTTnk(+zibwE2%D9+;QU$%&98s9QfNKL3IL&wLCo_pHYbhv z_(xTSTT+3|(-oa3R`#xVU@3OWW7V2cT3xbdM^!7rJrD5`Qc*0Ek__srDk)3mZhu~V ze13Rw^G6rwWiFpVRbo270~Ff5%zv2eB3mqn&Fq96C}B0e?uw#Rr93nn&a#7u2CJ=| z6;|6;2bst>nhZEg{&MXjXYB&2lIGLD8&BxC%;KeIV{id;wrj5Yqe%EyX8LS+n54q| zRfXr2&bgX8KngWvzWW6Vs}AEM?<^wLL8#oMVv#hXEJpl}C$(?S5B)aBsZvj1JJO9^ zwc3UqVa5qsq!dKrRP_O-B62it;)%hcPrWgTSwmo*uN%%u$^%80G|Dz*)T-IC7wi;4 z_aq7fcp`^#bNOo1^h#U}Q&tq&tu>g_`s{F5ax?%ATL3@#o2CKnRDdxfN|Z0>)<|iY z&tbb^U>k8TX}BYsfX{S|UG}E$rSaScrkUfw%RAj!km3R3P1Ji8-$s%jqa49EL%ZO} zpb?=_T!t<_k>Jgbd9}eo89(;G2R!$j(8Sc%?3Mi!>u!KFaJ~V1=4dRYXNWe)(pjHXXPADys*;pz#!V9BfeY72uklJXX za}SXRkZ)tD$+Rh8&QLB0W&*_K#mL9cjIKB?D6Ofmk&HB@3wcm|Ow{D$zW?6h8wsa(7D3@_6$@64O`_epY{SqgJ;2JNxZ7I@H9D}xAxyyRQ3 zir`qATAj#j0_pcGKalg_1*$gGLv7PH!S7r{2C|g&1y1xG9bJO@`1X`y@yC>ZUGa#8 zUijWS86H8CybD$$_s~p*{dG7S`oV#gRq`fci?0u^1KytSN27~mn#>QZYq}FKRhh{R z>P_dTjL%Gcf@r^Q=(91w+g9*%y1&~sY>4EN8r2T4OJV;PLx-wO@lyoOw=ci9Zf}Tn z&ZWc35MrYPCbUz-D8C#1M-r*eQfzQJa&Wy{ITy$1Ljvic)|OlAxv;;?@_rF^AT%p% z!vO5=Q?3SHpsm4hU-2%Q$j!GOG2k<@D@txrob?{0daQtv1_5KY11qliW`5h27+xo+ zoWAPxC0`?4=A{-PiTPq!EX02Yu{` ztTWN}wB!|Ht8i%)KbNv#)FoKin$}zo5BZ{Fd7D{nvf6(e)1sWp48!*v>R0u7H@ha$ zN73CdHtdo&zNcc>GBGUTbxw-{9JS0gR!T;LlZXecrD(atuS9{DQ_wL*a~A=`&)CJf z0cmL-WyH3q!~62&U6Lob|7V=4oVBQBcU7n0H4JGZVPDt@F6K))1`Xe@vV3;S6ZrFU zf6z<%i+BW(vYtYFY;`X2N!Hl@D&nHm znsCbu;;N{$Zc^Xw@R<^OfS9k%{Gw|wQ`F+Dk)2K>%U0>U7^WGDj702rN75Y;5_LbJ zs;VzzTL3l&qiA_Z@(xq;S}9xr&;@V71?$XXMo4ro;@A4EU&g{}VWhD3jY9^hDTMxBb>rhXL-1Cs5_d3;>DCvm7la0pF@dTg z)s(y{_H%MEa)&n>n@nB&6^ShnN(L$T0RkV{-S~ipgzj|QDVQICOBY@f!-7u19ST*7 z=`3n@wRa%F!BeQcEh^89k}{^6zV9G7Jry+dO7sKA^woasctLHJS%sNl8_I6cb5mQN ziL2y}OvOMc4)Fj)CF)(>hl#!cN?TtMtP)!X@QKx(4-yAu{Tx_hAo1R*J=kv}y|Oc( zWzW<(74R_B`a7zC@|-0J@lE9g+>eLohWPVh@zjezTxIV75LoH&h7F zWtd_2okB2r`=6AE9F=XvFI@WuRAmT?pHu2OS?z>l9(=LmwNVS6bbZUGZSOQ5Kj$Jq{M*c&{6W{f|wQ+|r0wuy5Qr=LpM3YcNX92JkvH!uK``H4J%hv@l85AUsLS%NHKBj5ckKkE)r zj^Op9%j0S~e_SEs*e8W#vPYKEjHjLd_o-MgLZ<50wdeB@Nd}`X^o{)Slkj>7nG&?Q zQeYDD8Z+t636+<={nW!?wxumDjMKph?Jci|?3yacpS^|#>XtnWB2V?%rP5RE-3bx? zQ3+Fab-qiwmuFnbnfPTP@8692N%*J}WUID&DLs+FXd%Lcn8EI5^j1Ju~AG!6M1TD_PrJ z)&!;}BA&$g4OQ1E9C#{aFSH{i6>| zd-Ggd@gP#-9QFPCMpr3x!9L0tCOsuZQ58u2>5%hov1|hZ!-OD-7W~DL0z&B-;7jh+ zKXAfiL!VuJ9BrbRj}U?>wvc2XH}9RB8*Jgy8g$R{(KB*KV4XF7l~4U)bT|Fk6|%*L z@?(V0`9E0_Y%Bn%+{$$=^s01t#4Gc3z)aO9@|o5lLBTj{Vv3XZ$50(%QP5B>Y^%VvV^^& zYpso{nkL;m#YnGuuD*>-X4kRR&p^~HnWGp=pjY2aFM^r1TFk&m)K17&M^u9rN5bKV z;%E*6=rIRi_0Ji!7BfC^_B+lVo>HQ>2O8Y7{=J{~R7*Z?%7u<(@z9v@l3{WR_PYaW3!N4LPxoFVs zK*>=ana^4KNvhG0R}X-O@g;9i+p{zuYQ0-GRukXuDdd{=*WN)s%@LFR+%lXS*8;;~ zAyXl}mt#ID(-Rd}fh*pxJiAj7eUGI4e^*lZWmYS=dTn93uO8BJK3cy#aVnvGqhJyE z@$D=3AaE|OD&)o?%IN(Ei^DanRCRgVnYL7E8VksllADc93Bjf28#KP@uX*Fc&QACC zC~NTdl3%>eITAQ|=wbv7J$N#v-=SS$jw9jhH#~}@u2qXf%a6OJIJ%$%0OZR!Ux%Zj z-)5)9@5Y&_kmn7D#4)affuxJZf7<>P1NBlm=s4BCtq-u@;*ehLxI;ye-5m>lpt4vf z3g`ce^*L1F%|K=-bc1(htS=TpDxEfu`zQH{5Wau9Kv{vDC)b|vXCLG>#OHVobWD6|U)oMRh%5Ny1Dj-80k8XpwaAFz_Vxb>Qy9aiWEuEi zwRqTcVe9;~0})T80yqUpO(L)N=CJG;Y+y&RJbi@^*fY3FA9kG0dxdfk=ni?iuxXc$ zxHCHDYFi4%y}eckVX9c-y(mOPknl>}9OJ}i1T$KJTX&bWIsB}8rD zyN}YKk#)#bBf+QvWIqikNy%4vJy3bsm6kNj_jUYBg@xRBVHHv-%0CGVr0DkS>3iQ& zF%M2*dbi~6&v_jG%VJeyP7lD%$ME#5UpJhZSb-J~Z)uIP^J<-iM2t@CKNCJzdI{>% z7pL-65d#Ewa0lzpm4ir&hP$!+7LdF4aPM<^!m|Vz$xaWS>yzYxA{hWoP>Hc*ZJp$6 zD1SO1&F}3FkBOF$Z^y>XhiUHK?0<((M4hHP`I+sKKD+hW-9@MB9~dVkBBE{+}gu&>O`s3Nb)e-3CHJkP_AJ#EKJqnwOP?zfg!zmGyT{z18|?wZ~DqgcK~jqFkV8Lz8Pye})%3$mDHSfMlCSFZ0=a|kf%-M z9jgK=K8WudY0Q4I79GNix3f$#fOCU(7c7hfjp2t3$A2{_fjL)EE>&lK6SIGM=2=$k zNZxIW{pW_+;!vAUTDLInwF2_vGEQ!w<_!S;Aw?&PX?COZ+Zq(-T`MfZaXuD=B~ktd zeqD4gj1j805Okr&9_9u563a*XV3dMrC*yU#qalti2g`|V!ivT+nHVgHtNGibo2e}Q zBlpS&qwYyq3Jv~MRi3smeDzrQb0TA{MES*_2z#VZ=46Ll28CYt`}qo~SqT3HCn-I?&A(nV`h zV+*Z7E)rqu+M>o-Y}DtrCP%tqB;5+tOCx?OoJO%0YXO3d-4(|^@>@L7jQdEl@Lo!s ztzNFO?b0y)itfMr@Ko;AEQxcZH0A81LXrsTuca=riM>?l7{KW~&|(j12XBSIRK0FE z(U;7DZqqFKx%-I!^@K?@B)lH}Is=>_bKDnd13)qBH5(d#F$NhE!w(=OVc#U&x&-$C z;OMEhxU|=t6H^-6jQs2wGy3sO+)A!lX?sJJ?G~^v)UMcPeYHCNQe4UId%|KjMV*rR-3 z(DM-MQFQdzba0oEl4_2&(fJhe8)fG_5yC1PT&9p;v z_Xw4MSQa{+Z-*H_J*?>Nmwq3&*MGK@A0Njp(l`7#2%Q)0M6n>m_d03@33=`wIl}Hw z_~2^1nrH6+xwetRNfIr4g`ND$;TJc`i8j}%9)Sh2p=qe1oQl!c8oOahEkeOi=_IlND5042q@&nV}2X(%d_;jtdJsq~@`i_j8Oe4_J5QM) zNX5;cxG%yx2ky{E6L^gL|DwETjm}b9$`VrvqJ3`X*{FC<-b*b`QYpId02%J~UpYVm zq!4lcNW~i(&NdQ(QEaK^%;8eeR}0(_TPI*-i1Ew!>Ud%{=@RYCBQ@fq~h3e-X z;I0rkyNFrp*({sM)tC7VZtmtDGW?nX30q!dd!;?1FHg4_`;@#OU}ob z?Y6=rBk(~|5W&uReNY<%wt-=2OKN{m%0O(|y$y2UR%4Y8h<9g>3t^$atL)WLntd7malTa$^;L0f8J!x62159j)R;6VABiAy4WOuc&39qC)DK0bi?5=YA{K4nON zvy@JTA`oT8O}Ea4ia0O=s~CVeL}K)$LP5F!1y;oOXEHQ-DU$`4oE74+kzmRf71joO zpqkHfATCbtMc*yyDaM95G!o@Bgg#o&TjQVJLwmA?l8`jWRX!)KXweUK5d*DxZ8DJIapYfh8%h3=CcPkzRZw^WDBAq$$|%!c%(DOpnU+_a z5y1ESNj=f}hQ0;m0jACM69k;b9dpIujO9B*=y@(C11`o&s=a`c4mCBV+XpE}*M(~z zr6QxWNf|^3UEbzt`k`iMkm76KK^SUet?^EQBPr?+SggAlxV}**MC=OzQiJ z92BnDyx3}9tAv5e`I1^GD$~H?e4#^l`-kDX2r%=$>d&{Wj-q>JHhm*~;Gz<>1d&9= zgUfOV^f&=mWay5Pvb{Uua*AMW4&tI5aD9RG<*-W9A}b<%BSKxX3y>LfgWb#`X2y(< z`s-|$Z>(%l2_mu+Hnl9dTyiNFkt}wyU>6%{W{Kn#Mfpu_C+!Dw?P?3jG)Jzp_JyaE z9s3N7Yn6PX{~5!|BgB9d?*Ox9zV4<`WyXp-Hmnc-K&iTjSEZoqyvqtHFQK!Y8~R9N z6_l&IR`w&q;afnk9D6edi6qoAukQ{UTIxvg=>nRlY}nTs$QpZX*`Y4aef_h%6qQ0_ z7J(b3DqWSK%V2>5=1A%GUS{$j1ja^@G4T*9b`7!i2HKCr$CJHbR@X zEHVi$5AbJv5hat;M-;am$X4`@C*6dn&e9a8usIku=lymQEzo_0yo&O~ z=zV8@IG@@yb$Qsk&TSOjNQ=#pqaIcP4w8^L&}0AAp-^$#Sart*XFxp6!ET?mM!;#= z1hY|bTA9zC{@WL>}oJj|BcKXlt?)mSX{C4WE(HA>lM3nu1 zXj%n$$3Z%ARmZm~LTaO@G@FSohq-8wN8=$6R5*k zBky1reMHex*>}DS1WVI30p0fpNCUgb4So3cLZp+*AkIo-oz|IGoxG%HUbYSP*1G8| z?^Q29z?%7LaNMS{o=nxZWq=#oBL$48MIf$w#}S~>B5F-_*(F720Tr@83!h@zG9qf= zy2Gtp;Gx%5UkWlyn@02>p&^TR89hK(4svi~~A4wuJ27^%ky-$*EGJmCbpU0wmn z`0*IeYyp-0vjI~RFod?Y906}mX3Y8{I&afYr+O)%Kl5^*FN@L?HP^so7$!i$mTy zJ*RX>35Jtu9O4tkpU{xN{S7r4`5_$ct|ae{-9Sns^o^yl5(PJAde%=nW< ze7iRRBIrgaq=hy$B)z;(elqg2AbZ9wY*XA(Vo$I73z9VO%Jk<18>*5klJ3igbt`LS zqvw#44CI55NCO;BNSnCej?zVz#q0-&tk!LClofxv8`rEkeU+Pq&`kg9>Yd3dhm%GU zbcgRAaZ6k@R0x6GA{e>GS<&J9FR(&HbLnisV$L>NyX^L9DQx(1ulZ}EF{O0VY#2BQ zbOMLH$=D4v76-EZnD$2i-20nrwro(}6qCG>0yE0_@mA@6()8%?+>3|9xl_ARytGWY zqf6NzeENW0tB7q*vu3GE`P?ZLJzkR*XHstlnXK`XO zn1afNP4eZ_##d#H-P|I{>Ef#In-VG9w2#Rp$8kRsFFd8yx`n8GDp#owahT{nX0_N< z+xOr74tCnp_FXA^lB_=XvE^!Z8S&MG;=#LBolN!&2lI#EkPt>P0#uH4mFs#I>|ZYv z_^vbO4;4#mG}`%@@l6zo&XJB>`SNSLNvZ_OlbkMnMI^OwW=JwX|cc5(XJ=A4aRF?ke7YcA)W6UCj2>xWmjVHOr!7EXUvrEr zlov_kz6+77S=Z1SG;L?vhIKlR2+#8JK7O3JK>@b6el~6V8vp0e(z<4kBc1jl%p%|m z5j{*SkNFb;v5k>SwoTlX@q~48EMTzJ}RsFV8k-29S8p?AV&9AnIRB)=WTA&$k4-rA0UHnK8yE)7PtQ5*6W?|sHm<~w&8 ziOpp4_O5dfSwNq7m>Jx?YLI*?7^_F3_yfWjNhy<*OlBwX0X2{rje0Y>1{(Q`bfY$O zi%E86wJrsArA$RkJ6gLoTPFWsL*whu zHc^i{Cqt8bqE<=^!WanFE4AM1dzl~?m9nDdM~4g9j`Y&B8C1SR-#D?1>8M6S8o%b6 z8ty&CCl=sjoJeo7FlKv;);TEgtDqM=LW`23YYA^1WNTHz*9ekv3Uk+k`pG8qE*hDv z)c7YeS#K!aoqHII3XdnA8KT6AuqoG?o9@l_pu|V&8xdx_r~6fD)glrIc%`8rACBA5 zdi1&3n5mHHgtcyN)Jsl==7;c{*hrf2aLSy#3*wn4mvMJDY&tV|aKp2Um-s7}N>=2@ zcU$)7H`Xst3d;kMsx!8-(eJ`kj6;1Bafp!+?FMowtfVtIpQ}j`7={@FyA^~T@Ds_{ zW|Evvr&l>u?Ul3av1O7a(~}BZC~UP7<{&vB1<;Zjl4qtG#bmuY=q1I7h^V7hjm*fK zB3&(?QSdPdiZM|fvSqxwnGa<0fZ>U75U7|1%CC-Pt%j5~%O<)WdWw*3mN1p->(<#E z3^=@7NH7jH0|}A(B&m)=JNd$abX#iM>$rF1WH_kZ=N zDt~@3n0h=B$Zuw$jeVLNN?9p=sJl|B32sWh(c;vTl`!*RNY%RrJTR*e&I%ThXCGCNGk(s!GZ9gd z$18VAu`!$GSv{e$3z=L9a5ea*1z2Xj^HJ>JjrYXt{ugN~)5lM>(Bn}dO7ZK(I2fb_ z9AkpsK7o`fQNgWA_EVyiLN%wFW$ya`d~YnCzG^pgt2I$2(CQBPGpUpb6Nv_Fk((@Q zX~qO+DO5zzHne(~7=z-3{%WtlAcW(AvhJHxQ@pO~JDi(FyDfR~6-om>Cc_F4E%wY2 zZjzw60+*nrf-$nu%TfAs#4@hFOxe#FE@f_3&@>Ji@O}!%vxTox(x0l-mTQcO%~TJymLTL16ANSJ(zVW85yqCl{cQr(H~^dAT$1~J9;nI zL6(wreQWCcnYbl!(7I8NVgjB}!vC#qE%-oo>E!q+vR;+jnSM`?R38grRg6WGL%(8c z($%yao;CT0(nCbKAN(CFm;$|(I~M#DVPtLY9|+pbvlyy(geUuh+6JB5YVe%x*8!m? z60FP0@E;M~@m>O==6P}#%nhV7UDvPr1tyy9lD-qC{%8hvp$4beJeUyjDs0Kpl1<{? zs6$nD^wWJDbH}oYI3gDx;;~yx@8^}w;Hyz)M--pS1hh!ltGG`2b+auR6;FFz`w)&# zs`5ANiDcH_rmgNO3aZ(ghPNzjaY(`wZxrgck48T*Hg&|^Cb&<>tUGNB9vSw}4DKY1 z#%PsBH??i3L&cZ8h#d(ie&y9aUFbxUxhRpuZCa{T!GgVQ>ue=-S~CC`z6-}6a8>JI z+htDd?GKHJ^(%W)-FK1Ve3LS#Bf);xliv_vF#2k{j3LV?v2FjiO;U&h~?1DG^msI`aHp?`Z7zS;VcXesG zRHL>IrlsVndk^}exu@V!Wv1;*6OXG1fdF>!YdcfcV9y7&%y9Iw$GaDK!qX6pa^!b6 zMKnB{+`VEhV!~B;O*7`mic3?PD)yK<;=`DvmUZ_Kj}(5~e1&=JW}rTpc&%z-fIgI} z1;EeT6D``pkxTWn+#vwyNl6%fh9X8)6TKFs(Ec<+x2uv7YEK#`=wIHV`97F7p`wfi zwiXfJ2Daqz8Lx{^MspMnb+N>`PQNP9{x#H`5KlUKRnymUKtO%&S>c}?QlCHvkJcu( zoz&@{YZamPv+W5Dib$Tvk@&c&y~k#V??)c$hi2$dPoXQz?D>=WTyfZtW)98CVy~gG zAhf&o-mYK_u_eT7Ep}s-kGPh-`?+m}4;GxFt1a;d05(!jce}5Xyj6it4HX zx%=Gu4YJI=zf#ru4Gsz^tGuDUOUS&+A_X=g>d|fglXB@}fHQJ59e%HNLQ+YXzD&qY zfQ>-`_C_!6nziNx(~*mJ1Rz}=hD}+6URq-)ths{_{zs0YbKeZ@2}xmV!JZ*HEGKR6>c(*shWQc6cz(L~NICDRwlwM&zGB{i4cnu?zfo=!rvDg!={-M=Q)E6iJZ{-#`u zW}Ih*KtcPO$fJ3msAwtMS1Vy+SMJ{W>kE&bKHfl&`H_qE8KL6HsO2{!W{_H#p_jiZ z8-tneEt5~5E<&UpwL4#G*dl+Zu7r>OD8ymHj5ZfIccux1%{Aj<4!y&7PWAH$Yjq%h zjZ_)%uq6T1Sfms_Wk4ChM+ z^KDIfW?AoxX%KtITR3>G4_kr@ES!}bw}Hz2b)3y}=Yp0&!GvR-(JnVW%UhFefxr?C zMGh1mG5?#@?-3H6%AR!Nn7e3KaZy0tss<^&wpF}x8KM#=!rFm~ph2jwRKK1RXF{wt zHq-h}<(i|7EbcOp?Lp5yRIb0l*cHSaR=|98%c;Eir;Ldd(8Pfz9vH`_u65)Zb~ zF~7bOWDJr;w_AJKc`(Y=Adj}~Z5y%z8%l0mCtD3WlOJNu!SS{ZNWx1?oWj|gviQ;! zjHT|3*xEx#1566uTFs$CJnp7NZgmRC#mVGPEoM!nT0*twYZu<$y{@8L(-Dk(hXu-Q zay;9abPqBa-r0F~FCmOJ?*!t~AM;&$YS0e&(NMLc!{Y~Lw2m;hjml2oq#IcVkor9@)Z1zwycwW%Ijk}i zZ5zse3#o~i{TS$$P=?h*@1-kd%?veSltbPNDE|S39(>rQZ#v zvNO*k5hU9>YN72ead%D#4eK^thp&tNda=gJAlSh4t)0|6>-jm3&k*Iha{U)$smLFU z#TgFir@g~udv15pul3@X!0dssYfIuRh2QT*#Aif$TT|S3(z|><=Zfb=$lZ7TE=CIvqeJV*o=|L%JR>dtYK1J>O#mGbMZe+}Pq0Gde zk+yL$Z-@5YUJf`R;zkV z)y1x{NPW!R{V;{*%;ONdTP33g4jZ|hms>}TV*p)T9^@>U#Y|k#1zg9T4C@ZInw6uu zES;b67;j0MANXKG%wE>NVM4;MK+Cr(%jfN`HHR_)?UWfb686IFtvIxR5cdc91MegQ ziiUpH?t>{jAJ<{|DJQ0)JK z(PxV@2io8$<6i>w%)n`n6p!|q7WYrTtlJ|s>TMI(6Ce@tuUgp6YXoBzk_p&jmqay& zCcmg+9q-xZc{4e%-$=ovFS1)t-}6#^n!r_?m2uEG&nPTw;Uc46DG9J|*{xE;91qy9i+2Q|DEM$N%wb2EgE-Hs4?2*RsgI+^1Y)xLZvS@|c_e<~tS)@_ zxIVm+{9_lniJh9QkGra?2PeeJ)zQpnYX^O6rv`PHT~TRJhnWY&T|2>}E%!kaCdWED zA`c!I*FYU))^e3s)>h-z;0D!$>Z?E?ontI)FrbVu5mkj9e5}l_&dsOB&07b0Q4Qin z9@J&!1_1t7n~lNQjZ3%|-Ut8y&Ofzr_jqm2>8Ta>T=fe#L5SC5B)J5-{BV%EkXBB! zG$A#8&-wyajMjYYfsO5>h^GdcF8kVbfY-S4+fR9%T@*5XkXccYmz=2_t1&N*49&i4 z#>CVuq(R?_NTZt+InZPxa}`G9PxlaKx2mKbJ9+nPKaonR0m<>LnsHyt4-&A@8dJm( z@C>Yeg>*MU5$S!|W`S*D7RLxJ6`Yhg45VmD(Mki`9j03#&J&p~-8bTAEXjc z1;e`N_zK;U_^H(}2e+^OU)w5tznpMxb3vY3#S#7zS!Az;XWAng2-Vm$$A27psUM*qM`^eVP(J2n>(9KN{x^~JowiTjx zKvHla-wX-~-dtWVuw7E(3M(q&&$_>iq7yK z>R`OciiOoalA=YG2)U5s>(cvDXFM~x>!C|sLg|Evi9Is~V^7r@L$OLoM#*%)rCw?V z?h?o?&i__?#{{Ox^6 zu#hdwNRP9|@uN)rNwRc^Z_Ta4JO}d;`30xzbf{1jju5`W&%s;=n@w^xMFd0u;P03T zD1%b@QEGwbuf9YHgjT1)v+f;Ao z-?jaH?)n>?_4yn8eJ1<8m*3}{KYIDoW((hc^z!En^gI0fVEh{n_xl$NADU{4Pm%v< zg8@Gh;EwL{M+9&Jq + + +1.1 On-Chip Components +---------------------- + ++----------------+-----------+----------+-----------+-----------------+ +| On-Chip | Power (W) | Used | Available | Utilization (%) | ++----------------+-----------+----------+-----------+-----------------+ +| Slice Logic | 1.248 | 107 | --- | --- | +| LUT as Logic | 1.231 | 73 | 101400 | 0.07 | +| Register | 0.012 | 21 | 202800 | 0.01 | +| BUFG | 0.005 | 1 | 32 | 3.13 | +| Others | 0.000 | 4 | --- | --- | +| Signals | 1.328 | 114 | --- | --- | +| Block RAM | 0.060 | 0.5 | 325 | 0.15 | +| I/O | 8.393 | 12 | 285 | 4.21 | +| Static Power | 0.142 | | | | +| Total | 11.172 | | | | ++----------------+-----------+----------+-----------+-----------------+ + + +1.2 Power Supply Summary +------------------------ + ++-----------+-------------+-----------+-------------+------------+ +| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | ++-----------+-------------+-----------+-------------+------------+ +| Vccint | 0.950 | 2.849 | 2.775 | 0.074 | +| Vccaux | 1.800 | 0.707 | 0.687 | 0.020 | +| Vcco33 | 3.300 | 0.000 | 0.000 | 0.000 | +| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | +| Vcco18 | 1.800 | 3.975 | 3.974 | 0.001 | +| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | +| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | +| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | +| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | +| Vccbram | 0.950 | 0.007 | 0.005 | 0.002 | +| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | +| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | +| MGTVccaux | 1.800 | 0.000 | 0.000 | 0.000 | +| Vccadc | 1.800 | 0.018 | 0.000 | 0.018 | ++-----------+-------------+-----------+-------------+------------+ + + +1.3 Confidence Level +-------------------- + ++-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ +| User Input Data | Confidence | Details | Action | ++-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ +| Design implementation state | High | Design is routed | | +| Clock nodes activity | Low | User specified less than 75% of clocks | Provide missing clock activity with a constraint file, simulation results or by editing the "By Clock Domain" view | +| I/O nodes activity | Low | More than 75% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | +| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | +| Device models | High | Device models are Production | | +| | | | | +| Overall confidence level | Low | | | ++-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ + + +2. Settings +----------- + +2.1 Environment +--------------- + ++-----------------------+--------------------------+ +| Ambient Temp (C) | 25.0 | +| ThetaJA (C/W) | 2.5 | +| Airflow (LFM) | 250 | +| Heat Sink | medium (Medium Profile) | +| ThetaSA (C/W) | 4.2 | +| Board Selection | medium (10"x10") | +| # of Board Layers | 12to15 (12 to 15 Layers) | +| Board Temperature (C) | 25.0 | ++-----------------------+--------------------------+ + + +2.2 Clock Constraints +--------------------- + ++-------+--------+-----------------+ +| Clock | Domain | Constraint (ns) | ++-------+--------+-----------------+ + + +3. Detailed Reports +------------------- + +3.1 By Hierarchy +---------------- + ++----------+-----------+ +| Name | Power (W) | ++----------+-----------+ +| CPU9bits | 11.030 | +| FetchU | 1.191 | +| PC | 1.191 | +| RF | 1.317 | +| r0 | 0.812 | +| r1 | 0.506 | +| dM | 0.113 | ++----------+-----------+ + + diff --git a/lab2CA.runs/impl_1/CPU9bits_power_summary_routed.pb b/lab2CA.runs/impl_1/CPU9bits_power_summary_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..f938afde86790d09b9285560c09d140de6296573 GIT binary patch literal 722 zcmZ|N&ui2`6bJAbS{4)w{e)re@g>N)5~1`mn9YE31M9a0Y$|uZ|NWC6_+*WS%u<6?-5JbW P@Pr+xJ1KbQCq{n&<&ff_ literal 0 HcmV?d00001 diff --git a/lab2CA.runs/impl_1/CPU9bits_route_status.pb b/lab2CA.runs/impl_1/CPU9bits_route_status.pb new file mode 100644 index 0000000000000000000000000000000000000000..a8cf1799c0a7c67d63ef9070a85ee399521294e5 GIT binary patch literal 43 ycmd;LGcqtV(leRFEE1GoT9T>|T#{H)TC5OUnwy(gRC$1h;eilCgJj79c?JLsNDV9i literal 0 HcmV?d00001 diff --git a/lab2CA.runs/impl_1/CPU9bits_route_status.rpt b/lab2CA.runs/impl_1/CPU9bits_route_status.rpt new file mode 100644 index 0000000..368950c --- /dev/null +++ b/lab2CA.runs/impl_1/CPU9bits_route_status.rpt @@ -0,0 +1,11 @@ +Design Route Status + : # nets : + ------------------------------------------- : ----------- : + # of logical nets.......................... : 128 : + # of nets not needing routing.......... : 12 : + # of internally routed nets........ : 12 : + # of routable nets..................... : 116 : + # of fully routed nets............. : 116 : + # of nets with routing errors.......... : 0 : + ------------------------------------------- : ----------- : + diff --git a/lab2CA.runs/impl_1/CPU9bits_routed.dcp b/lab2CA.runs/impl_1/CPU9bits_routed.dcp new file mode 100644 index 0000000000000000000000000000000000000000..736cead4789eac6b82b69490fec3a3111aa2fd94 GIT binary patch literal 178325 zcmaHSV{|3qvUY6S_CymW6WdNEwrz7_+qP}nwrx9^_}g>uS$D1T=ljvSyPkTg-rmi( zs;lIsK*7*}fPkQYPJDc154rMKLVb!bjww+&)#3w9P-SxsIn)Kg|p zB7vJ(sSfXN(#M#DQ%Ps^`rs{;#25|t#+bjnb>*e}32~B`P1$UM-N1f*Y!;(7N6oG@ zY|0V!x$x?5z8fnga{1I=AYe;0&bs39v!PKFJx9zZJOi4gaK@4wq2J{3$Nc%@&t923 z6@1zBJlv^e_=h#kU)2sj?i^IDUhDJB{3E|<6_D6b&wea*JKvJ68Bf{aPFXl%{GHmx zRMs6B;giC1_3?dbTzUhO|F4skkuK5K`3nfhtq24N4sf!BLvf0){})Vw#t^sGGV#2c$~L+cRpG6ax%8MzAJ*eSb8Rr$RDU z)0R6;HtL7P)6nEl(lC)Q{b0U-+V^GO^DLEJ?7ZY+h znpb37mgMR`q%_Q1madxTqq|Q|mbOBcj!Kp*a*}Vu?875 z|7FzPrfmjtN(Zs?ZtLdO@M_omRM#`>dKJaJ+PnWecX%?rICygSa&P)*)H-2T-E!)X zDyMI5eRK14b$r>IS-#j{$k}F4Js7f`_;@YnEqs^VhR0xMuNrX0?Akwgo0*AN-WaFYniAJsd!V_4!KdiSeCeW zdU9xLoxjTN?BMWrRcN%)c8p!c#K(iIk-%PQ#9lFqwB(AkY#(Jkg8ZCPaei;Bz$s4V zakaqv@#oC-3;ooQ3pqFc%#F>RA3f`*GK--6?-c1%%i%|I=0g;IKHHcP#M11RR1Y9w zz9><|&{Gx=h3%LC~jq}^M5It4Dt}tEKYkW%<@TSVzQ@(Dc zG&U>oU28tibD1Y8#8_F_0w zKYm=ycS0{0b<-mT9|UM6oZ~(Qrm?Rx*fi?Ci?;dWm6m9FLGfu{S3Or~mLbM#%0xRJ z$whNw9+#hJab$Gj%LKpuc-Z$Ic0R#u3&6(MX-#3i`Q=`5^UGcl^3q}0yNmMi!D!VZ z$v2KWtxEIqn_Gc>tmjklnW51$iSUvAv*>n#a)zlL5AVBCXcm_nj-S?m*W&5hgy7&} z>)iG8g{uLxVko32-7ZZDc)2?^59a$-duNOOI4H_$j+I8?cg-~~gX#sJ=C`NYN6*7R zz`>Z-6ajk6SFKu8JsZo_^n%nV{G8^@p_FKo4#%8n8{z`Z+mSGa9Hx^IP&X}z)AcR?j<%|=^yz?!1_(mb;j;<%?RzcNv{66=d==a>(+JAAz};9)o}B5bd! z$k=g~dN8>E>Pz47a`Sdh(er8(2Y2#dopuEFR@ z!-itz{oO;Qinocj0CbK?n1v2+ON8ia=VhlaC|dJyHBwmhH8K93z=nlKB;pj`rL%!i z&zo<>j$cd0&EP>a+@_u5D9Z`XnVloeaLjiIk57ml_TI6x{E)$LF_SW(f{7Nim{9ad zCgs5dUewH4D3dPa%XQ@%U%8VL&6P!V7WyihD%7`}DkPAqkD%^79ncB+$RA(GGx~&T zjA*?lFWGDkQ( z8P+#N4Obgh?=lT4(qiz(aiAGjenT$o*kqH@m-|QM?|!G#=!PU_dAOorTTvdvL}H3O z_klF3JV$0Nvrz;W2I20*UBXeL${DOWhv`_UKV}PcN`mx^s9Y}0^m0X_o^WZLDlXGzrD<247z5P7dVnM zGBLL-cuPJ&Ey{j9R=lRtr5%5l-MscdH07Ew{LzqKz=F5b=emlCugsAV%R1bnw6&ru z{pl$WYjL|>i9zu`@Trgtx!PwJ%l(lUR0YmTg=irFKbxj;y1XK|Jl({&xnqk62^!0D zCP^S>Z!K;gRb+P5vt7F)3lY_h!fK7oLdY6)w>f!|cf!;k{xCU0b*$OOR zxZved6ePhrItKYG>@8b5eeqBFEwqJz%-6?+nScx!cvCJ#5YBSH84I3_*n+UPoTv<7 z@W%iR`G7B95xPfy)<-HH?zhF*k1fk}8^lZY$DcG8{5Ti#FdI{Pc8J9?7FHvUQJiB@ z^h=X}``LIkbG)thJhskOZrF;MP{l`T^J|5N)en+8F3J^)Cqsa-*bJ{jHW$6|?7-zxn6E zhLI*){(2<=coBqCV08}`jEWK~UO34b#fLd5X+($gS1VS!u2tc5f4xtxLjphwy z#P>$h=XxXRpPPfk$>BsE?CyWF@&+rAYvZj7=k@4Dj^^FYh0%ioLn&Fqi50^2*BkY@ zUC1~4E0|Ayc7>l=hv&ZzDHyFhC}K9R1C3nVg+d}NHYmR-Mrt4q6ctJg%t8_jHXDkC z!vEhuXd$bUuyEj6YarBu{TOV?B^na+fD^Y+;F()6)WRJca`6E+iS#ftpJ(nCeY{Pq z4Q6=u>|ySK#nq3>nPstAnT@%Iaz>C2bJa(%kL(2t_`$rbPDXX&;^KK#Xw; zK;ah9>Aiu~A;FomPx7(Oe#~PUDu4Czb?}ak?J_P>)l^mQAh9BMKASX3F}?C$OGr5X z7z;w9{~Tt9OHHik1{3bvpQ?Hi^8}{-gu%QpcUmXhkAa_vwStAY6r57qbJ1j8UZ3_z z&l_POp3*yz6K0l&=$oBvP3q8AskX9e?anJY_IUW+b^*IAf9lN3cIo?Ro);c|T$)L) zN=xF{rCYICN*)jxWd~Q-jTgUdpmJRmFVH{l3_6z8U?`xN_M9qRD->I6V@c$E6zhcF z+<7rZtp7R0?*M5^D^8(6|^Pe$)9h(@DBGpIBUt z$(*PSvzDlg#X;I61|WZ#5{+RJpS8y(C8+R);#HwgWmeJCN^olNk;M_L5RR|v2W66M zl!`bec38>Cc3kbtgN19Etp>f?==M^GP0O|&9!~2fECBN-6#VgvNs0vN_LGUuY_uO6 z8wjN+5elOa3rfgUH@F)l%nN$p=U*kKL=;cYM379!Lr?v4SUVaRNBSU|lF8j@?!eV) z?w}kB8$V!xXOet47$+r5K*q|6PsPefqXsdiKZimpHjM)qZ%;L0f%tbqLBCBb9){~r zhr`4;sp+mz8CghMnP`|@0S7g(kJoS z_9?FJ5!FgjWF=A*QsS}xCW`Wp_q^75t`Y<#>LO7tFXJzeC5fRboj1|K% z%$12XPID3Rh6om^HTM1e^NVN|=18oO&pwQzZ_Z(BN=y zlx^$|Jvh5WjN`8FQrCp~na&KZ?G6F2*eJYm<8mLpBi&x6vEg=dRIby}%$Nj}aN~hw zN7fVH?(dqnl$tDA-7aq80FWX8g7goW`iEcxkW>J|Zw5ey{vlWZB!c+Xsnzo#%2{AS z8H_UxzcXuwV&V1f*34i$ta6;0ZzxH)^FaYHpB70GHGnYVamhnLg?`8 z*6~=4r_#bk=16e4eToF#U_SgBi#)W%MZ;8En_|1tMRCf4&(}hPrvSczWXp6uoGroB zL9j%*Mef6E+QaEm!t*7mFCwq>7%6*mEmKkQ>j37OVXrRydWSc7mmoIyC)kTQOC)h? zO1?f^AHFucAyBh9Yoy?nYNNa|?f|Z6-uAU7Re3rqVuV3cLjp%xvWo`-8t;ug6OU}hgpW4pfteq}Ah6MD@BP0(&c1kz<}Du#9Z0RjQQ%qa=} zR(2OPKd1xBgbn;5P?;bmtCdrtuGX54jF50QTr4NXh}fDPmy;6&Hb0Ml-v}b4LvG|) zXb<+?F}^EaRd7&EK1pNYUbxSC`_@dXKv@tH#)Q%l7b#XokuhU02AMf!kNV9bD!Q9i8d|oP^Z>=`%r-0F?b9|JKEI-`bc9l9@`XU1=jBB+3qYk63 z%vHJ+MFpbQUk{tdgEsAn;UHr>`X_9ZE*nM4XyRY8oGqMnxC}-4{P(V%ZBt=e9@OaI z@jZ@H*0Pt!Qe{-JANXcAjs`8dk|O?xH)M_(s3HD}k}&l+isT+*i7kZEXc&`Oey$^o z&YG$>WQGc^nvvvRrJ8+gax;RY*Fw=&ojBoU=KiK5(y)H5ww(2>y;3jEK|rDJnWR6! zzH2wyADwBL8@1@F0I68cZ`Q@e zEg0D5*W<2WI5G);#U*9eEHjtDoG3q;$%hI>hCOOMFF?_BrO;} zm&nohG7!U-0|p3DR=b0-rC*8-CoFJmF?qEW{OvrQDKXG|<4{r+uul6CfS$hG`tN|W zWCj6=FA)50hHyJeZa@Mu*Esw?FESZg>M-1mM{pXHC$wh^JC7*_2dm{;v0|6F%qb#P zxD|_+#h@Dz^%mD*x6PwXR#!>I*4bV3GK)|M1T`F4Gt^V991${i!}(LYSk*; zi9j(&cjx>bwTV8(K;zp^dX0?Hn~(h;wW1ygWJoRO*IAdv=0nqeyZE1i+NML!I}^&h z{3Mh)wjlGwBhp?GZI3Y__4sT=8qG8%hy4<&OETU1h_syYL9SP8M@h!)uO~?y8#f4L)8hy1dKIi(&}6bFc2=^co(9 zh>e0zA2iuW&DwHmsm}vDR4{5S>)25o(7|Yj6kw7l%>n@nD zM`@PtulEPbT^{s-q9J?^`eLgu$Dr+Jym~CrT~s2<8TYoB7a2#N>zAWB(Wa=T3|}J` zM;{LsujXw!Uv;gJu1AD;gj%U1u|Ds-!02$OHP^A}8u79oXPZ7>m5}R&U%yh22J{!7nWtD%Ibm_RZx2$-h(YYzT zh{AfjRRhzJz4qAqa>@K!6Q?c^pi52Q=B6`>vdr61@i@Ig|8~u21TP3eCBW0D3*c|US)=o0k#U&5e)djBR%%pDz7xZ+SGuP5Q|aNa|`aY8x?p$}1v@2jQgUki_MZ z#AZ@UrB~xG2Jp3n@C*NZ#IjZ%aeL3ieV4;Z$)TffK(^w7#hiEf%z2ljSGk7JQW{Zx zUS?5^+>%MZ;c=Hvx#2N)g_kuqB=6n!#{LnAzC(J9sGBrI@ZMJuVMsA6dMv6}Te#bs~xG1mj=cS^B`yE&*ws&vU` zpWSNqqu81qhna)yriK|pnZNXfOJUHR+vfE5hLyIJgoJkYrs?da>*Ph%*+JIXLD$&nXUd%!mpB=piVC;^A9{t@4%=k__Ic?20jU9@@t($ z{?I$&iu~JKfdk2nmD^yzJD&ay5s2(qX{yk{WCF!8(+524H5*hUG2;IO=2u~eTjv6f z*5!W%a|XTa00dHJ(FCzC4~>EKa{+JbTmi4Ukd-Ud^0tZHP&Eh{sat%8lGw065+Se^ zUKCQ0i{Z*Cc6-E*i`%?c(;#bw&L4{<$_0J_N+r}!2K1-N+aA}{upoqmrieguayC-v zMP?`z8pj`GL+w!w2BhG~Afk&SLp7|qq^EXX+Kbz&ydN9CRajH^ordhNLOY0|4g29j z`aER)5{5p&cMb5+SKPSs{>a}R2*8b(LXDbbs#+2updXZlVbxRqPxPxIolm4WF>W@v zr8dal$AtB>VMS0Ia%@DrzY`DGty`_9;7_)qB0PA=c}^dUsPv!ZnguKP(L59)Mx)8B zc{%jE;zK28#+6-M8ZqRN6%9i4;7IkKRkXsXlMHzMb>kr6awOw|?cC7V7fp#0RE4Z+ z!7xsJv~fC88iD^JT$hq68g~(53c`s0iFeB>84W5o!idCheRo*D$}z0*j1H#!?MX~N z(4>MIAtvP2@dC-`hhCR!(xZa7?Fgk+s<471)%aeuGF5{@uYxP1gda3}`jeW{#6OWu z1IUdJ@mMLWu59CAGkBq)ND=$yqey=!*9G|kvKItd9yAn`e8~B+!9l|0P4h_c;$jpz z6pjS&u@GaI;?&CiY{JyEga~*0MJ;}e;>E#=l`_9JwENdvm1?A7(`e_(X#<_x1B#HFze#!=Wlfb@ljVvnFztfnMwDpuDq6y%1CVEmDSj%5nMTz=-i#egXo zfwkb~H%-$swVde-KM_Lbi*xv8hew)Kp%&B5WLYscrGzk-)<U6 z!>o39ohIc`k@XN!Cb?@_s`ohO0FSGDJ7a3)HTsJ!Yg3}iU}(Y0!H*(`8##gGUIrp* zKVTCF6?zskgR+I2gRv-*MjeLhxnf9y_6}gaSQPCX^X|gfck$!7W6(;<6T;~7ATin>r#NjV0)>RdJj%ubIgXMBfW-EIffLz6 z!0>lNp!snFQvp3G$pf8>eCf#9K z)7&%rWX7za`+0i3q%Z8--CP9awrFN|NylQPbY`ZayQaNT!rZ-y(Zt>iz}zMVY6Vv$ z)&-P@do(RAgi`iv+Ued^l*0Ebz>a{Rb{qkBaE@URN&nOtzKnmg4HrCIX^AHFGX)0+$G4?=zEJ7}_-<-c2oCj|OMD_`@#McdB7PzG?M67?k!Knuo zazJ&+Jpy1uRA@y=0lb~yK5zCZcEqnbgbT`v$4L5Z45n)IG1P+5+=sM|roxo^f{8C) zHTa4Bu6E#TS31ouUz=eVNT{;BLsJ72k%%tbg3R1J9Z!*DJL{+DfHM5;ld&HLvAL15 zxF*DX?q~^~o9upFei0$7Sz|UTr^g@*d=vq)_FRFOa4H@TgcCUdh6dcy-`RO|PI+Og zbiBvBa0n7FziKxyv!;UJKN#8$(xJ2DV(O`koW1hp0rs|uhqYbRHy;nb+h=#Ney<0y!G zw22n9rqmI5i~3%~kWsfqkj~-^WRT9l+F@dr7(%R84NfA_JB-=_2kd0G2?s4e+IPK| z*Nz%dllL+4WL!O3rZcauOxD9L>p*w z2R9khhV=WLY6JqY%PQDQ_qYj^AspaR;R-RNAz)-v;dZ&?vBfV^;S%2rn10SchSqgU zuVSPj(qxt}H8G4AFzVaeA;1bj_<<43qZ-;|%3dPtGs#pN_}zK!U-q{CVijh;mgN#O zZG)GFs27!nkcXuK#ipkKRfnel#fGH-{f9f?rotVv#qtZj@D)DH-ytFw|6-8B@*oIh zBy}T^4RL72K^1@*=;wM%sgNrIfvm>QG|V9^R6mY&R-I){kewAQDI2 zSjOTJb^Di>h^RizEK={uu;vQ=D(*SGU*OzEoB(`cW|a%qt^EGzH0#R3lQV%VP!z_j zcA;19nZ+cKqenoTW?xFi?OdAp!NTFjaSTu>dHhy)qANS(tz-W}o2u}} zN|n1?{*UfV3}*Px{`;gRY&ggf#=sJ@zk7Nc!R#tcpCd6ptMi;d2;G`xHd?|V`%iF! zpv|94ix^|saHkWPkWzBTR=2`NV_$sP&_LNt6rDaMg$Ooqp3!?vE_+~KX0DY^bF^E1 zU2xBHz<6)Y*8dV*z2ac+NJ0&fO1H z&Mqn+4_o)48a1s)K!g!>j^1OyGaIpA;@Slul5_tf3hLhdNZ#T$^|_9i10!zeARVP# zXwF3PU?SzG^j3FTXNZt}u0M6s!N|Iv$Y1bOcY0%pnBHw$fS%eenw_*ipT;wsbamSF zvX%S1=o^{3$?i()knSs+V9EP?bEd;FDk)h)d^o1Qdk<0dKC)k_88mFvs%ECm|Xj5uj14YUN*pt2j@2DUK-d z3!75ni}l+!^ZT2_<21nIl)WfKm=Gh!NPT|l-Zv&6au!eAa#g_!@A8KI3?1IF^NV)a zOKhfR;{txkcPsm?hTRK|&WV`rl=%>6w!f8ms!M<}P4)pXt8i>puMvm~bRP4v6tghv z`QQbAWhCjH=GE@aP1APo5EI2M-7go>%hE=;*CL2TYz~G$wrb` zF=xg2Sxe6eQ^zVwj#Qy)hzttrtT(ti4Avd5(jYjhzZEzQQ+L9IH6loqL0*aMkcxEi zy7cQhpkofPxt{UZohAliQR=m;CQ2DBWp&LnzmiaSaMW0{>PG|5K=OTqN&(kY{ny3Qu_Y+ap z8fEax;D<4k@x2PCLc&oK9-^M?iHTZ=8>1FCAboqOQ{Wc==4A^9J=+-(wI||fGc2G` z(QXYJ>}$u()Fauw6N(K12PgW5gyG+YM)UK61k6#a0CN=AT%g4t{J^6o0>GpBi=Y@9 z^P~iyV5(R8MWwT_5zPFiu~({Hw{^05f872GcFch+5=UVSLXXKtGfDbeh$115%3%@g z)0$9H!uYe!sFO;b!V?sNkG^8lg`$>ZWm1FTOTymydDZU`PdB?baLK{IArceFmojn> zQm@NSkH)Q|qxHcd+Uv9=Bv>fsIuH+n!c+!_aA0pv`TykSG1sNKBoCw~l*EWc89Y1b zk&DdZW_02?Jj=Zxjsej|e9{73YaxKkZ}?GKE>fW4kI)%4Ln3Hb=!*I9)nb80W=k9^#Mp7>ED`W}jc~g6 zgIYjdHlvJ^0GnqLkURr}t}{y~C80gQibF0mu1}aBLjY0YJ&&Qpj>9W7UQd`eM}(z7 z8nsG9uH&LpN==m2SL^!Y&qU%>Y%Cf#j|~n>j?@cK_EFJ+dPzdM9fl>`1!6|#iVx#3 zGCiUqC(Sddl{nRkW*g9j7dlvn@2@_u@lGhHJnei4qMWb^a;;nYQbz(FxJ8tvbt1AS75$sc^hJ>}gjaMtC zEJDN>ieiV6a_&TFt*U_v*qWX*hW18r%l|Df3(x5#on!l5mQ#3=m!!R|;T{_@uUkPl zarcMXhtN4uY2D^#4S&sxMgT0DL5Y(2M8@=EnecYh?+*}_*lnGvx!U`6gKiG{h_!>P zy1&)M2V$aHY{a&;*4Ykk>K?B zKEE*)(jU1zJ(0**i-c7&lzm--pL=QNo`ZTP<*PhG{aN6KZNy-GDX@S{!v+K3f++~3 zePKfWtYyu7wu$e)a{M)D13w|ansmZp2w02u0qRX9Mxb~CI#;FugE)lC)le*!BS(Q{ z;V?4oT~u#}GogH|0~G=xkp2e8hG*8v=(EQIHL=}@P+;_&TL&9<=T7a$EYPoSYExVy zT>_SaBLi)F1sB#r#rDC!vQ&er9|2hl12GqkEa%pxOZC)Boy3CbdsH9S zYevPGw2`qcFL!9tb5Un>w01cM;4u34R?HQzvnoq18jSC>sS=AqEy-DnZl`7Ef~D5o z^tzp8S5s!pnkdIXhs~u@vBIhMNX?%nf1z50gP`Wj43WyId&C1Z^92L35%ouGgJbNZ zltYoOmLT+Z{v+7=5jS>mY?HQ#yd@$M<=yS)61dgp25Il-Vu+&^xc7#ACjy1~Lw1sn zL#UY=;8K8ZT3-+|;&qeL7e3aaqg9mT(vaM%5u*$y`1F=X6hrq**pZ3j?2}(_N=6lj zWg~_c){zU$Bh?t3sJgPqIC;yHMbSaW2fJl)wU88={|Sx4`zI0qY6%BO;({C~Wbk*A zt~N&!3t(|q_WxWJ-ELYW6+@F$9gD}ZY{IIsxS`X_pbF3a69?V>B-6rM)6U&F6i$`T zk`aEnMuNAXkMf&HQSGC~uZ`zqfK+XzgG@bH`2&LzJ|>&tnOzN{nN<_QMh^VVZ4o}; zw@fMGckEKtbDMzdBFBN$_(cVteo+9@0O2*OnQx!l^h+_fjewdFWG`SFB??qq8cro? zu3VRMjAH>2-4#S?g*05{Moi{3kAJ4>V_bVNjinj)v3@TO|;=3gkD07sF|s$ zJ2Ee1#cH+`Mp%f$GA|Q$;_s~F*JUof$=T+d6&F2v(m*bGkL=246J%0YarbH!7VkBP zf4MgigYmmw8L1sD7f*>y>B^j{1muRGn&tNsqq5~Zg6im~dD0Q#>6@C1&|oTbY#7i8 zouV=O;MMpun!PErNT$7M>J|lQt9^y?8oYf6>maF~IpG#H^xR4IH8fv2@!R1}sdC=;?*>r>igaOfTr5h`%B zCixh^0|qFQfnB?#BJvJFrDQzwaMF5gxeeKpFb*opD|#H87YIWLwpXkF_>us?-BSU4 z4%?F=PiPcwrWU^N?H&e~14O3-@2213Inf`)7P9$ZIm+-D?qcC&w|xw`dP5`O@3@h~ zQ3Lr+CMlQ5$X!xIp*Z7$R%3wUHwCZ5xF7YCO3128OqJ!9EuvwxB!co8@D)qK)5n{*?vKJ1PrHV7~XKmeX$^FD2~#Eqs#ng|#&h zd1>Ig6_s9M2j+Yz+ifb)u5_7s%s^V|>ILG)ud-I?A5Y-6mmL0Ehu;P$xHUnwx^}cl zez9x-RsCLCe|x+0;Qa2?@X@GF@uj`q;pott;_=0aiuFA23BP$AoBTb{k_{j8ywFEB zbVI0WzkPJlFs4~xy0XXl`|kb$0~?eZs@?*-R4xgB?3#pB;ikDO_`H~v+4GW0$i*g1IFou3EoS5qH#HPi`CpGsgu9 z1Dhp;nRqk4f8CuNxOvd$1ZOZmJ$c@NZQ zrWqUxQgfyeWKAG3eH#cp?Xhs~ zx5KxrShjZgBwVp>e&Sqv!@G6|zv~QW*X(7l*o|0r?!c#8+tjQbS4P%_9ajoBgdK}c zv9a4Ub6S$k3p*}|W+;h3q+3<5xs^13v#)72+{rFgnAf+N9Awe_nl*Bq8vdnHpF4J( z9_4D@a7S;=wp}ze+|jt^mcoX6GyjkEckv&q!=>S_ddsTQk{$O(d9I2g!;)>gj6_X_ zZRLNl_5Y^)N2CX^i~*WTfIn8;n|hN2?PaUZ3BX|LfWiKM%4)S3HC8t-2RMdFAk3c> z5)&?#<5of7C0Fd$%;SfAL~P zBQ`(xj~0GrQ8JnP=7dPE>;9lmcuvuoDEv&C1VDV~x^FC)d{zEku_^gEcqcAwoXOB< zv#~%i4+&CCJ2EwH48J$a4=$33n`Tfu3l%Eeq zSk~l{suskeF5f2-PCfL6hVRbA_%j+3%|?krwqn=%cwF)qvtrl4GqP4g*XhS&wRu)aHO|Q@MMOwt0Z41UQOPu}`*~5%a~fJ& zqlNqx6MB}7%0E#Nts8CU_xG8|!8NqMH42I(libXTvS-mTF^Q>X&-+(!=u|=nt?9XT z&CTucLqIC&-KzQ&RYbiIl_4uzVT6EHG255*%1}tULCZmttGjpoRWw&JDg@PKPlqAU zz*X^N(PYg9#!*bIs1!Jc{Dt=tmy2obhar%Il<))rs;n`9>aRS1wxDp->nzVV}Fo=m&syyS6fM8!@vJI`?Fa#7hGYL0~ z!eHH>(&Mc1wga~U_g$DM;@Z37hu$MhA-x0mQ-8}J!M(%Na#Xd*!~wb-Kf%~|YutCs zLjk0*DbN&dIRB^_JA&41eJCrh^oQOd7=V={zAW-Us)lXGNfBWBFH+Sqch=GMGV#Qt za5kldp>Sf|)cSk;NaaRQ4ek=lh!;IdYwP1{R>lo|JRIa?sjX~}%Q!}@&L$Uxis*#7 zqq{@9RzG6NR2r-znC)K|OE)w6aCnYwilvg_qsNEuo%26$;yi55GSYNxNChuW(4k;l z&N2_D)iH2nJ)=e69qlttvDbZ4gz)*D2k2sCNH9t(EH#W*Z8^7~&f4~QqlFxn{X8>V z)YA>B)o6vc95e_D;zDTirXqRx`LjN%X!S@zm+A^sGl}-pPTA-|Hlczq>I$bpRF43` zCsgqJpN`0Z8ZH|LoE464e)F}enp!A^yJe<{!De^YKzyn=8Vpu%9Q0H!<__ph@h8v* zx8Ii$DPk@IIFuOcS2B02`!j3G(lXERm~+GbgB7^DSDjx5?@wDj||N#XuO zS2ROaJd{x%26yJuxsbr5!xH?IX!TKw8*A0y)Aqzz2us}uy2sqRA@%vC;^n745~bg2 zG8kyK4eUT`lRb!snI@(VVGu*$E@~yu;GL*KXJ#O_=iklWH2PNOI06@ME?8hQjIBuH z@52=)DxK=4pbIOY!!2=hm=Zv-pTnMlv}h;H7I@bn^a6ty_36yY)6!Kl6N}Zkzt$9D z*OAY81YG}s5?T9Bg#OaJ-N>`)&?;pH647Sd%dzELTTIfE{Jtp8CV;| zc3(*TaA_tN)9JS1rM{G@BK+ACrXe5WrGeLKDF#dS zmH9I#fI&SX;9LR&(#3aP{3Fbr7gDz#19GW^7U43xDDE#Rt`|bL5gmfXQQ44x2T&9L zz8t|F=im4yj#BV449M&DMUXH)fsvD4spb9L6$u`GgEe2H!_MR?gU_K?x zka`QdRO6WxI;E%vA3;i&BD%;)HxEEz)k^Mm;h^gFG~QQ} zbY%@zbC`W&`e~N2xml|5U`RRTs7VoC;^tZaF!jf4?3TvUIC~adswV{HGz0i(od1jh z_<;ClWN%i4i>ET-Lwk_>WV}Bs>B>=_{Fyo^a4|8Mmt7c0PLC}7-SU# zYKUt4m_pO#2o&INh()^`TUknYJNDae+?oVwhDAAT>BV|W`_u}rOU(vNq%;s znhS{*42EXMz^3gcA4~l^@0?l+Wt?hvkl44cnHCwwKxe90Ia@iOvrif3ocx13$sB`F zqGQviu34Q#!zQ4AEhRK->C~%u{ZXO z!HWS%lK)6^|NI~TNWcG)4*roc0i+WEiTs7O&=#99gJJuE>gL5oU4>y&b`n~J%Gc~0Cfr*wHTfZu(3f$7<`LnT^ zgtd>uM*|->2L~?fnHWmUhlMdK7S3+0?k2W}_B>vYUc{Iq<*&#x!jfG^Kl10>6k`mf^d&e!9orL7XVhz;k9C96Bl>SL{ys)&x| zp%D?w#@06TtdHe4{nx#NeV;8Zt&h4^_oAiuHxK)s54(;s;v(CQHEnAeDQguiYWmGw z9xm>*ZgzvN%L|Xqj-JTp7S-ELMdO+}y z@Nh%9qFYU`a#b*qapOA;O{>~cRdBR4auhPLvD~q7L>RI3>F{~-k}yYh@tn|ur(?5d zvHs>&RxYd}^YK~!?YSSLmBrYPQtE_96zklV&Jw1biUl$w+yt_V`JQ3wbAn*Fc?Z4IiYP=7(Lc;mcea*Qk6E%D5m3J!METC(8ZC4DgRdCq!!hJZbFw!lN9g#j z&!%gSE#%Vgc@(73!l*hoWsFr^MWS7eI3l(@0o>F~Jz8HWK0rC4GS&KOE@kVDG-JKm zb^F;&PNm!z_s2w?ji~*XxR9v4m1~*pGhV#EC8SVDnpxa9>1F5Mla~YdT(zrAj7zG! z4>la7MGKMR>PzX|(^8&X2bqezkGPO3gv~~xyU7S8`(C>(Zt~JSZB8NI0;Bl; z!FP=GGG8vQF>8ydOCXs3i^jX)J$Mo5HT37R%=uD<%vS_IM1?&2bb0UrLK>qY(Z)}& zbvt!*A=_5Z$3ZL&e=Gd@sJzelzFCLFclg!x=)x9SYjM%-OU zubck#oe`i-WDCbA?cg|kL~QhZ$XfFZEaHntbJvy)YxtN9&~xm`r|3!g3s|Hhq+a~m z6>^^J74iK66$OG`udT;lhX}Oro5)Y;pu?KBr>MJI^?diUW%p0kqj0nZLX_92o5JJX zh^ptJBaXZGeyc6w*0@+G@cy^}}dfw(f9%rG%nO*4Ga zCLmgBlP)`8WxQ&4!qT2~amA^xL0-?_N0DB$*{d|I#_L`bBU^kHGtc^d;Q4%cp2PPv z-wkJS^P--?km%sK5A9L9o~HgfBRcv1QUNt0NFQ* z`U~ygd3)FQ@A`UIO$IB)!JGK7JI=f_d=`BaT@CQfqy{EBxw$|g<2c5f9!Fz$O)8Dc zeVlTrov89(|KbYcn7yRMv z>4+gli7Hr|n_cQmny#JOeyfA9>7{BVuvtm-v|UDxVQJRF(WLf*jqQk~m#5YoVO}Dm zqLOli?9u|mct?S^RiNLwXwBypO6`*_A~=-}8+wIC<<)w3XN%fu&tHpp#8!D-{dSGT z@mOM#Q4XW~u}H^*P#y54@%82WEh&g7+1^R0UyHjlvJc$1EL-mL2Z_v&Pkurv4$9bP zbv7@$hM##WFa1B<#rI4N?=bG1e(W91jSVoHJ}{yYkRs_zCwh`f9iJfhOo(4USTm_NPw1+Q*6 z)H3f^bw@m_tt~)75o$SZR<+jBO|>-Zx{euC2%NYOxiN+XJh1Y!uGf%|oPvrc_>PNkQ%DH(RXOthzZ-1*vVj$PU)#U52N zj@a;Gf5(2@Oe`DUN-eueT@%b6ouHrCU~{wooKqFi|FopkB(E@QT}#fuC`Cwg2VCO zonJ0_8fAyK2jkXA-m~PX{anutty$Fvw+~!U#?7Nf87zN*IZ;g}pYjmH%|vVJ!;N!W z(o8ckeU0bjK$9rZHASf0B#jmxjZsQs+I)ICk-up5J{DgXyDWzxe~AfB>f}WrCNxVe zq)5eLv{d1xMoU6)G$1$XIMYkbSX;d1lglel9ZEDy=hQGfnP*OrCR0*q_D|!ZcPHWQ zrHQTU0s{Z~Z%xobK)jlWSw$?3t9Rq!&KT86b!+|zo+RQ=DpOLY5?xICli>eQvP6SM zst@_<41P2mHb)9WW6_u_h#ngK9rm8K43l{oXc_8WWr{QrXP?!egE?^)vEUd}ftA77 zrlCcPMG!#_+6{&lRamHd;nE(CnyUi_aFDSj03)4|sqmK$Ld#YpiZXzaChOlzIh30F z%YY_aI$NvA$v+K_Qt`{=$K{`TOju3~G4?uYG=3&KEZ6wrIV> zAD0BrDSsI{-7zMNDMMy}v!vMrI(1(ct=WPtQ81o6i9BSGP{y`ktV1v;Rb{2ot-8LS z)X6i4#v~7hPmC5gRtN}46#=wMQdSD*b0?N^-m+ihV^_uf1?~3NlU46PX@^J6?i2nC zOZ9(Rl}h_#!xFJ2XT`HZu}#bQSH5>)G3HzPieb^Ok>vDd1?l1CBV{UDgFGLcwaAfK z!!*o7i4N`2L+E|u0t)ql^N@Bd8zF^AVeoLRvhy5rJopr6MkrK|nGQ6upQ@|%lfms% z(c2=8T8ODG3;DP}W3HI7PwMs}sbZ@R^C9F;~O zIhlX%nZUGfo@E+i*mVaN#(#mWh63_2cujC5E1`h~sxUGOhm$9ySzBl8O$wcV5qlnH^|CCm5J=nwqiK~PY~#@J*w3Oh zX(HwA5_YVMRP!McX-Uj~eob1^^Wq1hN`1|bPxiXQ9lHNxhvK|Bz9l~YtMj1Ajnrns zq?J{>Osk)jp{2Gi){(?Cf+&!!h-`kxa=OoOHg0?vy0+ zuf!wqZ6oqH0|EhtK5zRXmK8i*>QJOezz>%`s_T&+Yki&_CZudOV}I!%JIWzG5fQ4q z>X1)(%be^-R_<7L6B6iMRFMb*Hu_H%8{h|)u5B*qs#KmktRb@YII!*D0#?JmY>Wo` z2lXQM*(5&fP#D+T-p{hzp6O}SlS|f|M@$VQ2Jx30w&W#DN zJ=jQPSeL!-AFICZ({URMCld84Jz>aEKxY|FM0fj6^Wkk-6R$!~n}Kp9MrYXV+#x+K z%tw(WCIZg~#_FyXjr&M1Pxcd%XCS^*;R4ASrPijD|DK3pQ0G%`rQp{r9)mX&mHq1 z4Rt>pAg|d~;yNbD9xF^|G*cIWmST4EF=w(@)<=mA2>Nto)lctS$TRU(?dbO`;0R zG=Pur%sRgY8p#YAfN$To{rh~^xIV#uK|nQpF`giTmxgqSr#0ai?okHU#onhTya(HsXBbY42mMzY-X1IRFVpyR(koZ8FY|zP zZ;#bS*ZtH)_cKRN-di_L=FW4jM8QTxuyT5#bq)XgFZ<&6vdr6BKqa|JY&qDMqnFpl z&c#Zi`&~=SR@a92Ys{W87mtK~19Vtx%$DD}%?Y+^o4{l5&sCT1)Y}u$E|+iH;F3en zqxlyJ9p!S|`#j@Mt$`V?^9TJ7Z49{!Fz5`1e8(uaE|P7&Gbn~t98$d~fe~PQz8SjI zWQzk9%>9TGk%=X!mfv0T)|cTAk`$<$p5+tX(7bX`#Hrm1=8fA* zgg<34-n3&L42n=U5j+af7h#$pV2th?cZm&}P! zm(E3{%2GRJ=wK9YP+RH{#0bSZ(Y%B?+61N+ z*xHHTpgTlpx{iudDBlxb%G#{N2;`Y~&cGZc^j8$Pv7JXWEynHAfjdw`#*Wfu29b9@)`P4Y;uNr$zo}8P5{d&-P`q zR5hTb95P*y;ClwUD?^j7MMW$Mx8B+8{PB$ZeOSgaNDF?Sw4sL?!eeT68!lkHizCHU zW;KU{>nHJDAu@`Sv!Omd!S1oGJz7t*K=y2t*Vg7s0{Q~I&`X6DZ`stp+x-3L(+Oqp zr03x>Vl5WHx0)D*0)*QBw<6h#;OG3_A2&D3=oj%Zz=*p3Vwr(^zNB4Gq6PyB#nXt> z$8rqnm%P><+7XN-SJe}Z)OR@Z|}Hx zl`?5c`=lQ~Dq3ouw*Ow2MsJvouZq03Uz`VqVX z=3NNuOy}axr^U54i?UX+7p>0c;?AYj@KlS&FQ$dHmWZ-euq(M3gqliO8CvFuvX-$s zxO{5X7c+JJvzi)DIyp~T?_8_go6$Be3RYCpF}MBaViqp#3eWx?ulb^QUTbe-PzU+x1*5G%N(S7NzV?#r9 zg{q}vi{w@JKCUb6Q4ck_;*H`=Xn{4c{2+(ik4*;Vx&f;U0 zgrA`u?r!g!L6-&0v003AZ&>=yKyZ59U1a1wq+YwgnF>54>~j}5+hGq=sXY^Et2+~| zH&@MHjLk2||B34N5fd~FK|4UCd;e=A$j#VvBXeywzZoU?>c|2DhIik_^RFU1XIuGW z)#{X>CJQ+X6v=yS;r+O?0z~73hHern!R^cE;6v z<%KAwrQQp#&r@gd6sKNr$mGN4rfy$&%)bOnx2(u&8fM(~=2J(W89$Rsuh_YZfd!sN zND7*BsL!M~&_g?5sheWPF+?idUq_E9mlo23)~z&X3|ePLs#;e)XdJgmK)7) zz&MmG8rE+fxMsOl5?);W7s;wS8s^Sk#aXLtR``OPY zaBBDx$H&15QOWCV|3JT?@TczT$6XB9Wx7HlwqfC0BWYc- zHJ$qpHi%9+SA4#!jvEyWOvQdyJmkTSX?|138X-9F`eA4B);`(qNwi3JNUv>0U3>C0 z9~Q@UHm$X2uRw0U=sSX@U5Mq(Q(mQ*wlXg;UkTiiWvlCrJz!GjqK7kEV~>%T*%@%K zA*ACUKK4SPrSjc6a0s|sBo?@tVU^2H27J3$jbZ1H3bao#gW?;LXHPz#xnfF5{we9f zSPJ#9FrU`It7WCkV5=1bt>rcNe9XOka@lL~JiizJmuQPvW%iI4sOtO*bGKyvIGUO% zSggsuJqH|15m9D28_j5Bz;3A=b{yZFqs=hI2Uqi3Jz1`l&duit5S{*R8Y0}4*bFAh zBcd?BG!rEvC+UPDEXht2zJwd0J?Jc!O#=;K-YuTzIXp2yiJP@b%ay%0Qk2)+Vf|gD zIo>6kWvZwo^)Yv1G=<{@Azs7fmR~9S>U>7yZsYKCe|sp9cRW<8Yp7T^EEAz^Hgs7M z^TPB8eWPB7QYhHL?FLCgiQDjCaOj+3lYlOX0=sR`(_0JX0Ba+&W0(BrJ=eGA-}{3; zG1hw*P};G@#d70zy3?9>myvWdA$fz}OK<+nY<~L}be{jm)ybMoJ<_D^yAMm$6t=qR zE6WOSqwx{)^6awR4AU5G$pWRZ`7k}0QsifT{U)IYp+WpU|yeX z-geLxb5-L}LcZTkbt{+fbKVOe8>tq%D1DU;^V{ToKb4mj8 za0YqlXURtW1}(nTP3+>N<{H0*gzB1NGA|(4PMR=D_t?-ncV0BI(B|oA;bO|F#iV@Y z*de<-wSglj?-+~7OXxeFn8T4Vf9#|R+OFg(>G#LVr(b^MO&wf)tj_%QX8aOCHYXms zt%>#qsJE==^q%J0!9&)^^;779<}Bygojrd&C~i^0bA(`#%YI$VC?{qzJHDh5u$RX zNC@7=`zIdxTkeXAcYoo|Mv^z`kZPHiRSXsGa^mrhp+6oelWAscX z5luWKZe~&7%V`umJ3~u_xe_sJQ9nhl4WZ{Gk=8QyGQPl|v9KRRVh(?C6QFjRwW@sw z!9}FJvJLkS{K7=4wT10Uh<1v`fWc-2JHO9CYG62S&bWz~4@PQ^a3!-EC0lhL&-S-0 z)x`l!BC=MQaHx(22J=f)`L)xOd>tL$n)>cO{1nFL1)f^Le_)C@g9Z&6+KF&t^(BaKTx#*~>oKo8eB#{6C6 zmF%?G=boK%DX)~LjtQBjN5YzW!p?2I}JL!l>?@x;Iu!a&K$cDltYEj%~9)W!EUx1Y%UhB4%18tiASRm2QNp;w2 zr=;DVDb+nKB$@?q-R3>V$86s(U!MMK6^&G+J$XRUn>2Tw*f8|Hl8)Nrb)`+G2o+SZ zhD%RthHR9GS{oU_JS-|^m!zC&BowWF=R4KZ!q8A!4IHnTWU8yL%j>P1szgCAwURTe z%i{>J`7xfedCj5Ij*3i2K<-RAIQxy(WuwwTV`dW}+u&nH_|=mow-r9Jb+L#ou$QHR zeXF3ZoQ@|tejc?uyovAB(rP5Xk}*JPIz<9Y>o4vi?xv(#Zk+!vk{ENil#Uff{I>@9 z|7wyeWe5yaVAUuQ!Re~!Uv(vwRS9h|55i0cU-s?8n>pe4Ikq0;uKXQJHK0zC-t%%} z%maGm@XQq#q(>0nK67>V$81346~jb>%^E>l4!$$<+IBj~fetUfv!|o);r>)F>1m;} zrgMoi>t_*)TDGNLb{bu_t3Y3aZ)#KQlG!i2gO`m*n=`MD1$G~r#**9c7zEEl1D|TM zh{swf&Q$)SCYxo}cwB`LFBZLJj+4}#5zyNZ?nkIbo2v)e7B0;1UDwz53Z+U`BQ;A3 zDb2SFzIbi3Tb0y({OcANY~GLmI+oO8dC3G6!oHf^7s28m+Kwnlx%PSS|4AsmK9XXl=>Cl6#Xe~Mn~~L6J4$PY53f#G#~D$VLP~9k zjnK`%>Kx%GTUcO2j5uT9115_Kry345(sC zy^3(`QqNrb?*6W_%{}JrIKhE2zL%{F1A+HXawn7&w7KuHvzmw{VkI7k2@Rdc(I!UVpqA$? zO5N!HaV`~QdHT5`#owSiTXzgDT2{jpuYRvxS%RBbdXC)3N4xim7v88>RBnQt)IEh{ zuoZ?gdP%s`RBF#Umw2UQYv1Ik^fskBOd1Kyk?|U+_ z_ggGQ^5o@1Oyl>DSQp>b_ODCEDuj(vtB&eV=>NGEQ4L@0C&GpRBOFEkpKt8ljZI9? zJK%F{hm?q(zphqheg2y0X(VCeuBiQO(5(Bae@}n6e*b#fd~&XAJ7KW9acx-rOD{8+ zWMV`tL<|)22h&()={pC9kR}$wAf}T}K^mz@O&v#)Wsw=IXJ$<`7_KNNFH>-Fb8*Qg z>-as(sMpmsrRLMxT3%k>R`!dD}?OefPvn*W0oXrwU26EHO_LSB=gr%j2e& zDqGK^mH;dM={Ma|)F~{H-(j#<^_pNC%hxRHlt9a`#h@K$%?iG+_0gU=JxvX-)9}Q^ znV%Z`>T*OshUm23VCn3yT<8Wyjg1j>ajT;QwW44c`Hbp(PHz;J;#YGZNjbjgVDo1R zvSLCZ<9!+%{MfX#*zId?g_sF{9~4kaBAeL2BsiL=Ck8q4vAH3s9ln*<+gOYJ#&S_Y zp`;rKo6e6V7?%gwTv%5pQ;K%n3Tem}$6NCKm>77!o#Zo-0#oiELvf%7TEwVF{aq!aSs%vDH7tiOt)v8Rhh*gu|_35)?@J={m;oAizQ+d2gf{PdK|W zjn?LzU_w=G>FJ3?)PyI$tZ1M)3n!qW(iTiT9ltyron?MT-|Ot{3|7d$5qm(N3s08T z{B8B|+}zC!)uKoX*2MI^!rsM|#)sc)6dtF_Me)ZXvo*dDN|i?<^$w^wG#!u-J9;9yyt(>7{oqOA}) zQ<5Pf7w{`O%n z9H@c}8Hdx=$wcOhwUZwtRzBMVZKNg*YyHuqa$|y(GD3M7tFx|*zYqJf^au&$T@MNgeS(E+4hmi5*t49 z=U};Xqr`}q`bO@vUm&43n9|_5kjV2{g7P>tP5-$Y+4wlQZla`(QYW^QW~6Mg_jwzZ zs_eoI-WwyyF(^sn?aq`&U%1GMaLC=iE3i6Q-9RO>^L>d@GLE38tBg{mBnVT)SLsL{H3&KBBTqMhH zfvf?Cvjex?XQnBevFBrM(u9a=ZRH?aUoJbDo<|)k%@JWouAa$ZFhJTy{vAvaExRNu zF^KlNF{i9IP+ujQmh8TaEx!r|;V?U;x9mpWkX)YnFeE_bcfO}K(oopdVDQ1hbRi_!-&VFqd`RJ%a4R>?l>&*o=9?`FqiH&fB;K5O4B0dgS1{0 zR6Jx{+)^Zo0e2?Ce9en0+X_IUq`s~Mlk-p^Gv73fOj(TnE?$7_s3#Ae+>ri=D+jZuE1!-NJgU-@F%&nyoB4*Q>h%MW{+Ng+}(VB~x^e2{tO4 zFG3hP1X{`!1Gi6|g)=I8xEPbEQ0U$?6@GkapoWdC7|i!AT>@1Y1=C2p8%Mr8oS8QX zj2$Xnuq0F;oRaD03NZeNg3{wJA4NP)%?SfD{%U@pZ{%}?6-H2Tt*`!DfHL4TDH7d$JdWjVp1h`JsJuNrC7d-uriI)v!mZExv=|0jXgsZGlK)aPTm zpgoFO7+u?xz+=C%p1OwDdGHXC-+83MMpxbI`%%{o;*|I63AX-)YV~-Qk1I4|SMzOf z@p#$IKyjA$R^^ba5?u!-)n&JcSI3%NNm=&C1^$*Rzs{zkXuUNL4=0ykbG<@FEd4{Z z#_Pk?=fGA+Pr>n3TA|kXTCH`uH7i;ntsC@>gL4H&`>d)K+`gJVJ+h#e?fHSnI~ql=yibOQ zAndk(b~gN=ut~3NR!_4P1+$~D@GQL_Kdm2mjEZ^+d29*&b`ZwHD5yQ0#c8V@8h>I# z6y6-CA*f8Qf$gE&FLtMEiM>fX7C!z3IV_$M_dQz9!cN4yrKKI6aVzR;6Zq8${nddB zZLpB%_u(>>?fzIlRvo(8Ks|x0oufH9l{NFyQ9w`tQ8{h;k6osSxTne@BKr6HaLNK9_2* z+n1v(1h(y3{9u?U7z<>39iNLaW{f2SvVbK-vKz;!{%{H2D#Ymia71l*&l`D{eLYf(R5Pa-z)Gk^k>;lsA0colIKdp6~vYzxgOZ&aC z-$Ar0L08_zDnYLh^V`TY?CwEjFkuYyU|$al+eM1Vn}1b<(sirw=5z79uPT2bhhXQx za2CvO!~8}@(+$i-`CuY}?3(q_jAvAh<&O0r~X1!H$N`iZ<*^8cFeu2lkK zr%>Lr2C6Jdg#CGDtpG2IQ3!c6;a3o6sipt12=cX_9`Yu7Sr5GhyZaERWez1Uf)=7j z^2jXc=5lEPct48g^)-G&ieX#&f4y2>PbwmJGE~A`dAC?H)mqx)m-eiMsv>U+;Vb9B zn|K`EsAIljRqrx%IlQ>&CIj?d8wH?SHxUxFHo3GwE-4q4JySz4+5S}_+sPt7;@~QO zg;8JxREt!^x#!DQ{CPIb_Bjp{h@Iy+> zPC-#x;z~+UTB?b>guKwr(9W0e(~Iu&jp6-i!@%Uo?CF}JY%)T=V0FC+vy`RjOss5- zkmrx*Isbo{e5Mq?YqER+QJWUkuh@-z%+jGtvARg8=M-WaGXBM2(Zql$AiJe+-4PHLP2 zl9(1uDGkcTwR=^Pf5R*PUL==g*GOiP{zw2ypB7Wd{_>ORC4WHfdRQi~Jd`BOSbebv zDvNTGWoWj3166eaSKN4s^F9%#*921>#o=1H?q&qBaQw%g$<6J20IJn!3vCk!v|4JK zxYpW&x$l;o*e1K5&fz5S{MdXwS$M$xL`+}}xs@o;4q7JYt~d9b+UYuy3rT10L-u-u zHO0u9LEV2VF_IwO{HVQ-d6;t_dbP;$;cGYgVB5TbR|~H{V_myeu-sOH`%6x=&^=W1 z7`xY{u-&Dw+oiD6mEG$n82u#xBBybS#Yt%(0`b4FPmbg`#8-H_RzE!5uh?}7uL@FG&bmGzaGF97x_~3mR4>Z zt2s^n`YHpKa%_=3P;|0LuLOrcjUoGiPKdxs#VtC_k3*1D%*{ z5n3MVGH!*t^PV3e`1GNJ#N_hwB_AP}XY!pp`H;SbLlDt-3_kw3Q^14DPWKZ>7{q)L zH~zgV1=?zUf+KdAybuW6X?z-eZ}oWb&q+`An-;Ot*`zwI91}FoZK4+xnqEutTNbg? z`{WV^vAyq}L$@A*o3-y$Kb*&I-{4wJUKB`;Kd3O!D+*`016^1t^~0lN)YXyqXupFZ zxy1RH#UGue^;gR6>ZGXWJ}^}2s4+S4RvJ0TAy}zu`}MwJEu~!vk8Fm2Z3cgBMt}8s zqDKZdkWlL5hQX`f7(je?OYwIhpw!<9fmbgzfLJt5@n689)c**ES9bsv)l>X`f1}hV z48A0<$hbyb{HZRgPG~@3zzy`P`Rox?zupE^*k7Y2u|30D;k>^weR`o*D%ZF;$*xOU zp<5GH2-LXLY<4g9AV6xtS|Pj#{f1KgwuqeK9}GdMZ{iQHj-U^5=quZ891EYa!3NXD7fy5+l zy=I~@+?}DkQIB_Tm*$%x&*~Qoz%&5x-Ych+*z=qlg$hK6lgLFZ=Q1H1hW7S{9(1dO z!l$e=fzRPm>O=HF$!etdOCw-1(HlTelFD|E2f?RUGJ!iGQtGqxK#dcn_@6*vG70NL z6hX;$ll#Lny`_MO#=kRvaeCO15N<9hf|=|`QO0vNr+azBV>yc)=VILLp%%YR7Af6&N(NC6^0lwMz$ zUtb(vU*KO~=I-wpXQsN41TVo#qTBddHv4t1{V!))Al#00m-=;dha8f>Ic_EjeRsb@ zK)URJ2&ob4Cn`ziPO(sX<@H9e&;Go=8@nj)fS*O$yB zN{t-K13em8kkqZLJXyKPJ@rB$Ys&dHjE6LHE*ASk{BYI5{&;KUb zldk5gP`!ssowRox29@mYR9+->Y4*YVl!n5&=!EIg5@$FnXShau3a80ra&_^WnvJv! zrAcnXfuI?pp4R6bN5kYYk5MrW@4&Lfpgim2^sV0Ze0FVV^g;H~{M7QiWRhQx>H(Qt zBtZ9bF6sIDR_|Zw#DU(viqqNREu%wOPh+Jq@q#|@Sl4)ISAS`jwQ}2-U$AmlZz<&# z*;vn zKyuU6!G)6t>=;P9s2!5w%D;v1$Cr&f`vIZ72dwrc$x|;$vfJWyOZiXv@WVe_x-l2v%%%cwLuf09fyrw0lVyvK-nt#*9$A38Y# zjil;drh7A=?D8Kj@*l|ZA9ACgO>j)nA1)A|9N-}Zb53L*|W<>p{!=%1+tfi(>0J7XBIZS6+G!%wO!_U&tTtP4{;SpjcA* z+0`>sehPwLkQQ1qW5rQt-jK$gL%Ng{FB4!n+KCFRyePJe`$suL()D5i-Gk~8goauK znsYz}op=~Pl^80M?(8ReAWDi$A)K(=*=*ad?g}s9j*YZKJ3R(0NhcTF4aiztX<+O| z2E~nG*@K&I?4j5xMC@Hum8uc|pB-RD-kTvwmfh)4M7$-_WWjNLW}`*mzG_*6lT(hqHGgI5yS&z-Mo zy_SP@^W=dqf^~ljhJFO=4i1JU0=MW0?8B?p1G1-Kd^d=WBw9QkGKl_Mz*#(I?8Qsa z1hVUzfXd(Ct;V@39C$<7iS}y-wc)KQU<{%Kcm_G@9I7!^)c1x52?njLtdIbYR(huNicDQkx1$3{jZV;^wIDa8o1K5|Su0AP78bA%)T>0kH> zuh+lP&bTIOV?wzWYkO*AVvUUmi%lww$k5N8;RbkKsa@dQ?v*BO&|?KGqme!NoNeh&Z} zB;BU|;iMz&s1x1{fnhJ+eH7t8^$mO<;m9`i%tpGZNn9HozL|8}=|2)MN8~*ICaRWA zA_r*l?f+8Drh}-yH=mj%U7bs)348pJ$L~*{$4_u^qIYAi@I@Q@c#l0p^bViTUruoG z0w?K3bZ9;W%e{I>67y(1YCiQ{+{+W|^u!^u(S4r`Z zLzYdk_T=tKl4C zD;I{}^ZXoR!_5``CR0abdZmGghIm7iZ}*^@kZba66Sad_|QVqb{5 zG4@iIV(G0hf!vAq)!2sZ+0#i?ROLSuURu7q*FXRJ+`;ga)H5TtuH1r9;mlHVh?Pf= z$t?B`^4vjwWzT!s&zjU**N{?Yf~GCa$&uab+$<{r7M^H72#RW?=e*X9J8|;>_8A?1 zs`$KvEwqlRV?=i~G2_M~fE$429^RSz03(V$^BT}pYv<1S7HM^kUuEpm$9{spNtxN3 zD6hb+&N=1j?{-H5*0y8E`Ox$Gyq49xL+A`s6MOpAmoTGq6I!r$d!6wpgL_HN={$q? zD5JUH%=MMm^I31Z6%@U~_5Js5tA+h);_Nm<)x?JM(xL5960iyx{e8ng}GQb>WwUwi?HphdCc8x0V=UlLNwhQ`6$e-j*-k>ul!6Ap}@~@6a^57CAoW5x} zrk@kbz4WU%pT8D3#}S#rS}0!*JV3yfVxMLT}J?)l^+7S0|Rg;LVRFm|Yc>em9;<6>-Wp|r#=Cx52lL$`o$*m^xUP&zS{#1;+r5fH?+x9+ZILa`3D9t}dkrYyBC=0x+$9Eb zYT({%_=UOGKp8vkCc%X7Gf7m0idnUJQ$QrY4@D+i<6-ea@@v54OIa@j&vMpVWUW(Nl zl&tVd#cb+G?xOJuk6l>J>HAc8ycR^^iQ4)0(9Z5ZxTRqSc1AENI1qKaYba@p|eAI%Q? z?pg=A!X?E;=A$#VoYO&Tt4)O2@{H(QMjPVIYU`US_E5g&vr@H(;OUIKSFzbjEKi^B zyb5C7V`6-v>t2E_3TpD>{>W@Sqto6$H}s6W&2OtL9g?=Go13jBo^AK0motsBULJs= zJyVM-!;6GRhGpKCey?1?2PgjbDL0w+(2u|-ovy$Qj3zDsIrb4`6IN5ClSsnQNm!1x zKSqz=Gh*CjTY~s)Ktt~Z^U5md5g9|@1zeN&Z-tWnS8}5UJLybRr##c<(QTXrK2PG1 zd|tAUeCDc%)P#V(&~LNO1V6dV`#rW3(_HR!@YrRO34f!*CgRPF**m4i((7{RARf@Y znO3eue}9Wtr9{Xb4SeQTfV&cUY{nDow%k6+!VE+E3f98uOJ1gTuGZ9T4n}NZazxZS zh1u$**KQ83Hf*Z#`_>vv(H2a#LjMlDUETp^_8HA`|5)aJC+7{N^3byxeY3=LMZW^Q z8CHI|Ci!N{Ie&SG+#s@|*rs1x8;kGhN_A1-jc{Y+h;Q>+M<8|QmheOZIH;`UU5;-5 z(=@o5uz}b1Cu2l_rS@|_-%;yaj&Y&z+~{|wM7PySIcPt9vF~fSJR<|3dI_|-CNS*v zk>yHPOH?cE(P?kb{jAfdCnX3GFptH47Q5ac7kTbCiS;2P)h;qh>aJ)j=bFXeG@3HS z^56hIcYY^12egJ@?yzJEz?g1)OgP+npK#s&fxnqb#@Nkfr0DmnNI>(ok4L{ZX6)ed zUok|zJ$2;RxJ!?`E38H~yMvfYzO6Erd{TD6X1`Lt`_<-I0FngMw{hvcraAgEgWP4d zZI5I^&%p#KpTysu3CJo?UppZyvKTLDLS+DERL}4&(w;01b@vW0F-ynl7O^_pR1B}F zv%19>bGD&UUdhK$Cu{EI3$GJbrhpe84Zw?yW8cF@_+I|i**=wDv~T-fe#gMd%wFbX zjPE7j{#tM=vr2CQwL(5g8N}8X(_NC`lsGKHx35OfcLo1S4V&El@z;2&+_soC$&g?m zBl&EkCFvZK3i|?5l6;5efTxXCUzv3PAhH0V7GK>4X^n4jx=ims8`e?}D!AE)5=> z+?w41NBsi=A<`eBP?r@P`XXSVjVtGx92N`a#UCQk*a(4&yXYF+#OOm9g|1x?T@~m0 z^EIA8BV~A41aM{%gg6&Tq_oO%DVNKiNQcU=`v&@=gJom}ncY3e+61Xy<@A8q4_nsCGGP>{x{qh z@Lb<*dPMuzW7_at;~2#}ukJ8gUT~eU zs2*$AV}u00Et!3cPma$Ad|k5d3Eu-wy7t{7o^EEquHqyC02_Z|Spc2w9+UD*bDv~& zAo2JF0k9=Gi0OzM?#`J5&Z!=$H$wr+AnPzWA=}bN8nmwiE5huff{P#BlH9fKHBS_G zlJBdnFI)75(Yem6rfcr2>XG|0v<0n$S(5%(6Lipu>_457qyC>E ztnm~#`Fa};z#)bGW~m zqvS9?(jmNu8^cW~GnA1>CfKn}AE}ZP%|RGHQ#5t$k*(>G9m0EcXMw`CK{fSue}id* zO}0J1r!07N;6EoyVBZ=KDc^M4ll{hhc)v1+?!@B9skB@g8?8#Fphe)}nM9_b;IMDO ziSMzxo9wvW-&0&1xUCGpU3;FplzEogTso$T5aeHbVN(Pw9I(J-oBq5$fv0$Oem{j1 z_~^dzMg6=BQZT~yM$jdHDT5Q>&$xF4#I$RRcmv|lPy``F1;+yrkggxRZ2ZDWv zm>|9$hd4J-c7H&?eQu9y7$k`u3*zMWI6Vv>LkB-OP}uMeF#=3Eo$qB5yghq!Jl^1f zWSQa0oY{UW#kK-{pcB!&lKh^@?E5#(7`I%$4EVbbEz{$#%&@Fo(!4!-7GuGh7t6W6V7doVK?Ic`2!* zQJKQ4O1e=Heg{&N-*ya|NZsGDG(uw!f)RuK}k3dPOU ztU{gS60e;g?FYaDwcj1U4s=I?c;yAm;+ga6m7?I{h$Vpj05Xy8SacFJ!AMtzkq7Pn zZut6-0w<|jvSRJ1Yx~r?YtG+HFQrQ~uCYtoo;h~7QHsJ!;{MeUBGsXDGUXwbglf8^= z4v?p$0J&w6xl_31p4m)W{>SZvWn>?=sA%MPgf`4GX}Qp@9_sq9WH?!_@@HXpdfFg_ z5VOu0Tx9M*lYP_WuuwC0XAXza$Pih7#v{7O{-51s)b*m#qZBBQ=2%3h7J^x zouL*MORf$MyriT;1BW49Jv;UG2W|Q6u3-)-w3b7bVO8;3qwphL!J(EH+j4VV%M=W! z78`8Bnu`}Cpe#^9!&k*}ha`-YTQ;5SvyPuwE)7in=uzFAerH<0V)f8fA`^L9$6UU~ zzv*s%R3mh0>;h2}nY{8(XmMCK#*RlpSQv2}A!-7r^02cn%ELoR9)EN-ttDT3&~K5qd^`{MX9^Ss{+La#4Qquiy6*a=huF?KpzU@-w0&zw; z(mrfOY1fFHO%D`ygZ2>3S$oJl{_QG9%FQZ=hfL>NWqN>&q-)RB?iL)5Rt4?ex;wt` z-V3DPH*~+<(Ljs8Vv6{B*7WY+D!eS^eXsJvLm%Rb(Nn_TO{|M`N%jW><@eVUzJwR2 zWt}Qp{`G82N-ib7zo&9OeeT{fHv)^e=K1am-Qo?|zNY{^s5@uoFCDXj7jL0!%Ud2= zD_pGqs9|?-H@R7dFpwMf{`_Ll6=>+7wtCFVI}TpI}RXFb^qj;G$km0&X+P5{}u7SurXQCm742q?(axgA(t-au)Tm_}aNj z8u`kL82M_eLLth;8u(^KVQsm=7Iz$aCgc4~{2EaWw5X)m!X9!-sk6*jQXDkDN5NoVp{vyb{)@XHhbB2{g!3EZ)`228uj1T#dtGxM0o*%v?Hpe zF{YI!zBy3-6Vr|Dfgc5B^3?r3Tkg>E(5RnE(Qm)R`}57yz=1t0@Av?JRPo8+XReRE z0hs~AwU-3zF)OUCuhM!YniNLMc?lFNiA^{~=#ThK7Y9bUh^XKnq~+q9bt!yfSI`?s8E`?uO@`n^_> zdVj0{J7a9j_|xbeGSJ9<#R`l8zNRujSRzud=ZYZiuFz1ByBANM^5Sm=9ZCZrgMmn* z2oq84K*=43rS5Wq`Zc;j<38&L^0B$CIGP4g^%iKsJxf(0Jw$3_N5zkR0*%~iVC#s( z)F3?=Vqdn=Tq=rz{3iPR92IrFYYC4!@7O!`2{L^w?KxTYlSESLZ&_U74MS$KP2lk8c zPqadfCRWyW#GW6znNG(=fi=Xt)qESaZg7lQ2_G9x7M@o`?+QfB9*+io**$q<2nZ7U z$_fxasvTG!UEPeRf_~#XegA98cjqHFc_?xPzV;ET{#cZ0wNnNm9K2nwr4G4R!Ybr% zmlo$65#$Ck)ICo{(Dc2W3N3Zl9MCgRbd#(*S%jvAv$r`_j_SSzI^1&i8J0PDF1Pg_ zZ~C`T>H57UfpX4(d}Y!p8+DQW`pjOG)lo%pMJ|+H%l-2(BDJA)C6-7H`E-io{M4Hk zHL+8gH_aqr4+~WNgyhtJIL#&N%sol*6UG{1PcnZy%*q73byb5#Hq?akC&Y)|l97Qj zn&0+WnWAs|#I}nf--8bg;G#hDclXdLPsuglfBRAOTr1Q}$^8ee+QTe@o7{Td3jH7*gPg#qKA8VZH!hP6E%D7OX=nDZ`Q9)!+CQIWjA zay)s9bctw*wQX>o9q3^Dw`2giyv~qu+du&C5+)L+D_jbC%1R1)tM6$wFsKN63seL> z)fuK!6Fw6}$Vf6hq`g+AaL@myZj3XyT8RwzApr;1TlX`vev;cK3L!)3dp>^TD?TgeV+z~{gicS9npr;n)J1Lp zQV@C5FIK9Ibm4v9>M4Ho^Cgh__$9E{=kB!@zE8o~jnqcEGSZdwzsSQ98-#IjCILg)CM}oPSvUluyYP=uodBSB z=PF85Y77ssnxOb702!6*2R;JWoGCZhK=(MHzqTR%0qQC0zA^qm_$lhbobpQBXZ*Le zCl;kC;#ClN|I>2w7Bl)Wu; z1%$oprv>~RK%wr^ftUBHJsBw0xh=d7s5(Qsx~~BmT-uV)o%WK?uv1|VIPjJ`T1H03 z3s>Pf3(0ktVDnmV%O%*sZbystJ4n&U%6I|wOlxDxctQTRVv^7&A>{91iZ)G=yE2T% zGN*1m(YJyr9geTggHx0D#=?PMukcMS_e%ebo@;58&|~9t@IxB>7DI+U(D0$3q~W%=ZMW+_!7cxPQ%6NtM6V#vXE-$+e>kShr<{WxV49qZttLJ1CXOxZvz*v{H zmpS1LO_ulU`bSo|#+s}*%})jogr$G>&;l|U-v8Qn$rT>`8tLPj!_b0U<5YlYa8xAR zS@mmy`Ffw`Jw=sfIMv<*zcBy$n&|4Xps>!;xgPkA#mX+23$e63$KPNKr9Gv)(CFu@dO9!C*&tGQOQxmN4BB}Rf;9bYOvt1DKUO6`_1!AACA z&*RkdS=O}@gJz``o+2YqkQhb$kG*EJYI?Lau6ajda5I{fK|~=dB%c*h%o=*!An`Ud zBWcwYuUcSqkxa`zuAO(Nj(4b>cc_(jsF+u-Qh7`}Bej}~S1DzfCpXivMR8<2Y&8IO zqZmZOzqPIxkRGGK!!bUsAHs#whZb#z}Dm6i9) zQ!0zq6PkAOln!Ek&eG4dDwVRi!d%pdXb=W~+mCP`yw5Y*k2Dr!cDIk4tWRiMF7H;k z52Q4<&ku%Ky$)dwwhs@6fA`>2Il1lon<8s33Al=G@=YNs^)`;pUH2+pp8UG;AIB7K z7Z#zshW*I)ehM+G_v_f4!Tu7C0|F)6`}aQ1zdMYKHagMp8sn2aT)g{XeZM`l zjE^o8N>E@^NApcvEr+y*jTTQQ4O>uchADjriFMj;kfTP%qIG^pF>(QcVt1EMQp<$` zyeq6Z;8X6V0kG(6Rs6#O(4>@Bc4Rw0riTl~$*%DHfDcj(JZUfzFb&-dV(n*t*+?AS zCLzViXT$gd0exUSU$8}W9G&Dp{l=@22F9HkKSW4za+5`8m}$j}XS;kj!o}B)Nqw29 z(|4RN(WS}vMgc3nXLJt*chVpz0-P1WG!(2)hu|5SX{94!(`qaX@{sgNZY>f9sfSsr zX+^7^hJgmYD{|JVkH^fD%R~=RX;n&q$wTqtp)XaH<)K+LzbkHA!FT~%rY!x&!1a$r z?t;K=nT1?%^tPy6R^$4g!fgruqvH5i!kNs|;4l3y%mwj-nxjwsU5?6IC;0^U>&;LK z#6O?F8F`^5SICMNoP@z$&zG~R?|qloi=eTxj84`AsW*VsOKatcgJ`UvqLZN+)e)fd z%3EuwLc>C+Eb!m+kPxsVOBOoLX|9zrQGCUVS5VyDnKBC0rnirkx}BJ^LKrJms5gju5;)f3I!`# zCkay&20XgT6;a|ci+2UL)I!)#(xN+LI9n&QtU7I=(+E8651{^&2v|^atYA@7(OGog z)|syKgdB)luMA7$&Gv*?!N#Dxtz+z1wExHP?>GYUdjG_v9&@cC=yTRZf_#?j^W`R6 z?8rW1A=RyOFzsy!h95&9U?~%P<^T4|@r$V2E6< z9RA4bJUl~6fdW26x7Rssvf!^GhnSrcVGK;wnN}DeM8GR;oN%z{o)AM|XN}Pbb z)md(xYCV`=BajM4z>i6ryg@UvFb+GmGcBm0?My%*I9ctHH2Saf)%o1fP*d9rEOhJU z(Tz%8Pf*&J<^(K8)Xbujzgj#ZB4F{5I;_YKi9ka&QbmhIBgUv4axOfpI}WeGC=rbi zXes|(6O0z~TZ#`Z+dx^eJ-`&OhKL6#+flxMRe26)QMI45j%mFFT& z5=lm6?7Ca!&p8My>P?CVKP9d*v8%7G!AB(U!VC_2guFG*(jZ(VvbFg)oe-H7zLiba z{Sl=Vr+XNc32%!h)#zTuL!9~HnB?E2Uz3|zVsDdS9JZ5DY~_oMXp@{CWC3U4&qo{mzkEE^e@QbJ|DwM;{Tq>5S@tij^fpjx?lEtz=2i^1 z>5p~c;$P+Q8=AX7&6N*$_T&rG-{S0S^yPSYs*6bUYuH{juSe83)Kl0R)6A}!(b+x;fd>R;d#`_R}J;)AI|7oPROMSgcE-Ghre8^vTGLRQ}eMS z73CMO_Z8etPnq$%+YqVX;k0Wv{k-J{p0=?VP!WFKF10Vc)+)c3*CMlIa>{82)E1G= zalo%Km%`?kueyYk)#am_M9M+Cb(aR6mB%^h1>HM6qo-0K1r1;ai=EVkn={YoaROc8_(w#syPK4HdmFVCxPYgEnrUM_A=$}EUXhQFt|Y5%M3ImC-SLM~rj2o) zlB|qUcka1auHc1_(aAq~Ss7;%W;;va=}FW}BaV$jtuE5#v8?$1u&I-xBXAxLTjd%a zjCkY<2i>F6jXcbLro%VUO)TCWV@uNXua!uOzL1tzKV@keRUcz%8dgUHGwPw=+WDYl z7o2<%;hj{yMerL1^pHDEL8%3y)`FAK8P%(USOt)cRa_M&(VJ7)h!OnAjPu2%6_KyD zPd%)2ET8HEO`SYr+Mzs8IfG(GW>zQt9f$nt7=m!yAPvM62)xqXULXF5$!~{;OyT~9 z=0lZWri!WkcutXR!sHOCcR9cFqm;$;<3zOLP*Jq*cjav}9schs+mQU-Wsg%oKeG3h z2_TJ9B$;Te<}vJ2WdEd|A!PsL9teh)HzQ(XnI?a7;}XY;X(hj@mwO+TL=bP1N|a?} zyFX*{%8uF^3q{k!?yES-h7|26xBA6#1ThE-FYY8_V0!R3P{BpM4c?g!X}vqDWAiXn ztY`&z!#|t^4Cug2N2tXTjHz%tAlQ4CCu~Gq&XtZoiMCg*^Zt+&(bOQUcf~*hO~vAc zCUg#~Py(QzjbX#vy24!i1o3Eb1GJ%#2+bkdTUGQX2*4R&A~8c02DkY~kcj6TG*hWt z+uMcK*7*6wRMce^MmZEJz9xedn7$^{D9oH43tI&zCbUa6^~gKd)cqE7D6c*z8atyv zx>LIsuZwR_00=Q8U|uHIe|{hqpmczW{M~!Fz8yKZ*<1e&(xzR6pfGA1RduaM zv^|MLt{$MVpk0hsM`IO)&jec~@AE^6SXnt#(DtGHYj(UK(^L5=Hl;`BVbM-fZ*3hy zFOK`0;og=GwD+9-wDr8_ z(fx~9H1&Ez%adu%xS_vi4azw_Yg?$l`v7i$46CZitXP+y<;w1Wtx8!pk+luq1mT8D zD+PoUm5BDvs0U84-DXYJEqHR5GYo+EWpi2wWR8mrKDdULS2@8%8wG`3W%8e4A4!H_ z$v9@WS9l*e@C&=YC8H1|#fb0Io4G`}Vgy-UF-FhxumockFPk4u-0HEsozkx8B>T+D z6%i!l_{E<-My@MmfYxMu_c0+jmIHkeF_^##N|(}99}ad~`W>Dax#>V9qV8vCC^Da( zW`V>ZhpXYeZ$OFV9S;LIl7-AdcI68B#gGD^5^B(Q!qw&u;v0xx&Qqs`V! z?WbirONah3kxrrx?S50wmo^wtS0Yb{Z+MIHjwqxT{1)HuiJX=-0dmTUZvX6YJp6`C zn^CvIG?5{|GvJe_$S(!#SYcaf+FDYz&h_CeIi6q7*s+ChQSGc#-c)aHnu$xno4<%A zv9&rKqrjVQrL{hi0_neM3x#~r9&9#IKdDf#Vl|O@7Hz3btjI{dPvjZLx3O)xy3)ljiU%;J0eF!?TI(9`^JP&Q<8P&{$8 zP$WaxP_cVR%hCLCLC$2=68v$CRAh4YSaC(XNX)F+mFl_weS{|Cdwg`4CPzi*|{)^0QEJ$~I2Op(K z%P41k^b1GbayuHS!7nyVQs;jMi>++z{u*Si{!J~t>n^Ey`DptuCqekY;#<#&VePv& z&S*nwZee@n0(~y?WIOzJan5ACa{T%QRAd+Lph#Y+`UNXin>`;Q9zB8+pa)!8Vzk79!ja^?`Zf+NCMDwF?0nxqk&c!`yk+@a%b}S!4aINHw!f`1+`r;VVUvdS@37TKYbA>TWL7Q4JLRF!`2c;B1Inxn`&m>A0DWCD9|SHyUEf6 zkB;#_gV;kU2hm~RDdbss??~7tjD8_V=`G|H8-%+Fg2)uow#(U(;(X(_%kz-qzQFb- z4q}rXGxT2RA(JeraU<&_Q*M_#hZKRa$h5Mz%QN8QB&qpvsCyH89R0jUKA25Stc*|7 zR7<#1=y8Nt1l-Y@)r&sO>*g`35}b1Hyy-3v5yRn=2potlh1@TCZd+V{C^Gb7&| z1x$?szVkb9ki9y*;a5;Pd9_iH{W*;A`U?H?{ExGnK~F3(x;_MdCtV51@Q2Wd#0dm+ z+AMSu*|*4Af>Skf0jmZ|C%8xXZ@lIw;3(>wy=?|jkg~@^ zjY6R?s!4%9aPm9LASqiKhn9SIVF#R@Yw1rtP4v|8UNlcf@R&Gd!|C9A-Wm)@`r6=~ z+@cQV!@64`C<{A0j`O2~Tv>Vs4#j6rt*FPvT{ZtC@S<=)#TMScGqw)KoAH-(8cK9) zqn~#+UtxA^)}Yk5@%=8I%C~v5MT7E+P@x`tlQ8EmGb;1ZyoaovQT=n3)^SqRdJz}k z2-Oh$pP3k8MI#x4E>lUm^caE|<#Z7~4ZX)BNdco8y1?LAjpXXwfI(bhVyHs9VGLpp0Zgr|u@ijczB{+}!+Z?fSKj{axX3`q8abJjvnQw%x z0W^TFN*F|dOHjTNJhq05+P%WR9;ltwe`cb{zO7F$GBAB!HNrFedBCmdNUYvDO8iC8 zK*#3OC&Gmdqh02%P=ax) zfu6fU!RTFq*p^X`8Yddb1vX>TrCf6a?cZpU*s7z727AP{XP zjMaT=Yt(%ydPYIgHPWYFe8a(co|DZ+`tk}NJ4^r`|K=^VfdGA4tLLpgE4<#Y%wqHj|W_8BWrk9iFM;$cyr)KW{3bfh3#S ziuZXS672IXqC(fL=^!nK?YLo%-pI4PcuXbDedRcbO~`1r$ja6rw1hz3R}ob=MqR&$ zxPMn4;{b%BAumT@-MTGUJceAI>e&+KDs7CLNyitXD5k73?}68su<1#^k)zK<>wu`e z){&}RpPJg4s-eni6d{OHbF*)E9ID+w;u;6$T-@2h7Eql{0Y->A(D+U;qs?8pbk&s9wi!a;uv`Zs5SDf$qAJkP@t50zMPq!QPJN+@Kk3YF)cU`N zh6=QLzf{0da#nIj$mL8oq=X-CM|&(+)1CZ@dW>+ zamtry*=DL}G?_>C+rs3T4#g2_D5g3PiDr@`eWWXsm7&aP1hrnbD)Riysvx-Ho4b`J zCb1B>SXgnTRXtATS=t0@I5Sn<1Z8DaeK1;aeCL)|Ie4-7XEh$A-p{!&>(5Tl*N{|@ zeuYhSp&(z&)^5>HJqk*XEK$*uIMau6FH_neRB|?t)>*TrVCQL72`!ht!gsGc7!zJ= z0GX{i1iTw21wsKw&x!rT=>hYbrPTI;`CqEXFAct$LC#NR{jN*mBg~zq|AqK^I2ELm z^5D6J{!Us{WbG-E&+-o<}&`qpe8QYGh1c&!tLhJZ* z!61BPIT+TwoVR>6)LfOf9L&Cb&eVR!9wD_({szg#E4^n(W6LTWKRWKz-|TV0C5OE# z$;0pv6;+CS>32xvayA6n*&$uUnZXOLm;5VE7#yZUwu(H*(2rvPwY7xpJy*uUkuj5& zN~C502X%TB=E_yDPC>imh1_dIQQ|8E&dg(xgMy-0B)^%;NpzWc-q17aiCPu;OL5pL+;uL(mmk586GLFt?%%_81}_M82F!^6Aiq`>jsJt) z0;p+~`~RTAuMqKO87nVI(qIM9GSLMt;F_e0rz4SGUD5z}dP}W-BozjvMM?7-6N_mb3wvs%hZFrt0S3aa zkR4MopJj`uIYp}C@JLra#T71E&&fhp`rZKz^)tQh2`=fYA;1ez4GLa;Qy=>WUlVo^ zz5!6{s$}1HK#l;=J8lFd^VI?1*0BF%L#sNU^DAZ-{141+SV7AF3Ul|onnI|VM<)Xe zJ8i$hy9g?IKEwnhl~do*Vj6BU(twM7^H~nX1borql#c3g^%$0gYG^#LUwwn5JNvmt)K8BK|z7eh@Qdw~zc^Y=3Hfli+n}kzgn<>Oa-%IGiR+ z+c4QOG`ST`$KQ3jpy}T*mWw(}4|P$-T~J%bTDV%nT1ec&YQ$HsekY}Qp1j0rTWCir zA`^ukT`Nfpwj0j?tH3E&H_T_Jf8VLVa(gLV+lVM%jCyCE3vN!S0B5Iz-UOKYbQ&$U0B;Egt?ver{up+OeOrm$D)6DtRFoaVIZts$RXrGS5) zf`ttuEfLYyi#%@ALakU}>E~6Nr96?M0WhD>8(Ton68y?D(RTG_lJ4EL*>_uRg*kts zkF)c>$WxE>A4mE5_|r;1>tjB0+h&&E=8MJ4rregQRfj+H7nrZCt3#=B6Z%>e91>{g zrDmE~e{MHSFCPj2ocV7-VlLaL@kiJveoFhf2k1Tr_0uyG_dS%fYQxyCx}) zY2P_z0ejY}IR0FqpXgJU8QCa4ee>1BhsWwrZeFvC)HT4v!>hT)#kosfeUz?5Q9awo z!5E{hQHbwvWa(+xJo%_~7K=oOMe1%a-~eILNqg~Z<#@?zVigwNurCNn-oTvBr=74; zbeb;h&Y=3R{-kh5xdMK2BLj%A3=Acl2_^SK1!Usg@7{>p>W$EA1y&LUrXo74^kV+0 z0pQ*A^U9z@W6NvGAAM7R2l{M14=PSGSj?h!PfbTp_yiOqXJmC%Udi$^y9FwKD<#hP zxyC(g&#Q!%tectqKTxvh`Fat-DkUR70qz|DF-zl?Dg$>$wyI<7R=6f_N*NxD^rvjX z0wM?yy8jme0gz;%*&uyEU85wj;I~@YguGNz6Tl1aVstX9qk#pW$#Km&Qg=sB%GUSZ z7&FK+rn>0CX!d)I`dCU{Ft}F3wK9dBccdRVtk+nD*rd9!R;@7Tk1kbrF);mxcg*4i zg%_v}8J;G*dg&;u_xmkL@l+0Ciwj4#JfuRfY-{QJ*?S^Z`!BvB%!{0!6@|Dsd&k6VA*K zCPL)!Qoi!ML30eVb&OJ5ZYGErO&wj!-7BKKGM{b#xQI>oq$rU!G@h6YY~sf0Y&nS> zH!jedD8K;V>VEcrf-F?ym?fg@*tXtL{)mqVAK1_r>Zc`d@Gf?9hz=vJul~i;7yDup zOv&UFC5QTKV;bho11oDG(qBY8y*q4Lt2$IyW5otSM_e2vz>v9T0 zF~31EEn(%ZD5nlHV6%l6hyPwtc%+v^R?* zP@=Md^NFf&V>dNe^y9}H_`0tyP#qM=+r!yBCS9s-Li9+c*6hS@Uer~~Vnk1FfL+fW zZ`G_L12k(x3!&Xi4E*P9Q{&s|OXBVN5cxCHXmAO4owBHb!S$t7()JU}!}yyt%0oqV zVAxhEbozVI0Mv zm6E}?%BvU^)kp~_1^Lac%QV`2`-XC5Q-Q}?ExE3+xU21-n}VCv?DKY+>N-=GBdbKb`I zUOjoU^pQK!a&$@6`U%fM$5zAS*TX~eL`(NDyi9ujX|m^S9t00+)cKbtvRH=u8v0#J*5@c-p=sfNy!>Z?JZ*x|B@|tLEV6gvUTbiH@J$hy;k}Vgzkp_ox%yG56SYCjSoj$zJHs6E_G&;smK9qeUh3cjKQM zIEjibjR5`{;>CaPSgvqZ9*fN|G;&`nkasFo1dg~Ln)uqMD`vy31q5@NSv^t))v{z~ zxRsxw;$S}`F*iUC5|N<31qy5PS8#PhtkX^Si>#NdNwh(_`+~nBor^rMZMqwZVfpWT zv9wdoq2!d53{PZw5v7aZ845?uF;L>FY`86Dh$kMjRR?}cb|lujo+uVj|B!7KJn)HW zd5{(IUyx*dXB6Df7B%k{QL4VI#bb4?J0L|2lpudebR<)&hXl`oKO5tMpXz6f#A0Q= zUcMFf@q9`4tj^12E)pmG%!A@CjJ6^E+~Fd<_YuUG49TCs@`T!9?j;J_Gezrj;Xv5o zCkk~Y`y#=QF-S#*Xn_?M%84}eU%|W#$;A*L+Rlth(m+uTL(EdIF1QcVI+9isYs1xu z`a=x*l4uD3D7KUzP1IzsdX$D}Rt1*C=syFo^z2ef784ieKB+y6g!Gr z)HOHcyNW;?xQNLFZq1+PS4JkIokQGNNS_~>B6Y+SzJ#WutJMFO#+x;oM$pxoMp)n$ z-f(L*jVNn5a%nKPiIuc1iH@`_QIK>jL34I7TzVadcpjIp@1@$0iu`F9?`vg=;XGXC z9~XFw5fehxH*z_{$Un%pJSonhxOJJvTKE?=3jbUCAK4?RIs~n;;L@Y%LB_$` z^0s$`O=@Cf#1Hh28qE8nzQWZCJi4InQG>3wP!dsoqAsQBQ9%uEpT}x!()yRCWn>ox zW~;~2G%~lVvBo(yECsjsYicRSvdM7&4d!&P7kZi*|9EBdqs5!&+CVt-H!B7CW}~SuEy0WuRL}%UP1EVAX+c~&>EspnF`bT)X(#?|H4AIGc}>(wc`*> z2KG`($XKzF<5HvGLe;DglO1Q~NQ8W_ zPEZ|{n*ShyRHKSUKvKSG%tTc#jb>*RBG{i_gI2H8RR5BAy8tViUW1)QTPxYD4U2*6 z{oszVTTY_*sh_W;-?2)|$8-^=aIz8ZAXran`e%BHHx;!<2ob;^!7`OMRqxN_Z*$%#TQM;{?5l^;G;^tZ;G>QQPtw0Q$WSt)iz!4JQ|l zmCZ=kPzi@==|0`#Y`ZScWO%A4JL+)tu5A%@eICNXOTxc+t>r^(crf7ft&Q2iPJE-k z)JI4(p||bPMQhk4KBNQ>R=%e~eK-1vGgSDNL*o>(%{}nzdZY;^nK~#hLeU_8uUkP>e3&kPDl~wDGC@Lv`VH^-++_kKomZDOs^7;q2}kAHleP%(?W}x% z@kPAuHc*gi>4VukR6;D|A_pPJfvgK(2uf8%l4@RJK4M#cHr#c1CZ7Er1{B!_HE{Cb z)vF1K+PSf~+U*H7XsT)ye?6dp(xn%V#_@_{F+oEWJLH+pte0NBAC^5bRiy z8)G~xJ?EC>_?eNqL-oKEkJiY$#XYp~E z^kka^p1?2?|4vTCi1hPnJ{)KEh4h8O=qzGkANmHasjm1OO>Gd1k+%5k7=iDCu|?-rxv;jd=>NStwUv)gqErTq7D-r4*S3q!gnzWyMo-Pxd4JO`F=?nhd~R2>(G9 z`D1Et*4U35UXs}Ue?onPUPS#DW2ol;5MY&wKFz&iKM63JoTTJy@S>iRt?sJu-osT9 zh`unwlBdbw7V&QyW`t9&y5%6GZ>RXaHmOF1o>W_5N3($-<;DS2m0BqyoiytS7h9 zEZJP0;K*#LfwGb;35xutUQ%U4ZDlO|@Vm(D}3JW+nc-9A3yxr3Rz$<1CV zztb`fMz_|Ej;=HsLKL6C!|9FAHn+F$*hsi;Qt4wz@uLrj)MiU;o6uH?mbh#zlrdI` z2r0fL4r{MYhYoV~9DiYpzvGL!J#R{So5tGQsWZOx9uv*+&>`IilS@bOM+nzY7?)P` zV1v5iigHQ_eoM!$#0P`4^paFPd-_yEru2<$Oc}zZ6@q-MauTKxZ9D}-YSn8ExDvhQ zP847e1F`wM2ukSz?m^<)#CpzjYo#7WxFy_+)h{s5K``65qbC(}a+RlR5#E-<3e)D- zhrz$N(})HYX3AJ^)N(NzrQ}sx^~TW;dl?qp6Vr&&KDOX=tsIXS}hp%9~=(UM-aq zajqwOt~YqD_vT!$J<4aA`uz;``xWZ<^nRA9$x!8$`dP&VS-!~Je>*fTYIFE;oWkWEg) z2O0E-Vfy(h`@?N!=EEyZ{XAmCup(tH;#0O{({3b)H`#;GA`E20f(i78kLWFL8uqg% zlf7NJZaelbXPS}**z zm%2jHY&dJ_=I8W-bN?)e>@f10zm~U-I-CBGr#a~S2hWO)Ok4}~p`Fukn9(#Gh~7t-MLasX%g%|HcNFugnvXt{|=g`s-r4qTs$bn zYRGkTGC+G#FD`WQz!5P8(QL^XozdVk z-NtfH@O!b>{TU)Jx`74gw~W`;RzlckEtMGcm55Mc>YCf@Te`YGnOfFAUOwt8{hl30 zdCXY(A*+O)LS~r6hFDe=;!a6#6wyo9S^bsS8|4jsU!#zpJ){|p_xoPoSY5r~8adhd zHv+@s`xHULqs#nQrIl3RN37{Q4?i{zbH+lbKc_22Yz%aKIjho4Zb^XdI|DameK+|| z6xVUS?Fmj~pQ@kLH5cdSXY}0Ze>EcP(x>XW>jQk<$c<-;KM3)zk?u#y#Ql3XGURm< zOq^T4LL8%xjG0hLIGPO4uzE=rJ}@#>i|wNn*u6~Bu4}T0MXQEa3T#*wG5?*eu>thY z0YjTp&^$gjqadg7F+)<^T+ermg}k~RxUoO3vGm8UbY2!M=V~p8Ggyc$8 z1fr)uR##8je8be1Oz7?dR!Fd7)J`bDr5$#y2Mw!#ww&9S)L&;KoDE^g5t2w%iB2}i zW${~}CB=h5waO=)khDqe8A358>(x=WAp7+m=RtGGOaZ(b9s;|?6hD|&MLvgtxyz{&0AO>Ijs?umod@{R? zX_&fAP>n6|c7-d>s^*)0sOy4ghJ7fv{8xUOiG(O%a}Hzp6&47$^DZBN96nWEqCNI5 zcFC5#5Q=!F)w$mRA8m(~;hr=Nvx@@*+d7mj&F_3d3yeaw?cg8LD$a+0mE|_Sdl`R%tKQ_|W02_K0I6 zWy0ZjG**eek?_$bm^vXC1G7Jh*4rb{q9z(=jpT;l!fdUUL%Jn@6x{k&3c3bSXSZN> z8`)7cl5889m5CP@Drrs`YI_!r$2+8!WFA_WK2Q2LSb>C2nJ76gp^;>q8Rs|j(g||w zB3loy08~vAs&loG>922|&^5QT+sFa(8K1PaplX{|kopjWNT5UPK+rIfLg%Ofwr?OT zx}H4t7@2w+6=E0*HV%Y5%@zi#L9D7~6Rttt?z)YN;Z6{gja~fq0G1w@%DNA=sC7Rx zbxpaZuflxGzoKs^uJ+jX9@P`$>s-%0fi#7a=u&W-Qn%%k;N)Bpm%H>iBW?wEQPJkj z=i9f1pm)_TkFn^5$mBH5n&NKUOQI`FAWY8qi;9s;7~JCG6}t+IFH3gW>6= zK4s;@|Do$0z$0tEec{;F#GFYoF*>#}u_vCe<4kPZw(U%8O>En??XTbWf6w{uJdMV(Qr!*G5LK$R zex^REE^yhOxB4EFTN;p5_?$C>4P(GgNVBgFgtnEgJ{IHU+m7F9D&Vm@oeJ#=S%+w< z>;lzSOa83fzNCN=sTV7)N2y(5Wg}SdUe9+i%e!#dAVeqO;VeSIxP0FLclu+P0m^O; zi;tF36coz!Bgxbh!tUc)%?(j1YR%3$lkCf^$NZJhE8$P#Q*x}(pp=*hE4+6E{H)o+%$`wuIi0wxq`%A_6$o8<2n&CUXEpv9 zPL*S98jZDwuyOU)dTraaQ|(nB4NNaMEk=1ZTq)C^Ju2?%nC7VEZ)87E9_bH7N z8^Y_=FztFyQ@Casm^l-gsK2wGeE4tuBq zT6g+mkuJCYA>eb!!LPotCKHq~|9&}*^Q8~Kja0;Gph%e5jyCRHa-Ra>#<^_|S|8qH z+^$1QQX+qtARka{KcbFYU_Y`*T43*F6YDX+ovA$G8N=!!-KizSWXp4qApc5b|Ci|^ zMY%JPG?mruU7Fj}`C?sb?x!?U8qdL5g}J^d_rD69=YX&pS9UeS#+ukFO-Q~5zjcod zwci{{GM5-!2~5JrJXP6cybJ1=2+xG3g?^!LAq)d{Z&c$vJ~oYJ*^z>n z1P!;^bZA&vEzPwEJbKwelgcTgM3KRq20z>wT3WnJ1IxZ8>r)7%9xsHR>mPNMfMiEv zjPVMvY_Dzi>y^ZYhY)!k#8MczDifjUgqACxKe|IB^A_|&h*q`@8p!6p$T!vBD26Un zX)UjLjoR?nxKNk=CK~#4yzB=xRfP?JW=-(es}UHX+1j1ef|Gl%?67o$=2L>j#zn+$ z|KQ)oO!FE6Q1QkC$3(=-$@1r8rpU?EU&Ugzj34aM>gscR=wU_6xUv+|C(v*yADx^2~^nU1)a#PQB zA@!s5za-}_$y)-yYnlb2Q=Fafp<{QOd=F7xH>7a?u+0sJJUIh%`e{szu*v6a_SN zp%(MJ3QN4dUw+w7_VfOJN_EP(q8|F7p68WVqQ|zEc)ej@Ot=r}o}W_~#-KLfa6M#T ze5P(u!{U@omft_Jqyv3g-aq0}!=hUekxnb$9iCXz27)3VwI6w&jPAzm(9FBT1wV08 zf7H;eD1A`{*DM3(=C%L1RcwMonhFiO*{tip@7-o3C~gvfZqV3?Yu; zhNn)K!jy3#BGdh|7+(`|IsCdO6s8t)&)Gvyyd*54!5{o=JOc2ot|Z*aP0ZD1B-R_9H|i^)hNZq4fh+3eK4 zkQ?8OK`YH^4T&Wc>U%4jufnJ>+IK>ss$Ix?XtN~$yysfB6W^P~toFwRZ*II&|C**GU8`qDRe__)ufIIU+QOG^%?+n&tqikj!mV-% zNwfL5a^!l%2D6IEuU%;K)#^rl-v#@wag)+pHA-E`>P_v*!W1Z0@~sob3VNjh8@QpC zlc?o8kX9}j)M;%4?bd}I5n8F=Zt425i8J24U6^yDnZ_Wo{f%+<2y{Q*%=j`O>T)lg z3Txla^yvQoJOIM~;eYPTY^@)w7V-rjFSymbu`j2F?Fg5<#tgcy>lR5ZWaFonBDW&| zbz%Yg{rP_FKx4PUr_iv6~*bf@S3w?Y95R3VGK-^SM1fpc&FPsPgN!qS80m9vGV7RgWVY5srD z^s`n0ExH9>;3KZyVXv>Vs*!nUy;`); zqeIIQn!cfrEQ1r$hVy?D!iMv|H2BIwbVk^IN!T8JN#j&Qf4A{x|1#TQ;Sy(}sSg}o zLNvg2BBVL+mi{^*M2mA1;}Y#RLFD)Crr7j6lo)Q|6R1+wf+D`MR85PFrr6dLy>JJ3 z+H-Rc0YJ@9x5nJgyPfjtV!Y^LXkVds<#lxC(qGNL1+cITz+1|U>|Mqx&9)mLAUO^O59a(Ut z-+M%Yf*9Sqb8+*UG6w;(XH-5T#>7n)6vsNr9q_1eE`y>^-jy)1*MbNXy`gev9O_~NvSs7 zi2YVP7&>KO?z|6Ju_fQp)bM;f5J_4ph3Z_R0QtB4UaZ&ysr<_Km?S3ol~M|;0X{P- zy_Ml)CzOJ3WATkFr)ZBG?rm9Bd9Q5gb!PbVJ`ui^i(E1v}$SaFr zEca`tavW~?SB5L`1T3qoTjcBtyr}QLp zvAKP*H{M9=Kt*9v;N06y182HA{)10?%ikOlZ(=(;Ra%UTw+_fpH?ffc(eiTi-w7`)rwhsS-|{Q=r1ZbC>On6&p7H!Y7eU^) zKEQRR2)ORgYgUdf8sa`r`0f*kY^0t6W~b`X@50%n&u3S9gHIy?5XJ& zCPqvC!fNpBr}HzF3~xs+$vs>5IoY{T`0*aoNeV)_ZshV_DrC7~xPwZ}(g@LnvH<9) zA*AwYC$OS1RGuN9#%BI7EvM~I) zGFd*~fw}Acz@D17^$CE0gk>Gx&}og5e4e#M!UE4 zo81rQdhjW6!Mf+)7VLm@l2=%fy62if=6@j5KTsX;5Bw=o=g2}D%=<5m1>ZuD4`G5n zNL)tz+V~*PhsaQe$ZkU$eBf?GQCtQ8A4D2#8FE($k5=hBMMeHY#A9LWNIAv}p5zWW zq!B>jIS&DPm564cr1Chk7(!WRKj}cuw$F#W;b+4lf&AT5m3Ziq9vlL%O$ahMg&j^o z`C8rjLpM=~uNQ$|XP_}$O+TgfJP&)xX&S*2G7N|Cg5rt+ViMgYteZVVQNMr;yN{Y_ zCI-O(YY7I7ehG$|e&PSdC_9CPmbsht+*WYL04FbOM2zx z-6hY_n)JarWj7_WA;C*H+&C8DAUTxM95NBAU~A^Km8{kVen)!Kvz5c8hRGTWEyKme zfvWuool(4aX~QU8Vx7z=sbY&Uad``{YggCp@5Pg=_kmv|KWd$Z0~DJvasam<&%5J7 z$280W^g4zIMTO|8pSo#w!k4o9KOq4=R?k(xv!Z99Ml-`_fb7(h>xXG;Vw2exT_*N& z7}Yi4-HlCEq{7N0&$maN@stthX-dQbrW}j2hj<06b~^r~0{hRijQjYbZ7nnP@Ntgr zhwq0+i-@wjq@j)V&e?_vjKz~=)Tht(ccb>7p+?e6>&2gPFI!t(@kPMceG*J_`fTfN zNz#%lgnHxeq%LNOGv_C=hV~+>%tgG|8yhNPn1Da zzN_Xq`e6g#O`$bsD+EuI!x17x7-MRdA@JhqW6b8ES*r2`2YRuFnk&nU7L?U;9BaQR z?~0W>EYu`B6m?M_3v!6&kX&WBk#x!1+GVuO7?8}{h@d>Q@_|K4;~7^wAulESNh6EZBf;eCN3?mS9bFp1)6 zcO)nExr8G15{z@<`a0nPNs#0II@<1Ov@yZ8p$CKWjB-KDYcorH86yJU41~KH>2^O+ zfRM+_l5Af_G49hW=(Y?E$*Q|^Pk?i6-xmD5?=u6JZ zG$`D4My*XqcrBjYO7!}lqQ&rV*W)1y)GWiLI(t-}z%uj!q$=%qqJ51D@6Qd7FZQ$0 zj!pK#9wF{YNq)qrHwEj$#GtQ%_Z2d|9B`Py3mjqR+1xhS>Gh=}>p%w%`PNnXb>y^* zBspiyk~XWkb>NHqrYby`^J{sRDQD@TPzK;bSJ(Tqy32HF)Aa08_TiEB)jh|P=*hj3 zN2`@tKN;o5gh;Ty9I69Z&}pA+LnT11Z5NpgxSiKD4Al;21$qTajSIElxMO4CrOK?yX>1Ry$;qEN11x$;x^uk}-LTEgEmepS6msN{H z^x7)_37Kwl;ODORQ(07+r@kSilLC!A+ZOhT--yTDo&=2Tu}L-YJ|R}=ErNST;fIbr zn%aUiE7l994fc9G|J=VLSh^!aH@-(gtY%63T9FiYI~j(6j{b&V$&n9=o>QPS91(zm z#>Madg#qIDO6k^E|CR&9@Y8%eDLz?A{~?j`Jv5LzZ<9W6sFm3wqNm;;N9=U6uler& zZzd$atj_XIxVy=}%nfMJFASJ~*n!@O-S~s{{Pd+f+x&{k601p;>YP};^z-@fJ87D1 z_@u_4S_R3JBK|HuJ{>Qvy+AkbwvLa2K=*ouOU?smuR!Ub;Z!=*%hA)7(R`&nTYKcBrVN??eZoxWj$|MG>x9|C z%H~9E1;LqfptH6Wfut>w-HF!g41aQot-EG5Yp_c0J9&l2aF~uBf3~Zp&te$CncAN_ zv2TX;OYzKhU}gi$f{zS#hvcSTxLSs$#Z11WY8yGAr)qHw^{7so_cQDj=Y=fzUw9my ziBOSnzf7Hp#G^*??^*b_CqYib4f%UmO@)m9BjG0h#WVlnMz)fM!jH%-n*m#B<{;V- zW^JLjM?xS~GWtc@Lrjbv!)QBuOUVEp9$BhD7 zENaM@A&V#qD4`-NgmH7EAYKWDLeLXj!Z0}0NIe9Eu`q=1065kwn{~cm(JT6pgMeSk zg#_B{JDfo)iI7pHqi|O9%EH9s-qa+D&Vn87i{}S_`4MPzhD2TLc;;q%MErR)W!%u5 zH+o_x7L2cXtRO;5WDYD8L9TQtA*1;+hX!6H#3vDBVKG@%$=BBSaJI5i2Qnz<(EHAr zv(|}sm;a;iR;J7yh>2D}la7rIGr3lk4nQqh&v{AwTT`j!!uF3Rv}EEQ5u|8l-u^4X zUZP=nW{;@tHr!6sZRQ1hvES%~7FH1r;>@N{gPQrA9DatCik3t@H4D^P(fYH`I>sLN zX^nH~^)0LI(F$diV8|lGW#wROeDA73;Y6{e_>h2z&k_uf9N)H>?9nQBV80~+m5F{QiRsAz{ z=%|K+CslO%i41OOg>1Y5dW!sJVq71{h31g9*$8IKsA!D5}QU_n4NfO)!K?D-FZXpt!>IEr#Qj*wTSY14}lX=ChW< z;aB}`QycS#a>TqOjT#Z&Vh6MhH(Y>xhEd5yj$lFA$fr35o`$Yv)&07sFu+FxTUDK>X9>&5;l4C-jJvWNz)4-pDJ zyQCNH))($W@oJk0F33m?WG7%`@5HmEv;KEIxzQ-=vBBINk^D?AGXs~|B*D?p;?;& zT?B|2rl4#(g$kfUluDIBlro!tQE@&s5yO?p*GV!2?KNl`yFWv&*I?O_caC;cHEFkL zj92-0;C?@8L4D^0y_L=|yWc!tGel?l1s~t}&z*(i+2YBGdn?`hiY8wigK>jVd)|Gx zSh%n|odsl>NL_=NuUoZRlzRO#WrO8B`E{5{+{}dhxLW16YM>Q$uWY(lW8ZLRL6dcY z@C~s90n&AwhTD&`uF59qL<84K2o)jLf_nm5`iRS#JA%_Gn;Q|m>W=$iK*W@B1^cr~ z{l?2L{K`8{x6_nr#tz3Zz+9O#?Nt!oMx_EHY_b&~PL6&X7D*&J_cg3^km(UOiZ4hx zdi&oYSv?LUZo)iSl#?;v4sewk#9TW-dW_%o3s9UTdgrzd--z1cluVbmkAAE)67@|t z3iM51hzSy|uVlA22;4iajQi)6qewK-iKvs5$Jf<;osWX@SLMN5;rfjbjdxlx@IZQt zTqbC;M-!83^rg>(f_6DIw^`8SLkErZlOZJ4`%9m9G+qIs0nD11h7orUPkApI z{5H3kYBhW^_n2oF9nxF$8o`5ELthWWTzCl7n+E2Wm7G*W5D?mR0?BQUlxFK6b5~S8 z5j#?w$Q{vwypgTGE)Y(T*K_AE{}lDj_7j9qrE#EnpA2b+D87+>&3_V+6yI&_ArWQ? z69_QE@`f9x{y>XM^aUAnoRJbQ0at`ocI;JhXuHJF%-H~F=1K{)SJf`Tsx^+;FK|1> z%yOz|yCKlb6Iy8M_8jW=5An^URR>4qXlke$sEVX-j;3|NQ({QA*odCn#`Tc@D{2qo z^7mhH1zj3E@duQ?i`iB*fMU0!Jt%fZwl2U$HLwq8+N;UHgG|3@I20akB~70ohK=Wi z%;~BA_`eVUhwF)^i-;hGtOV>F4fUUioRzrFAqIRuz6DY**o)U>v4-7eC{`Rs81R__ z8l~;Z=N)F19u{%CToZ+6ELy|medi%QUU(qoxA-jeg2g}f1*nCQHab#P8_D};VTr)-3ZTHQs5mCOdtv%Qhk5=j&)ZEn&_*M zvdYlM;1%HvAt@so1(m9%dcr-XFE4Vgmvws$aOjO=sk_JMg{12_AXM@Vso#!QXQb;D zewIOB-Qzn$E|l*I9e$n1%xh+ypuQMl4f6-R`&Hce&E+7oj5Vz7r*NNP|6 zznZ!^&v0;q*Oe4GS#UTRa06q}BmA9we-AO*O58NBP3VhlX&f3B4;VCX`U89oE4d&= zeIW@BZJyBa>%l(ks@Eo+e}-7EnfuD*P)v1%r?^51jjj2Unt-yTvksC;Zqd6)i3Y$B zM}4so1#WFuWfsm(AZdz23iQ#MlLIQ=m#Q+B2ek7PXiU4Cb0>V5^C?$$J}e02ASyIR zqOJZ`>0|W;%=o2TX`x}(A{zYl5Yl#Us7v*0KL0ymf?yy#p`?TNG2fpGJ<>ngr=`Fx8MnUTr(6E4kHWOs(b zHcYUkliPo9a@*~>Iukm{#a}exElAfvgnT{bibG|LKxqiM6vak5X0(rB`x*s7Wpo1t zVHQ?E?ev4F@9u|RN~a9k?5A;`IJjT)WzBRDX|PFa*kZQ==&eJa{%#O(=j2v3wR+ql zY*T!Q(2yNF3UeG4Cn1sM zJ!1{=B!|#Jwyx;$XB?t)VcB@wHX4{)OV)7OagNVSJmm1xX>Xc@ImgtIdFPFOyv+A4HGM4hSodlW<1EUMqoqRQb*XGfMjH64|oW68cTf$C? zdX0*h&MrahWqS@68{xVpyD0Scj(iV%)6F7DUdw|-oek^cP(NST*q3xrfO^O)(0=UG zIYby6PFZsto}Vsn>@#mO?0?)|KUNQKPpcOLDH%78<6z*XhzQVfMtMmEIn*tE^%1W; z8F053MFOnDy5TlIVh=o;EwevyNw9y-1pLH|O0%5_sG~$mvvnEk&>~;U+Q{bj!i$>< zy}O$!YSG62^`3Yd6*jD@u9F;R#;PZ=Ff-GiECsf$B~^M~g%$Q&UsjO=3cOPjohH+Y z4qk5PbpK_w0)E%z_uJcOj0Y=U49>`G?;5Y{`0NsBIa6`2D~oZpwa#!ssX2aXlJ%x? z*&bN_col*!OX*4Hw{L-jW?!4)#8=3m*iIW+t>qNh%)CX~#l*kB5g5K&`{KH4h*yHo*&FTH&<@s&& z*mld6BX-Wm2SZYkhs*^@0r4`DT|1aE5{migX9L}UgCADR>`*Dy4_E@vIeJtc zvnF6Kjx19?Y+&!)KZUyF)TXNAvF}cepx?R4zCDfmD(Kyw8R^hTA1*p{w&NSx`w;yz zzdDml9uaHqG1Zi1SkQRuqy-q5U=Vj+d`|h~ZylW&^l+Soij00f=|miH{*p9VUDTG7 zc9Cb^8gA~_;U6w`>=9XOVau$Se}=0)wur=GU3^t)^}4mi?qN6Y_1to4%iI-IOuCL$ zuv=3V{%kk%p3Z-;h$)--lAG2u7KCGBG{!ay(|@?0kJAxwVw? zq4mtLk~|)(2ue7hGoNv2EQtR`L_a*j_OpfZN}P5Ps-Vdxqf)yr@dLQ&Qg6e%!a-bl zC!sZvpLhz~=hkeIQ>%(kJT=T!lv1BL|aK&NI~AEp45FI zHCv*JzXArN$jN=1nX~!91F-@>7BVu>A3r}A+)4qH1rS5RMlJ&R9D7WFKNKCYIVI>d_X7_E zU%rD%6$LDSqykeGo2!%_HUux3j&s8#XME@md4TJCdewM?j0)%`bQ zFsBU@m6eXK(58YfDhxZ>m{lPOH3W7ON-74yjBPW}ZC`-TL;?ESsJ{pLGh9iGtU{7dXQrIzQ`wTz-U~GKtTDtf& zXgfo+)%t=^i9GH^`~_hSdEERBVr?3_IUliw0yOM)%q0uW1%Ia2=KzqBz@adZayI*H zZ9(|?BmPeViw7TH60w!PkwgU27*c05kX#Z32z)%SM9uM!q;qBcs_r0KXAs5Wf?)RY zHD*9pJIA8ar|KD^^#JiGjd9N29RG^u%a$iY_YWN(j<%RK03Zj_E+xo`J$CiHcFLRv zyJelBK2E6aMyYcA88NZ_b9R)X;Fgj?GFeV^x^Py}0WTgQ(+SJdyMveS01vd04T}}PEWaW!a5X>87vWrDvT8C^Nr&=Caw;Tc( zrmUalY$6w{&Hc`^sur7WV~L%ro$u8kfIQ8sq4g^ziaXhnM2Gu#KXsRQ^e7{mUt`LhOo(lVcF?v?fCW>vbH2q|;X+vFu;drqmmK>D1`U=%@|7zS`lQ6xGeJDn@{M z2ROxC+j=|XxLE)688dZuvt^{OBG6Y#c%nfg;}Ty9SZa-}O?<-AK0{vH&s_B?>5_^d#0)qt~jnSfX`l6s`5#TQH6ovtL(66MF>jL00F3ot5-edheoc z72I9rp#@`dxY+(}`7sDYKc_vfjP5VaF6uIn+_K#^B%fL^szX4Yd!6qaT!EmG&_B)L z)^}HTXk){-PmdU@V|kqK(|o1v12q?9M0){hC8k0fbQB#j-Qvf}==_WiJE%v`^L=wk zP-%DVDd<$$?>!g6Anh0mszOH|g7xzUS)%_&nE!Za_5yMt&sQ1>{p}wB{`{Xd4C06| zK>d-&&HH91`>r2@It??rt*xs8orM7U6Ca3v2s-@hFi5oHJb--3BToeRZq6b;ZvB@B z`{}j)oA>$t%pRz>pooN*hU6b5Ly92Z{EX(Vx*qJf98?5AErH=Bp*zoj0Mox$^s~J~ zd-%N5^Yc6zQs+F`%bR~TQU^W{k)C*OJ}k%uJzu@?1^ z0?us>T5Xo)zQv~E)ZGqO+uu0>45~CW?xznirhLO}?|I?zVDukk^jY z%3>B7*AEq%|K&F95R5OVqyjwfh)eWR7{Jt`q5kW(bb*0_3CKV1W(EZ_9&Ad=8`H$) zLV78A)kFI2-Ur-eP+ifQG?nV!G0YB-5TBcY+<)L9&-%F8#kje+SZDv{;pqHD84(uHJKB%KNJme{=vxCsvjo|-%O568pN_IR1t|J2}*gOx! z^A%&u{7szPcY!#S=F-V&RWdCS4kMZjzkzfE zgD6K4amQ*J9KQi#10Q6ZmPZMK0kQ0_8z~t#9cDtpZyu_^kZG7?A;m)dX?D!A;E>CJ zd+QqD_$ug=iY;RJGrFpb*WFsykO1nL?$v*lU_22z{@iD`mc34bNjSL!+;mCc4HKCl zMXD=CZ)E`>9Q|t)P3R#r1fc9|)-c>uN%!5pfN;L_j%!RnmX{ipOK&WdR{2K#$Fd_&#X{z)~@WwJqSteEJ zJ&i0JzskUZEM}9V1EvSuAmU!lE36F{B%-&g(S!vTa}U+tdt+l)clXx4A_Nys#6xU? zH(V;`Z);nFSqT5z^xK+y;1aGIHXpGY65kKpw(6swyGwP4HO0p@G6K{=qZVd?I5d@u z28yjSZuIQXON9EyX?^;}og0E6Eof}lFWA!tA)9ne0+}d90lD60+^OUB7@wFWAv9S% zx;f0Me|P?V^Kyg5@urMKV(9XqsqI%BwV1lIXGrB*T{F%XJY@lTu%O(IWOX#>km<-> zZETA>g3hnJAo^0^BUr3YY)N-&c3KDavc+meg@pA^#rEX;^`4rt$&rz5`z7<;OO~NL z@?aHcM}rK1>h0BC_b80NG6Pu|hSddzllpG=eD`k4rRtl?lLkdqNFBOPC-Vby<&&kw z$NwQ+vV*Lm!~dqL7(Us_|BzR-$9>yV`DVYKKa%l=h{&3>nPku@An-+gQrC3C1y7v3 z9!aP{1vPne9GM(9a<=u-ScMXTlm-(VEin`&FAU4XN*^_qmO@^n-ODcf)Dp?d5-mAgv047%FT?9sI)2GlQ&848f37O^?7!E z-b$H_W8FPW=)!W0H96byJZ0KkE++5a)Vp+EoFAUw%sOf|Jw|IJ6RA3z(`0pMUhBT- zsyfj{dgz2Wzjb?7fz}MyBW%6YvP>7(WpF@&LZe;c+!H=a1=8I%A1n@T;og06>t%gL z!+YOgfyl-))mPm?bD)G^I=B@JKsVY9$S$za4N7(-pp3KunIq*B-ix%bs6^Q;U?U$b z&`HFvx8do4FwHCqD@?11quDLLnXGjTq|YG38y|0nTbYVDFwxbt0yU!9X==tUfHOH| z>E$p~UAXK#)zgt=ve|QLkBzL+k5pj_qs9(tk>N@uQtrk*s1fS|E%IY0aDhJbQTj-n z{Y3rd8)R6dv!EHq7E7vZ`(po81V81*z5!621oKB8u%1P9RzoVtEDr1Q*4az+2WxQ)?yLqW;fvD6Wx`T9>DGk9L8NoWy7b%H9N=*TEzPY-w*K zLV?eLz`sXWdA&icHvJg%zV+|~CxM*Ll_XsmqkS?vU3{Le?d=~P%fwRSd_jYHMfKni zEVgXUTu<(}p|Yq2VMRP{>n1(qFj+rCab2t_4bW(fv5!$d(O0yN&^)~_>HoxR^NSjj zgXeS<+Qh1Opm_R-D_t)?g5!_UjU(hK()ZDlxQ`a+VcaKKiGACdHv-2`ENQZ%pi#8x zmsBBN5x~t=4E>wQyS~q+==wb;da9%yYfl2GqN^zfrN#`(r)z8cRa*pJUF)x7sx34X zmXvsw7S;2J2<^&BW9_tB%|arg+y)bC0dc{vq4&2Ua(^owk1z-%1S7YjAyF4<FYmDD&2$fl|^o65(}!yMYo*Q+d>$~Vv3 z&S+kG6i|jzwqZhxe2vK0>ZSoPGQ3;oj=nEtr;T(=%M>mV-OLcda7c8%i&QULToC?w ze-7_^1VzrAJ!2BPr)g5bp^dDD4*h>gt7XHoQ;^nz{}CCz*s0~|IOC5m;$kW^Ly@U* zS4=zTPAK9snhhKWzo7GTk4fXF#`GtyYg1>w@?YnRyLR`_a@%vagaBOSnvIr(KP>1; zeB0p>fdIsnwU+kf&9f20Apz!f=13CQtthW_N6X7SnW&LbO?YDlvG{SDV^3(vpkTyG zs~Cm#xAMwIlhAOfb6bUBe0}RP-y41F=jlb@BGRC3q~)>Q=mJqCg`{)O zNwD;T#->kdR*OJLIp96?!Ag3mGgoUXeu^a+dKiP!xOR+;?1l{S?dhdwR(;d^4b`!I zF&Xt_Z4R;VYYd&2(nyTIP`w#jE}rzkI##EpljABM+xGTo&>gzu=|S zN&;Zf61PzEFldAv)F40nIzJv9ULW3GSgS`ZY4u$_n>z&H#;t>WsbGI$hM;FJktU`cGNO77bHkcmOhz`$6z6 zz}^7D@?XMH^GsyfW+^d~UyDkj9-d^3bjy+14;nImZ`u_s^^P@K4ecL4Z#!#VS?-M> zVWp+C@IowZCKo&FsvGX<&KT+0sZv{9tHNl9M-VN;BzZ)t&}#k&tW6@v3p8S)?K`qF z1XHTq|DGx2lZVex@*qS{bL!0wxQBiFbFOEW`Qt{v6**lY1xq^cgo@bdDuTzQh={jV zQeZ2#x=r@iADzOx@}}2Dli!N;Qr&Fpr%kK9qt)__b$J!16C+G?t@I}!Mx#B)r8Fv9 zQsL&bW{PNRfPrKG)?lC@S}7n2UNBTeMmDZ@R_8}DtVZ@W_=2g6&pjTozGsjG%sz6o z%`f;f4GjyyoYJBmQYLpi(UVw~Uzh#gPI!|6SdE>=&`)HSk+aWLE}7Lhp<8qqE~YdR z`h%o0l?rG@YSeJbv??ZKWN!6r>V%WGr;ycQ67LAA>-WYrNwbvla=4D#06kClmw_;| zgpTda>`qe+t%;YghP}Ef)_K#Ix#MN58a((2S z7p|*TwDSDBdi4lA4{B}J-y*Olni`+PLyNd<^tljblL$d-p?O%{dc{LdVdSl`mQ`+YN!*`zt2Z%Gs?5i&>62-cZ1XK zUJs^SoFDJoc|K#~i;e%mK~cY)-B-VPB^9Q)GPZJGw5x>{g|)0DNUO(ZJu)xlm5&OU zel1OwJDr8Z>LXN*kC;6f8oaFks0!JIS47IOO~DqTfi2=2JI>~li~ z?|TD};7htwX2~H#GYH;OKxHYQ+Kl;iA+S<0OcbDTa-qL!M*k;#9eRnYTMj%ZxJ+r0 z0meht%A0{5YN_YN?}yoUG-+g+MGPT~S}`DQWxOjC^$|FY$|Es-nR^xvv~H)c(56!E zipAWKdE)BG6LLY30e)AeBtZAt8Wl4w4j==xn`O#1Q|b+%)JDckYh{xGVk|M`9vk&K zz}?Hr>{-f^^wR;ZC-T_=4QX4v;l5DQxfEkX@Pe|C6gG`)OmOq*-v}f%>%zeqNmDL5 z;-GDgy94CFdlR-2zc9MUX}~YaM7x?DXqQYMN#@yNQC!>v)baEOwDE3RDl=~}L}x8= z@X8H0T&MO#@6_C@(Lv9-fD1)_Q5cj&#{EfkxC5t8cHPn2hX%WKtndkcW0r7YAPWDU2%rxOsG;VGF|Z8%AY}n+Pk3ocf|yY#+?u# zPH#;JC|>s|o(W{z5I6hnJnKWP+YDq3-GS~6$Rqog3kHcIyMZohuXrS)y>%;f&!k~6 zk#o8FZV3xMwr(XkEAkB`D1}-MpiMX>Cz<+LPI?5xPeR|%q0i4qF@&7!*q1E#19~Xy zo_dP9mm8oiZDG;Gb%5W56(ylgyxbvw0cdbxYn;e~nOI{Du|%~C7yVN$*NNjaU-(e> zP$4`cWw*k+YsaV4Tb2tses|32iRA!iW>Z|(ksZ{z(RA+(B%6zPLh&+!R44gcwSZj0 z=1S`69_r5y8}wz6dpPeNE*|gaQr^VkyqO-v*;#ttPB*`@bbk(_Z2YJ;)dT)Md9l+> z2R)_*mZQW)jMYAxCFmok4o0OXvsI75|Jw5>7n0D@$s;p&VxrdfmYvdeW1w%a9JjMB z_u4W2rGfHTa5pm3rf3A4tk%FB zKRN;u*eFcjYKl)tUnp*n&J17<-NrmPYI2vI-(u}`p8O=m zc9DmIOpL*c3C6du3Q`#%RRR3+15;-_PuBV(b^BXC{;ZZkHH*DTI;9|_4FM&j^oR$kD+v=o@LJ+7@GQdj#HI~yOP+a1cy3e0tvSJ@H4 z0w8M;k%a1-vC{*w+a_TkD`Po`HPgN`l3*E_so`u1W!U#m@x;(k3TzaV&ia}3!v<=k zN%8o}c|v7=!nqy98p4aPUJ@B5rbFxSP>%JY)xyv-W7G2np zPKKaX`Lll+m_2lONHyiAK1L1Q39{Yv+eoYCP&U`H4L2L5B$vV)q2-W8=Y-=wXW5Ie z7UKKX;rc4$wa_X9a<&~{Tl22hFF}_DCW(bS90DbNaU-CFlid z=QhLz@hrZl5_1b)a{*?s%_K2<{58k-UynXV&gmSsZ=#-BLn? z3s2f!uIH}=%ZXEOmT0_#+}ShMeo0Z9i=@Z%;Oj`N6e_zZJ=<0LnxnE4fLnWXBe|?uON&L^wXX+b^DPFSg~(7j3sq)%DJ&Y%tbRlM ztpW+b_1p9Ad1U#)hZpeh0J!q?Jueywya)&DOGNQDx$SVvjpzvFpD}}{6iEc8tUgzF zuONFs^{QGr*k$3NR1R43cV;!4y4z0o6a|NN5f4Lij|k06IQG=t;1U^dVHJ}DGW^6Q zXYEWndOu)6IoF)(rP^vqyZ4T-{7oR7uhlA5o5+_!_Ns8H2i*lvSh45ABOMal`IT(f zCmj{WYx=0-C!9fLpv?7s?B~SoIXOT{;_+ZwlBk0~F#qM#aL`12Bn)-F0E`7RwK85n z_k8g9dI-b2Pm0wjU({p@~Z8Ab=kCkZ10Lst}qCCkY;szgyt#F*0jyga$ouo zj3fIskLAUTiaGs_;ShM}pA14t@VB)xCCAKZijc}CBB@A)cy$E~ zV+tr&x_978+qwRaA#jY$0u(#&=+PmZ6gvSS6y)r`T`7rgBp$W2s$H|+4xJEY*dJK5 z3wp-lEE|9Qlor2l$qWjvl}arrqDBG$6b_jS-AcKVBJ(GD9FwMu0fbY=Pa+u}CUN!( zMrcmgU=y(Zopd@#=il~uk~|fXoJbYaNXZnE;6Q9frtn4t;crXYFQ==7lIg)jeWZ7< z{{t22ZjnoXk(vtC*CXajUloNSUQlDc_7?)k2#E!h1sN#?NF~D<(OT2r?1pGXZ_x6G zbRAL;U#v(dR9xarX9taTvhCZcNZCDQDA_$DBrlux9Fk0=@}|fLu`6tuXmHK)7=-FtNS%Y^!!g8`uo~yh*PUFXQkn;$sLWRkkCE;D1oBfMx{wt!MNnGy1du2I=ejIHaZ)bEOXrGok4qf@fw*0@x5TBBa&pWHb|uD zjtF^ji{Nhu;4x%umlPQ3EBmcy#FPH$0^Gg5Z$}nkxFFLMprUv>92xLWT9U7-lOrG= zN2oHBgy47V-(HitP8qrATTyCVcsyh+SSn#!u&3+bG4Nkyj1hQOnPJ>SjAN2`I^K}I z|Ef+qRE(ssnp%zvy*2N)J2NFUo&O3>a}TcgwjxV;oeDQMtB1se*^H1pS;8A$nBg6dbKAUG9*0GGC@ zM3j5To!ZTY%wO=Df{^9`e8|mD6OiNgVc+Q#^oQp z(m~pEu6H}y5`iV*d7A4n?IOvYbY#G}lfC;r)qm$yYUDqU((Aw=lx!-`zs&{j-Js01 zk1b|QTeg$PAYX`+T&xs63Mt^wPG73N)T!{mnL}s8OXKG9@$vF;eLi^0YR(sGO2B0t zUAWE3JU?&I+f0MQ$uqMs6jfP|^!`%9RHNk$Sn$SfIQ z>N{4@@N&kb9wuoHu`y_Dh5;|gXnZ%pn?3KAk8DX$Z{{(o_kQEs84V*8vkea7>3ji< zJtgE=8N3pn7iT>O{nNKRck8YF)m$Rm10~?shpKQn%U6~O1UBK`0DLm$7Gz;TdBG-h z1dYHmX(|UlF@32CGU`RQrzb}Y!@wD-2pz|hFgm<9<*3IK#I1x6Mi(fJF1mT9Cb`$E zYEH_rfYC2z=g%6%m51X$x#;tSh04nmrmShO>eCUR&x?eG+DfNv_0QeIX@Ywe?&G4` zgt!(dW;wWR6|^Y#-wrd4&0tfZDjh%TpJsL8FA6~U{j-}+CGdrMrIrffw6)J+l0HXU zZmqWm!OA<$aVyePSyK2>X@6zH*qcS|EXuk*I}>qrF01b+ts88vIw!M>=mgm>nXHyQ z5V4xCsn(cm9U;IG>VVhK4+$xG{WZU7lyk91iCuA6AykE*SzHfl#F4&qHY5m>OVUmA zudCM>!8|WP;4}1fdEiu@@}xgOW6JS9&V@kR^LQ4}HqFC!HIw^0XG2|m_^{$Fa>Uv= zU>LDClhsrz_LX(XjbiKb`?dFuS%wnLVZ@7_OEN~xtgm;*g?@LKe%!SBGYW;E5ZZy6THw=I0)5S-u; z+}%lVclY4#?(PJ4ceifb-7UDgy9akjrt`n&%)K-B%=>Mgr}nby-c@bYRl9y`ZK0{h zA8Gzm8LRx^nDK9{L>&mV&H>;R=!pJ@ayW1tP!*~w{S{+OZJ&hnHQ3P&U{{u$-z`PD z9=>*b?+6M(ZS9n8crTu|mE*7r_EXA1$t6|9*M;Q1!!{z6)3)oa|Akp75`IQv9d#xo z6LqEs6w8tNPC&aJK{Hf5%&`!Pt>po;Yd7zHZ~*##@Mpz~9@v|NA}nE4KnC!v{Q?3kcmn(u=ULtgtY zvwQRHZuMc)alr|o_;w!uOe@>ujAAL%Pu$aUb@)VDeui7P8Q>*Eqg4mk4>vLkj$#(A zQrj{9@2&w@As-?z1Mcc}i$N*cO$LYR4By+-5JJtgI@ALCfoK@&G5NJtP)J$q40!M} zwNfaCNre5Bd?Eirx0l=?9|`QKIIM@%$WgPPk2tA*y$`s zItI*bjOV! z^p=C<=$V6LEN|`%fLY=X=f($DGAAQGvw;$Y92R?L!?VF+m)B;Hte!mq!Th_G`;_iH zJhnc$dmh32s|b^P8cg+x@USwG7Y)l&P4x0qrs<0R$EKFPA%8aK!zY4e&kgweLYXCp zunUPXd>hnnp{w{!8ugwq#uU1Qm@X&`htRn7)$PX)S7=*~_Xtb9b)-S+M%Y7tFj3=S zvfr%gq?@nQxve!aUzDctNA^S5LMWNO=iKfIMhzFeaY2%N79>Y{VO&ay2;$;tD|+5w zwk-dAx?Iu(7w|gS!a0_fHv})|iU%x{OJs#>8#@OwdI~}O%2pwL&4k4&Gh=o}HgxI| zOkD7|8C=ES_z|M0GGnSyk)0n_=rp%^06!5x!k?>`B)iz3g&&v0fe%$JxYwkF28W;{iy;=~-SwCZd50ZzgwPFDN15zdLeairQ_U5I-K>r$e!k zf+4;S(Su;j!JT1Xsbmyk^MPc>aWQFgZfxU#c36hs<|o+Ff|7;V>|%FlP==SCoc=5) zwbS+OnGugnu6@1K)=_YFlpbj^d`~CO7~@iB#CS{wI}S12qC`j;^3H(~u|5)fk)2G; zd0ZXhw$CEKBC7wm>Wsc`2ySYVPyLGn3q61f- zUjSy6RIj4k^g!6Y_2+NG+gOCUg`(z@fZ`p9a2Kj1vxdbXY*0?6cJMPLbrHw$ySoSk z1@mib%WJEID@W zi_^A0x>^#F_XZ4>jMN6`#1PylX-Mz7^rnzxgbvin$m|JfB}J3;jz?C)do1LyR*Ls= z5Eyi_>=rk(29Qt1$d_71=ac@y{wk#j39*mu^05Pl=nh2!-q{PQv&`-!QMjgl_Jl#^ z9v^DPHux1ylOh0lqYZqNnsT#zAv)?g6;q50Z_I|esDNF4l8jL~6fY!$PWPErS}rrj z%n^Y-Ho<;+fm}PUH|8$F^0f*O#}?iE%WVrW(oWIIPP`r>$OC;VAIdJl$u5ia%Jml- z+Y8rIB5qePohVY?Y3FIaM3Kd@yz-rq3np#53zBY8B%`BgDKW=~!sT(5=et*@f#kC12%Cz+2gdJkHpfQis>JtfV8Z)UxA;3P zH~j**AG@Fgt?ScyW&ExAypgf3xj3Ew06*j4p)| zz%58iZ;20PBdRGXBC2N2V@Kt5{)Us~f0fx-ZYt-vbQ9y;wSHE(bQ5N8;2eeFP11ci zFrJZQCDZdY+4rf;QkreYNZNSl#pAFP$#k#hgD`N8k+sfNMA&vMUNMW4Tve3UL!(hv zE#_z^7VB9c=3V#gm9^TR$hVoKE(IuPvrU*$!HKcE)}7x11DtJEvP>4qd5uJRCC#&2 zdG_%<&elzKcANcn40)La9tBPr#?KAPjQL6ctERW^k$%h6{alm|sj^1MoRA4>HbR+% z@ig_{V=G#3$aXK;4S6$ly3*J?k&bFSae6dnSS~z%YolyDaWil`2n5A6H%eH>D9tR& zFPp95#XxAws2Nye!`oa62Uh7)*K#;Qk8!I&5#B0qLRAmi$5o_?Yu%l#?*92eWvPp)>6 zlE)7f?n{cJuG+&=6a^^!uCVfSkjqY!^~CH(i6tdyN%YDw=_YN~gX9S2WR#jg_$;HN zu4wbI(WP&EvhLpLCXTj)jb-Ykd`+yEw41qF8IKF_mqM3pmw*&L(im?m(+w3m>$3VP zvryUX`4~)Jz?J*;#^uhcF?ksuE%zm$X+Bqr2c-Diyf;*=NBElDHi}C388aRmOZIb{ zT|mzU#hm_2)t5Qkfwu6pFy>Qj%s%Pj*%h@be`c0D++UvqJy0)6I8Z-GIAFYG^kdgG z0At@7Hjmrm>Z9I&qR-SjD0t+W#3=z`o6_l`VZt{RFipy%?3-FJ{kb{k3&&OMvtwKo zs1im0KdLqTUy4Ol#cVBMwsvF)(OYMtcH{;H^omwg=mv7Na32@OYGoAu%XiAv>?P|k zMpfWP=69g`+P*=2i@%v3{*?FRDg3A5=3Y~nNYZ-_!q%qj0P0Ix*!UrqJF9@{0C@-v zB$v_D;kO@Z^~sans7&~G#6C!_E^Wh`6CBmlZWYUl5eNjzG^$Xt~(haUc3zrxq( zq&N2Uc=#Suyxt{~>T^&B9E)9I$V#lg(CF?6K3l?_V)0xVLuPX1iZ!zw$10 zxOuuIN4>r=#(nX}zrINDlvt&qJiEQ9Js>zch4rSJMn)*QZWw2C)xM4$D~xX&cP>UL zwZFvfIlVh1h)4OG$NlqwHAi;*!UHo+&kNQKMz#}fW#(c}uogTb%^5T_3Cpyp%)Vui z)uMA}!l6Jo51zp5pRLQ&&*+jd%&DQfqp{XD?YuM@8F%jo|Eu|9nHt)^J+(mw6}D?0 zs}F|Av7Y-nL4rcPH#&%){8PvtE2t*XqUhr|ZUFOBBYK@H(p?Kk_Ovcz?4JQ4o-z_n zknLKz+G*M;YyG$i%3(Ti_mIQVNpAKp(J(&AfLqS%OR;j0!`JzMSsoSIy~-J~uAY+M zHX|0^$0WJ2O7x(RuC*!XfS}aln@8M4!o$V2?T70%I2ATeQJOKZfK&p5K?-fjYLlXo zbrj+*CI$;m?wsj|4Ng8j$;ojgDco36IuuLtvdp5#n7y#PDK^6mI@z>#0($H zMGa5=O+B=DD&MEj=p$Ni;h5{NqohQQ z3V4VTIaIK?UuI^*%!r&wF@mz;evDs;?8#}Jyt4L*Z-q2Y6}opYce99=Hio8422*ep zc$3PdvTG~PsLiC4f(7e2?i7RL-QR6iAqxoz%n*|Z2X|+33X2Md709@&NEOrnB7nrO zTUhOxEF~bZ6-}qwpoIF0(N`tmkfeTjVvYr0si!BK{xRh2b9frkfh!rZ<^P zG(ttk4=7HeiJkIvam1s|fef+@yP z!E%;+B{Df7(ee;2R3u<|2LVTU;V;gW{Kz>N?V8W!qDq0D<$DD;Q(M2Pck(5969@`~ zpzloDv<)(2V6QwluGe2aq0bR@0>*bY5A%N`nYsa17oj(udXlH@kIJ95{L~oT64r~~Id&H6d zn=Id_W?~nu2ZNi2@QY;NHL<0Is;!COT1TIC`bZdD!3w838*2QcAIE)t6UIHUW6~>_ zXLNI^wlC+P-s{sq{o|(rR)zvYEvQRj)+hs#4DZ_biSOSfkGSZ`8_2NWL((#E5Jul) zewb7R{P;=L`hA)}7L=l&E0gKXX!&cD`AKJP!&%CCnqrbKjr~dIvu$}eu2*KZcP8&6 zyXS>vVmJOUBA*=LfBC$gm)uu7k@I<8q`6){cpnyA&S=}U)w;&`A16#Na5q*|?pG>+ zb!AfR9gJotmWg)HMEUGat&w7{~nx~E70)xiSY(Spjtg37}}MI}IEQ)Swqv|$}( zMoXXQ4$??Z`_jlSe$u*KcjBtslA#&)C5No4?~%A?z6|r8@IXeg;xQ+P;c$;N*mfNn z0t1O2fp#8*1pr{f$3oylO0P;Y&&c}oZSwx8_*&4q#9F4cZrzpeT-~uj-Wx^0Ak6>*$bz|p&ggR^kAV!m% z-?xKe_AB)~hTP*0JcQP1)#~#XD>(=qO19%$gd);oR-~dr7t-d!KVoztmJFrQ{T9{f-0f!y?!+Q zWVSaQIB7P9bN^Bw%JS5JIS_mSbIsz`8j@lc|4zp6{EDB3P-GmAjGxK9b6I5;1^=10 z=IM#0jCs8pg2-AO$uv;Fk1K68U{E2=1XM7j+a^vm0)$mP_R9_RHZ2@_6sN_n+zV>i zcu{9MpRimHN)7g^FrfvnKmdOF)WywgLBQa~i>SgJpr(LxiJI;p%xpO|Nz$GTkg_L6 zzK+7hn5J4ngo`(!)~H`FL`6z3Xvbovgkw`fS*R9nMMjs4cBK%5a4HdW%P#S7af-95 zD9~URi(iQNVM!|p485J>Ca s}2dVrrbS~Zbe3~UJ0@0DUf6xtM>Z2*rS`Pr`~M8 zY+qAFhn?MNXeP-gIY6vyqnyy!OvvrJ2LsK@=VC(2n+!O6jFFXFFfdsoMeb=H7?|m> zjw3@jBwK@Iw^GY7U2}$78>(=VYu9brvZ*(S)ZMYd?pt$T9cpyzy9CE&+lW~L(NrNF zN0~5*5wM-sOo~LCNe4kWF%hiJAt0)XVolt@;M1-dV2ZOMpZdbnmw5*DO_#`o!l6;x zOb}cX|MtZoJ#q0kaB?No2J8Z}{w?Anm#o9R41`o!WCblg_FZOf+coi^JiKcvxnnm6DEmh+ygy?MgBToKxm1AHo21-+j&`1d` zR@Bo#30Xba>P$K+v8)qMiEvrNA+F&?9kY?MHcfRaJgKyWGpH2q4gGQ;rPf!ZrI)H; zu@aS3COvu7sTPWqsJ=I@hKTp>;bY{F;)u8JOa7Ex7jd3N;Lkm(5=1T>(X4p%%mR}w zx!v(2Q;lvBes5=)XK7~<>pngIPM;ak#eu_?Fmo;x(DlfjAQ|O92AedlEutz6u`Kd+g=)}A zCCodt@5klodJ@ncBnPP3t(xYYj(wc?)# zp;l6|N(7fT89zJK@ogro*^inIGML!bn@Q5jj>EPs(;^b+*c2^{j&9*}{PlCUJWsV6!xiXFhpK{s=8!A&{0`tBjLm_z_2+jmZ#J(YV9JEdc99z8guDQ4z zw>g;qww>H7)JhDnZeO-<2}{$@voROb1f`)Fes|Gc_!vzMo>x&TZ%)KoRG%v(wz7zx zhjm4n4Ioywq(=|<3`ox;4=d|PWkjjvAAqc!z6LvIvL#$`r+dS#&+xfVll*#0HMTcaC|#@IU$|BI zgs`yc6utf6Ch+&mLm>7^k>3yCuh;tTeLid){0Gz_RJ+M@H%~@dVel^f7q_ps9n<^8^Hd5&{og)w{YEB zcEq;dz)FYgAzka{Ml*EuP2RjJD(Rzw>!{^wwU`s3*q;^|K72WfT6#YCT^u&zY`*=H z;8a}qgE+SeZtiJMI6oW}&n%JM)@M@a%>0=jC$QvVufUXcK3+3JZ57(&(CU@P*ps*o zi-s4-WWC-oN8$s{ZIHA%Q@ofiq#<+Y=_DGxrO@TFW{s9ld{mxkk`0>g1uLZn6LoQ` zRcwF;x}H5iy%}On+-;uPbV?(wOpGd>sX31G5K&v4g^*~0V>@8fMc5~xd*_tm0jrme z;$-*sk6)+%Pez}jpEj=Lqp9K%YdYuQaW9{pQikT)U`0I@kDiyd^;4a0n}_GjkQNG) zA}pI)9WNfh~oNKi#}QZ7TKt_J>prmp%Q z|C_o}`t>s^eI~isP&g?o^pji?9wqy(Rr<_A6)4aP3Q*xwwjKR6rPU~~#LDzIR>IHJ zl5@x%5)3+-K{K0&$}bH}__~gV6QbO(P~|C=#I%vAi|7H~=W>-o?;!(A=>m^a3RTnT z(dXPR-D>7FA;Weo93w9A`9~3sV;WWVCJp7r;V1K~NN{)0`@!yAN9pRAX+%GaxT+Q- zn*3n|IIGS8ZgH#LpWH%3^f><;zRJ&>Tvpj!SuumWW|stOnOOcv&_32^OMO?_r`REV4zLnxfkQ`Hn6VLSoMXTo%7k;0^kPwV(_MM{0& z!kf^!LNX%06cO*yb`egPoIl1d%w2gB`=g#%+d$|;rP{`BO-wR&MVy!XriBno${n6K zx6ALC3%mwmi}Z7BN=@vrS9(3pZVHd1DJQkB9dwM9-PtJ-5VxEh5A2`YE4S2-HYB0k-H&m*UF&)Ay$MIDET_${EzE|&fTfJx_?Hgx}_q0sb&cr;GpDp9JK4{9!WLcbYFyOLYo08dnGY;Wu}HXGA!-9FzMTM zAcOdUOF>0pAUcoPnw`DnBZ2<`Dz*zWt-T;8I~~HeYYP}yvdNvjaTkwh5SKMmEiTh= zn12qTsur|%s5M_)M3F;3ieXq-m3d@?ekZ-QWGs1<;zJovnV~V&TWjt>JtgqbO6Kr% zgNU~^@q>e%Zk&jV_R}eJb_!cCR{PU4IlrjTe8A#O0$i%ue2{wGTPyPnp67l+NyhE1 z5J@VC{URLXvO?^F95uS#m&@d4uu%6=3@^!OBs*D`5iRIlvh=>4gTddz*1drYez zDrMXkYHqbXYm*27X!tao-@Z(LY$KtXDdBLdBW#sVy0l-kv!ICn~_{bP8giHGJ?vC z@O+qJal!}_g&iAlLfIFD+)+*Ls0*ewM$z)?_o-5Z(LsS@MFl~d_IO#FcGQF|`_WUV z$wbitk#AVvcMa&7=kE1FU~(J1znL>*;S7kd|CtEp3wFa#b!&~fe;$eZK%5aAf5)X2 zhGe)a1Hd6Q@?L|#=1R@AY?FjSMiI24KhDrxER~jP@3V3EGM{8WpXd-Fa+e8sWCF^5 zuq^cBSb~_V8Lo5o83^A`@V$Zv{wK)`y~=aAKybW9so#Rw1wvT|HNQcHe5CZcPz%%+ zCil5B*QUvkJ6y?ycEE7>oy{6QF=|76#EKrfpL8;2FBi|z1Kz}`iYdcid0j#*Ku7XI zT8+;Gqa1M^oC_D*iV|~~zCun0b5#8EZl@-K9!Wxzf+;WJX=r@@{(oBeeEr{^`K$%} zvptFcNP0W)rz+lV=Ote(U|0EG0~%ONJV-&Dm7Pnc4P^zOpfFMnN$#U;lC=^3Hzr|( znxG>MT=qh=3P(+`O=Lpyf7eXhV@S9UW4%&A%GbZWFN{*-akQ45#J~RztQjPX3W4Dm zhpc$2;tEE|chqw9M@4G+kvcHpwWk4bWMh#hyrv^R(!-&4C++NI`G+t|A-*w@Fn{lv zgf<68v}7Q#+(jJ~`t1*$Y92u?W=pzJfV%4^#lN(bs=l(Bo8de)>{X`fOE`OumDIYW zG7Tu5#2pfXNd<&mrJe2YwcHT-NV;ERviBn;JdilFkGD4-tE_duIr8{0dMCr9Ixl~G zF(i5rie78xgsEq+n^~nxkhGJ zrE?+G?zD?)CWRljY||ezVmdQp9{KbW8~>86fZ=5rB0)Cv)2K;$yex>ZlD&CRnR9Vt zE>IyxMCVrMXXaYJ_<;Nay^!z+=BO|R;?YNCOp>U^bli7h>~_Ika?L zVx+mr*qmL6v{LST+m9%)N^zbjs5_rPlw@}2UK4>-g=~gH89c$A#SfmteIO*W!%%o~ zleyr?E?v=?s#KEb*)PtTfcE#-+~9C-F?h%erLPpb0*8uK`6c6?Y_&T6L%}UBsfB|) z_;ZSxVLkl?Iv;qwZW;vXg|mARvz|qmcvD}MdFJa?m{hD8Bz;4MHBjnoW-$k{xTslg zRL>mc->oq{YQ?34etRP)El6wLx23s>N?2;72r&H4Rt(zYDu5bryaLr)CPUJ=OOV#e zlNJVI_Jr(uPU*$qCdJ+Jz^<_L?txN*li~RWO0SuCIKL!IA&bs+n@hImv%HrqbRid?OTUkPPGT& z*-ced6=ry57M`HGBMN~X=t^VHYNiV^bBP57y_?VJmF8%Bx z&hy3tqNdaq(t;INfcz7bC--p>XCxRyc|iU+S?wR`jeFru{mdw%%;7`qm zpE?u>6ji~>+&O*lhw#mg72SXw$O{Yu;xSZ2QK}$0aL^Ik;x0%HM#HEbXg!bBO}XJ1 z9EgOzynTUn8HpsExu`5hVYlz46o4{?R!;STe~l5i_8osFqY$;h3Qry~^+z4*qI`u| z(}1V}n(}tbtVx6M|Ju%oM7ahJyXTAK_e}_XB*(%{x^=vASHaUn&y2 zery6VYQq@0aJ>G$4;%(qItXP5!xl4X(15bu8;wW>D=0rHT6_&sj~zcrPzQfDSOjR+ zo*^@UG7g+lu`07mpy|vl`^Gf2YC$eyRij%f*bfde>PlRp0W4h}nSf9RFF5Uc)aDZs zn^}?a3YLY%wftVcUE5Zx_IifwCXsXa#{C!gVwhz_Q(Bvj21H7Zk?$gmSNyEQ*>68n zt>C9%U8z?CxZ!LCF$zJP6$ZFcI4Lo_5|k)OWB|1&8tM;fu}{>ZG}Q1)@IZz9HARjD zbAE^>dfud#V4B~Yj1Aa4>3jD3ra}4BA^fShl=pQL%ms0#p{I-s?zv8awzK%>cFf(% z)WP1r+HrcRmV>%?-@f6)@QH5XoYBaWw@;Mz4lXv5C`Y*?T*H)6F@2Qa663EjZpq2v z-Y;q?7B2;HTiN2oYcXANlu5eiS3!webv>ui**g@e8_%R&iV!4@U6q5#D^(DA1q6{- zv_WqKn2w$Vn8xxFuHa-Y2!*lIg6y(7XHr*SVgrLgXQ$IhF?L(1-=+;o^GbWr`)t7k(;&YWBFHgm+^#_&z+&UzTGzB#Yi4gvF&F$FTQ&3H)>LTUsLwp!E!dBO zj(p||Yz$;vs2_n{`A-%)bmcue0{;}Zy{JA>0F}4BKl zSmPSgX$ zzEo-Rj^U!XmWN*x4HvHsez^U?EwcPFIT?1|YmJmRW4EtRQuI2Bxux9a?1n3yQX@I+ zZ(1&WW528u0w5_~DkG>WlmMq5s-SrYnq78Y(LH8Ax1y2i+7(0bg10D$(vc7-nOmxV zbveo|(bNr&GRr>Ct_+YM=OF5@P??|YNHr@NPE*8XJJ#-JA8kbOkVsDmMnO_;bjiss zz^&?CU+B%D@G(h0WNQMi0i{wz&la3Y-;g)d`LvG@tD>RFB}h=o6u}C(9F^hKevLBHzeg7LUR z^Y}o!N1kG!Kk4FRXw8Ay^n?b%EbwLY~l3=40}=ZO%o{7Z{Jmz|ZYoaC4ks!^|*UgP*6GaX`LW@sn@PRW8s- z){4?`SdjRf2AAM=-41|S^hjDQ9%DkYkbwXvtPFvnNda_Ldf!RB zYak^a{b|Bb5!>J_CR`l)q_7d3{da`?T!T+3_l#@#$!%koM)7S9YO0wW)8RP?LL5@+Dhesa#?_g zD&;E)E&2zjK!RWRn5cm0;W?hDDI;M%mAVAf_!t75#On@Q7vw!g=QEDRJW)p^`Dg19 zF^b$7mk@`1*&s+$w>VYggVzQWIkza6ozK{11q))CkA3C8uSC=mWuhkbd+t;Z$AWJI zOF$Q`o%AYr3KO96BsNpQ1z&a^@W_ghxn)C|^db34+loSnNNW^~H)S4dx z#WPSDLSwIGqzPyLp(Hg%LBdWZUQ+JUX8;X&Qs!soLxKIR&|!p6O*g{Aww;Ql^_t6R z1~X5$F0jML<2?9zQS~=%)K5>0UilwPJ1QRsGZJC^-|h#P(Cf=n?kr7)&&OMT``)jH z4ypqo>wLXUs(~z6)pEt9Bp{^yCycETRq$AU6P9l4L&&APIuDuRk`$Su`gf2vBV%@u z{(|lOoBqd;-rVm)R=sZH^eg?l1OFQQqPvS=#@(I=4}T2;otED74~m)!@WFweYr+~2 zorELg&gegUEk4jy zQy931DLmRXI|L2@dHY>KN}zR{nPvqZ%P4R;z_NXNz@;TjN_k+5)K4t^er|-u)&Ubn(<30kH79UhDm?_Z;gp zt>Ygy1qg&@T~T21k2tXHn(UhOZ}itM5DnBTfepxQSR1Yu2kg$sG5T2ktea+i(k$hV zvN3$n4CRl?);X-bE}lgWCVFgqF;vL<4=OH=;L&51q%tMu)DL!g6Pd6rlX!>gawnl^ z3xbfVgMKH0Z3bkVvFs$=EkO{jehK!twdDvT>YAm10{_iDICX*7QDl7)TV01<#%C62 zBf|xQyay^ia=CE$&5i4bG3*QQ)M?P_l}2JpD4jLlS2^66hlbh%+V&hthei|q67sx0 z1evRDP^`=Cg65Z2jpK+zE7Blj}EZ-gYTa;U$axgnE8`PyD`9kEutZCy&T5&EH|-ROT5uCY&qd%@SOz< zRnE#Ep+%Y(($P%aO~#p%LYpr@OR58MF-oNgM?7oS=0_mrkj%Txe17SYU4*WOXPwly zfDg~k3OfIiydN-|x9P$PzSt3d3VK}^F%|=k3-JE-UZ|KW3t5`a(UNV;|@7}?rN-poAuNsE#7~+ z)e@B)d6`%LA`6nkI3Rh)`Uw=70f42)-W_w$#K+zhd@zMi$yAdNoCl_9ig5c}o%|Hw zzK!e5hMC*-9{tJ<>b9)Y(vb_)o!4Q>7UZnwA@$#`t&}83t`3tJej(+wv=ZNjfGVS- zq$5U+tph>Dz*1T?47usgq(P4`J&%vwmXTRx@n!hcdmna~BJlDx&i!(~&6l?+ZsgI? zj;QR>(S|4mC+x`4q6AM15ULI=NC8cR@Q+D*CUiccPJ6bRg#2>T?b&XfJ2g2E@Z{!H zaK2;bP%yq@XIEHZ26vJ0PHpo6#lrHXtF)tZsgmwCTzOCZLq4uB@tzu9Ca!Smo_b6^ZcZ9>L&4B5TN`ks4s1)YvMb5_x5+;b z7e?6g$K$t0&+jq!bO`S~g8Fd8n8Yt^#CLAWqMGzn`xWMw6#e3nYj~wMm$7G66F^T z!=(@@ba6Qx_FcsTqy8?h>Vu=>2Cr(>zG;aE-il)>J`JE81mJjp2_US_QRQp&;yYz) z;Op`H?9txEtC!24i*<_mBX>0BwZe$%p~75uKV{`z2QwJGN`6F=~rT2`Ru}>$h`WGT>D3^gF3@NY=L5+ zfu3JoXhGG(Td~qTe5!lZZBk`jqx4;LxpgS;tmc&_v|^u5kr*3D*3q}bQSzJ<#i6zQ z1>B}IHRX>`%upazJxYR0c_9}Dx%r0z?@nnH-%|39Z||z#JDKK?Q>ZFs8qM_lG+fzK z|FtxXsrzYU;sT#y7rjTck`}#30qmxg8#DqMohlEx0Iz8s@7FUv=M=ZK#d5p3ummxFDVYo+TvMIA)RdCYp#_xz!Risz5g!t zd_=Sze5#MKJq;hTSCRT_&>vGT^H=YBuQ`VW+nVwqRkq#cOH}?cHoZ}fQUV}~g#4Ba zW}f9N>a)2 zE;JL9IzAbJZcuCEllfB1CzB0oUqCUUMm`z1JZR(+iHl!!yrU9v+yvh|xcV%ZpetecQ7sgaA z59dX1ET#ZXLorz5;+h1dHbyhD3dv}RQ)f6Z5;$>~l5ADta@&*Hl8j68n0iWTEda@S{}Wp#pXilFK$ZYWtO zU2RvK47m2@Gevf^M%_h%6`dfDc8bP##y<2-FfG5dod~-p`kr$i>3I1km$54=W0F zPn5zBTcYJ#S#Xr|#=ntk#hVo3MDA4C>v*pb!kgh zx-4NWw^EhC-jQa}boijZ^z^P4&v~c+ZQxyPhV|T*skw7(WimBA`Q`FeXYxnA9Dy|S z_LczQ80g=GPe4Z3bl>DQPL)ipf8@xLMqErmwT;7vX33#QY>l$zYH~j7vnC+^HSq`} z+kb#$G_-Qo-@#O|`G;Sxp)6MKi@#(G*{GmHNA7u9_ybs%35pW4XIU zZi>&sSN3UX{xjT6a|)uDu_MhOF{#FmaTJ-Anl$tDEG z9v9$o@u`xPg<1V8k}xmA;p7z`8{(${8g{!xSuFo3z-tmvEqV;ITKKIPvqn@*Rt)-( z!p^$>u8LkG8UrcNoaDCl(|GoKckP@l$CTYQRfgVn!?4R^na#(vt$Sw`L3DoVx0u#j zpuH^Nu$h+bp37`Dr_i^IS#PLsetT5P^zy=xh$kG9E0%C1Jq4Wu$XuqPhWcSg(U43O zz#E>p*9Cm;pShx)_KL3-PM0l*(8ugI5$14ECXvl3F32Tg1Ef=+1F`>yM9aKg!NAn$ zlk%$EfZ$1abhIKGA6yj4SoNZ2(?-Gkw_$2_Ua+7Np?%^WtBtI-;Y96-pyvLfS)bZ^ zsJNFZ5}}PuVaJvHZ|4Lv1yjwh7;5Lfqj_R4P8v+UC+d$DP_w2a^Wi_J+fA9njFD*rz96M`JzOkvw?M8JqhY4k($X!!7Z(o4RdK{vU@{%{VnzfqyxRgM<~;uXQeq zaV0tYsY`F)*Y1riPvc5H1h8tZzxxas-w?-zvG#SZ4w!)Q#SlZ44^@UO<>K_dW+)Gr zBsPX|J{l86s(WmNZTJ@dd|I~mtx6X^lGtQWFGsd7+#M%vfD;%L!&;O?ZdkRNb z#hKJ4d&Uu^>a<>UV>GBeIbx5E8sp~FN+mp9y)gRbj5*}3;8O?}y(=6)^Qk-XM=8aK zJh$P6T|09i?;cxy>7QQw7Lr%t2q&PikLt%5{9YnU819KQbOOUFCN<8fKiWTyS7QP? z&|>*jgOru*;Phg;g|#uOcHw%7V(}%s*jkI?82L|QnugYxf^SI$t?32datm6E3cdjf zTB{4bH3n|z)b+6%DtD5C{zTd`Zvp&OsR4n0%e+I6GCM*&QI|>6Og-Zl2B&19z^i=F z&!8XAMuc zs2j?j3OBlGI~IsT_eHyhGX)mPe~djj7HLqG0Lvex=weM@iFGdtBsIJd<`%btU7Eg< z=w9lAT>QC7k?^(Cv{-ha|4>ot-2W|u|JtNiQBs;bxW;4OaM3ka0ZmD0fD4moz}P69 zuqiV>6`ACf>2|WbdR8U*;-~txd z6)!a()QQy}^pX|77ya;x)&5uspn$g0lm}=4*%Y(1s zC{byHBc;xX_&Cm~3A%6_Hj8}IPu^k|; zx(Hnh71CNo5~EcH$)*~Oxh8w;QzIx|yUY}4M!`Dd`VnJlzxVpkNnts>}dOG#D9Tva#5>vLt=CRW%->c6n*MY^rHN!|5(23fmI**#;=-3r+ z+H*Kjo&ozQJ%Z#uotQ-lHK{hvaeiw70UJ<$7yqS%mTKU=G^noBKac@ z^dwKQArn1&mQ@#qVR_p*xn-m5Wgy*iX^~WmNM8%HTsr}LcO_u%8LUjIoQ4^Tb3YB6y5IQ`2ldjPpjIL_^$&HyD? z#sptaoBe!RRzN-8lo(OEhuCc{!_(S*!l{B2&$&0@|0R zQt^M519kQkyXAnjPO7FCIjg%Q?Kjo-Dg|4=q_ua-exu~f5m16RAxx^4p99? zpsbS8-&&!ni;!8P?8X#E-Af4vJO-v}66$NC8?0+8kn#S4b~5 z2?Bwty483@%C1w9Op=R-z0<5xl&cfel%?`!C74NVMmlsFY+rzl{bvzDx8=&NT-33& zi>VbrLd7) zY*H1DRMnNC*ih(CYsX4QR+Fw;7BAbGYU1Prx+tECOYBxRaaXzU{!+J|xAm1C*|+=a zzUTjs2yzqW=2F>z2r$wD=N|(a0CM5dUq?*zMp-hBxhe0YV@I25O#ODMt2sB_bTf!r zlPcut?jYSAnm`{FD2_MCT{VHC~3umpDMHIa`SZ66`dMMcXDoGm$0-amwOwHc*uT^p>Y^ivg=klslb) z%Cn$XESRPWdcSAMZovBw*O$dIGN#r)+Nqrj?p|}ED0>*kCS10v*|ut;Sjo5?ZhJ>v z%Js2Lmqy=PnJDiFag#jbqDO7k4p6?Gn{4^q!GfmAy;Sr3L`S)qL2?x>$lW44PmekvCWnr-(rU= zhv$W|@eP#$e42I%R(15oju2U@sdB~j8~+!3ZygoK)9(A?E`vjGcTaHl;O-C{5?q4^ zOBi5q_uv{dI0T#E5*&g%1a}D}x5@9f-@V`W?tSiF_ndRr{o|}v{Z!LkQ&nBvOx1kq z`*hJHTR$sfJ5ktf2-0T7ll~K|Vf(CD@vP7O*!6xZ)-r4H2;ds6IU}DeWz>_!h5#05 zDMKO3aiCE@4+0HXYs&u~gjtl$;P3)iy+{Ku1X#GJhWQaL&xf}1h<|dF)S8_&&S^zK zSSQt>@UnE0z}_-kyS2UO%2Q(p$Rnag*^quz6;O1Q>JmydlxwRIg-}EhTe3@HR~c*9 zgD%&GtohL;)udavY^nEN-vT#Lu$b+FAQmT?Av)}Qb{EJ4s1bvd=`(c6lO|v{&?Jeu zbNFrhZzYbQ_QF*+jh(M6@cPlU_xJY)`6Ex=tEY7r@TrVAl|z{U#;ByRpWceQ0X#+Z zscHg>Usbnep)- zZ4ZE&d{E~;1tK7wQmc?BP4<_oaXc=Br18eB$KC)IY7wP;SdZYZB z-Vxi8hx00JB$A4uJ_9^hDP!UGCehjp_zdu5Y6SuR{6ZKU?z{|Qi0y={Qw1moQ)|V-~ z_dwIqn`BGJRgF;4{omBMk}# z)?c%Y=#y`L*^8t88DAhijW5(ff$_!BuB74B3D6L9tfWZGr4Gb}K>XU8f0_?ta>%LF zk+?8y}^F96x$B+z9b!pnj0XsuxRRC}qKY*sI{{&<= z()bIw1&jAUk`bW42lg%N%6>c@e+5*Kukz`C_fNWnjPhxqo=Q*Wic_9$Tluz<$uMvT z7%e0%M8kHZF!!jjNldKV;y*#dhNM1jULrGtWqh zT(9xz0_og$>vqSid$NbagmY2zl>~X3F)=4WvM$smq29_U9C#taVQWJQE`H>Nb6Qe_ zI<7xo6x5@#K`qIh!hx(k3x-=Kte5xdoIL35FBfQ$GQz#3 zzsj~@ZD4wye|YwgrwUZ6XN$+&Eggn+M4Idxx@b%eH8*zIuURI>Q+9_R5ggy>g1h9vIO!Jh$ z^-ls~3m6X?iNi{@ma67B*2u5zOzv zYkHO1zi9sMUcevSD2lu)+z8=i_jv5%nJ+`KX&|agv$5~|w{9t9QsMdO?nZKl{@d%v z(`IqwK#?!xH`)0Y`G{)RXDVw%_(cHhd*{ErWR;HaR#o}2Or+CK#J?hqGMtZNUs6m~~qNh+~L7-V? z{k~Zw&6q-&1DhcgIdM32V!PYhS(de0zwVqzPS><=U}%`wz=wpEmD92f%ga_rlgz|0 z(SJ`k_3j_RDD}=r52mRK#>oVBY3y*3gfP34()JU@Oq7nv?g?+WsW?p~I(pW1*c-oL z9ce}c-9ul$_9a!$x!%rpiy4YJxPRM{Cnn&M(F&9`8Wq*k#4KZDf*%cAC7yK|oX8xkTIm5D!h5=4LB5hfGEVbmIUHCh5dY<@h zzbNa}!g9)^8x?u2ah1*68lkQ0gS*RLJe;`)Z_!PC`NoTQQat3}2;1-V=3!S8yIS$R z@m>rsE4pxPB^P&!RdWtkp)7`H`9aZdy+iBA_SwFhzs^j+a{!sYp+xr6nybB~O<>&;(HGeoI!uROj*lW*TW z)?N-E4@%^WJ39l5QND9{huA`9YIEJ5UDagT5O#z}*IFGUBR0PJuHW2{V#M9M<=4DY z5tOX#TUk^Bd9Bo-lVP5f4NKCfvK*x^Rc#Vy=gzCt%_~ZaGaHEh5D6`zS0A6(7b1t1 z)D_AT-P2{XFDBh31pda|y=Y>UYaUVYuP!$5qk4X9qEJ=`Vf$4-Ozm4@FKQ28VVrQf z7A+*GbvlQu4#VlO+oM)`NXNDH7E{v%33#puUkZnRao;lvSJic$ zVXaeTO{zRYJDOAWP zRk3>b192$KF%nLU+!G-~1*K z{?fkobAdvE4Gf37SP;}+!t1>T~Y@6wN-aoheMA0d!Ym*jn$L+O9-Zp+cbOpT)3|YkTTsdzwsPCc%i2z46U{)&qKq z^PXs%B0q+A6k<8s22t3Pp0z<**mU6&aGC`cuw{aEK^_n1RGcn>!^#NNBWtjve8}}e zw?5tvyAM8%fw8xI<411y-l8XJ;)9msi`6&%!xc+fieX2OXSX=-9p}QE0@64V;ijxL z&03Ywlv7^@^E-_6$DY(9qRdySYqz)lYTGCxJ_VhR$nIWT_Z9XR5tQBB zltvbLY(BJ(stL&MJ}GGu#j7Jp;PC?O8Yo&=>Q;~7dg1nFB;9|x3`y!4rgu0VTeaTO zbG_zngi+0|4TT>XeYoU$z!f@4Wrp1FC6Zf;yYLX8xQ6x}FLujP+Af_5G3oS? z6b&(nHh!j!J;+7#4+C!~tU+I zMhx6zHjY->^fE|%`VcV?smy+VRnydq4ZPg;)Ep68OpNpW;=0A#dc@8zqQ5>?zvNI; zn}2@6W)^jXt(IH8JKn_l$>X=1w|_uPX8Vz=OltOmn|ojGDsuPbG@PGb1i@k+ zobiwC(>qbe0Q@haIntHJO~xLWMlm>Hd0S@2j%>s@eGnY;k*7$-%L11{7n&Gl3f-vB zz;VD^!QGpCoT~BJNW8neOAv5ET=#jG-u+In5#L1&SiccAL-K73k%KPIXC~b!El1;R zQhSwS&U(lCRO!f2khq={0}(KD7KT7XVjOlDdWOj+#J{~=X@}%fY}THgGz_KiO&W^neRG1fllRt`@p?Tm%R zLXCk5&MJgP0*Dfk<7_u@sK%kRYhJ1T6d>3QE>(ECA8gbz>2zUCAH(8g6G8cVxOEK` z?s6N#KfKI4SE?=~FZ`xCp!siHEH;My#9~;f*`0Zg!$78^zFQ>K%juzQR3)*gn+Lnw zXI>&WjL?f=`@_M~7mLQ%z7O63!ZPG95@W5@a@+%QZesa2zQ5!o>ozy@x9kbUJ$S)%mTi6v`nw9}>`y4*9D_VaTG^c_A?@Vq|UC|g<+lk)^(!^FQn{tP86X9dG4 zP@(a_$w&{JcOhT&_S{-{!!X@}iP@-5I?sN3PV*GxA(gj&bC~mSVGj{A}UjneWt08D|&|_#5 zM%5c5NNx>gU0rP6HHa3;_r94ZIYx+@i~_EepjV(&_`lb6CFhVmTW$^=HVVC;4`D z_5(lRtJ5roY36*Gw02}ao!-Yk-|3x2(hN!Jxygqu0E zp60s_ROYoyD;i5y7K9WSBJ8u2yR=5KWL4#(m1w49zi8Z>+Eu!;F(xXhEIaL5aqw0} z7wNM$9ptLC)_1fp9_IRFJzr%#|H-=9(%+D0Br@i_rH46}H7nBWJsyRXp6#5DkS%e+ z%_yrl$F}2#x6R2Ho2r@XM-RWQ&&M-w;)qoqx>)7ztrCZP#nwn3ass+OAKqx|R^SDR zdMO8ZDcc_|^_~0XB0U`3e0lYAmU1e~T8~te>wA;ti&a=vipLH?$S4C=_ss;Abi=0&5*9(J-CSzsIw0$?>1nXfawaTSg)WZxQcjLhIK zzeY&ce6ksTY-Tw#_w)LEJ9D|qYTc631?nF5)L{F_XZK8c0dFErq2R|NCPOzISY?e% zDiCs|L45N;{V3f{$eT!t4@)s+9tFVFd?~HlJErp0$&`&HgRQ}rMJN97t%^hRg$?Ww zL6cC_h8@Pe0a1u@BSOPD^#Z$OljV+IkN@2Jno7fvNl6>mK9u~(dt3` z1v~~LjZ0###mIasJ|uP>d{GQqI&PNg{5=gb{x=I%bi+)sFOLo8l90c0+2<*{Iky!v zE$}RsEQCg9M8Y~RviZ*Um2*cr@O)UX;@7HZ0_kgLglvTAIB^$O<=aI>+1C$32}_O( zjEjI9(pWP%n>10`FyZjLU;$XvV*+Y66qzq*70u0C!aY!zpZ5NfCp(6gtcHq#mU-PZ z?FJT~yCfS80)_)ii1iGMV#fqk!pHnlA0l+UCi;qp5@i z?5+HZ4?)Q*xJfFE-Gum(SD29>=}UEDJZHulX^49XKNu*@v&?>9prn>{WY(&F7PCZH z%{sx_J0H#M(2{R=ocW0viBk`sS6b1~`Yf#>KMa=o>vP!`6a^zJkqNWJ@SjGlu<}u= zikTJLs06Z#G0M3saB=2UwLC24=xGjfic9xNAl9s-q>($wG?SmxA@otKKY*uUV7{=q z?3I?sXx~Z~;xRj-lA(qJ#WE#0J)h}LHb_Bbf{hoAQ?AT`_vHYp%7n@De%-K*Y5Zl` zP13j>OxYV`CtTTyM{Xnmnvyq>$FZ(RRC4yiRQ3{76uHHA20^@v8Z66%y-9kl!niEf zM5x6IMQyZslOyM0&pJlOUQmmaUUn$1mR+hAHL-}60iag0i1nv>&Zh$`3v*y1EYwUf z(McF31(=EoT>~bjgwtpU^j@Dg%KmgSL7tg(h#>;Q=P?_ijZTHPuR=8B==yQP6ocy31&bz&sceX0 zls*x=`4W|e>-!IsaL zD5#C-UW(S2kJ1;2VzY|vwTit1#vS2iAYP>UN9f|S6S7kC=_bPp73@H=Bt!U@aA7P# zn11}38!O~bfP;j7uy`I=@s&pQ^@CiLY{=woG+`7%(OGSG6G8)`S(LK_o!@6^CZHyK z2|h?cE;$+b{Oay0(!`o76Gr8N!i-9CC!|hi3bqt$}sEeolLK!@j|2A8Bf|(*h$Ubs&;rVKj$6n5Ktf@`<@M zyl+hk#zw_QovlLGicbRieOV`WdRimHrS&xK2Pw{bWe&{%iITV<1;X#Oi71$%Cs)!w z)l#vxoA^@AS^y#p3M#?cs70n$1Lz-iNRAM=pCZi210a#yYc%X(;HamQ+(b_&^EsYQ zLQQ~^s3#}+jO-je!`i=7X6&R#r?JY-X|?@n?I z8KKo36kFtEcG-;y5xWhZcw|~}+H!6t7lm4q(ZFh5L)E)JgQR`|_)r^q?Fn)&1s}H^ z;tV6joeW?9T&snxeFxM)8uDuxtvlorRN_AWOcZKPjs+%jwKTP8y8+1un$>%QSK-55 zd*EY5oockRVn!jm!rv+21q%L>FRlIx+4W6m?Flv3rc321C|pz^OZ|Fa>_-i9KLxgHA#y)6wrd(p zYFxOtvV@D%A0)Zvy-!V{gp-$9H;p|J?L9(P`jE}89-ul6SAeWc@n>gdSLUxHPdjT& zWMn~hIIhV80N!(Lda_xNCla~)heVb=k;sj7FhO_xA0|ol1TD$JpAv*qBS|p2b(Nth z<-lY4nG3menL95j8Q{1&3kUU@x@J6C{RlD_Z#dF;AQLl0x32YeEpaVq3Pl9BGJY@4 zOm;06fRWzbe;DPLKa7$QV3cZWdBEYsy<9U{mp}`c2ST9ijm5}gSXl}<=Paoj0WxU) z`jYhMT8<==KKT=&tXd8ZB}dL0gx1Euk6C$n@8oQY zdDWDKIttInoUyNv<3l8qi98Clbmspnc!Z6*jE_@fW+~L%28d*(6iY)TC>5ElM_tO8q6S48)zznq27`WU zB~sV4)@s`tQrQlPPfq3j8$x=KAC8q53bcM^xcg@CyfUnL#8#sTcY`Na7Doxp8pNgm zWCNR|E^+VKx}6ZnNgQw=DAvq3Q5;=&NQEE+tA!vN z{OTGM;S{y5k$>C zQC0H>(v(;M-e1AA^%}j4V#@5&8lYS^?!asTi+P$ zzVi|H&d;5RMAisp4ab?oZ4prx6MuC?%+Wb%T3Q`vpx7ZIW)d^*u@^L z*!2@+Oo?iCd^b$L&TUrrirw4~HsY9-Dt`RpW_7p-oPo^j5vHv;5|5VM%CvyPnsiu9 zplDMpwJ>6JnTL$4WZ?AqhC_UWxHgGbj_nZQc<9eb%t6{})cuzA)(bIL_tX^;oAtIP zWQtuba5Rc{1-ao;_k659D28=CcvhdX8a}MnA9d zK#?=#IUOLrBqDaW#T8P&FJ_ zi#Ud$k-#@!;lU9pKipczq=ZX!|L-hu(DAq(d@yQZUWB*7*V_|S!n5TPAD1%$EfThX zcBFA{n2w~a_ODUnl4Z{@7Kb}LZ%<+(i>W!?Lv@vdq>!n?x{qj@1&aGaci*>N(`~gb zB3r;{KbzV%ciHA`)+8RlC^=|)>4-|*P-NfzaurufARg4y;W6H1M0z312(6ADS%r{c zo$Q`?aUisi=j=Kz0!Wed#`q^yEft!ALoD2R&KMOorqQylQsf}r1sdhuMS9q+wJ~A+ zE-$KUNdf>AJG_4OHQt8BjWSSIeH?bD0=kFWqvnR%?esV9{j@A&l1q2yKbWYGAHYHz zC|V<^u0Q)A!Qh&3;WEgu#`QcSb)azI>JmjwNnII4xa82sk!5qNF-;U8kZLZA=Hu`2 zHX3_#&>5lR%uiVHZQxc*jgH=LA=5+dZ&_=+)|yw@64Km6(_Bk3Dhnq%0Ym%iXihSM$O*l6uw@#xLDOUg5<+wWdNd*+==zat(4)#Garg;=!>s7k4K-6( zMhP5TLu~ZJ49&|$5G~YN5%pGLSoTK5Kx#LDT(MU!Dvk`EQ7ah4&lFoz<1OK{^#QGD zNT5KQq^Li|Ys>XfD>xRU;XjxtOhn7r4CkeUYi%TvUyLqbP!;J9M@KeJT#L^m#|D8! zlS3T%ic|q8$g^V=$ITcD5hU3n$33~>b>g{@{EHB}eD1mM63Wh{$Td*@hDa0X5j^j} zHZ^YJ-93+CTf1-O@PP>Oaxny_$*d1d%4zwQ93sLxmi9YNP!9DQOYYmo_LM7wW5+1jCQ_E$&;dEmDxiBN1-@6$6M<|R7g>XlK#hx_Z>&@T)v zBBJIcEyLB16y}nSwU6uj7grB=UPZ~rudrgP$6XVfL}T{qc#WLKZZCdPrR5l!1zvIn z1!7PIkDt6gD5wdOjY>X9iK;Rm<__UXB7;;!BhvPyannFZfT(s*RkEV|-9ks4+=m+?GFI!nwR>ts*2Aq4Mt9 zgH4=b-hGil>r?fN^}7(F7uNFdiKm^%9)%uq_?J8n<;I+*0AqM4e+H-rLJXIqtd1~% zAR7ck4A@UhP$DNnzUzqNPJ{{$YMzCpFl`_O=6Q*tA%RLA#Xv1HL2qTRw+n&3oj&Rb z>}W{Ue(U4xni&0Bdq^lv%n`%NWmn(CRy+V z0&Pfx#%%A|+Cog}&wBHBW0|sb9cYhbC9iT7ts%;oU&JUSORY=24@XE!|9ST1P5+E=9uK5YZ33!fjRxEU4z zf@{Gp2KW`t$T?|A-3B;EEEf6C1xyeLR8{*h#j4gk2y_HYWC>LDG~R15505=Fy4=4g z^5w0H(wf!wHgo0^DM8GU>bc>?uqV;YB%b3@wkK({C-Jf;IVR3c!KlwK@ULa?ZgV*e?cJo5v=ES6j=mwf7(a8qsjN(t=m`;L>jFIWA~ZPT#ZvBY7#hJOk8Sx+Gs zohOSN=s#*_u+8$p$6r{lDV?BL3OQ53T&+mJG~eDZH9vn-MAZ>$wg78dlX}o{4eD`_F2JmMKcfKy@7h5CF1-|d7#1&5>t+SOp)}#Y4*gSV z#{IZFyKb)d9XY($PBMipf3ZKu4a#VS*-5(%?q~*^zpC?W+KxspnxT9etgk&9`5CrC z4sZ9(^V%9YJcOxo8a%`)78E?9sd7$0efFGs**OigqZ+ zzL;!9vdx`tmx%|7{a`*Tm9DHXHYgLw{}=ho7~!zIoVBWaRxrR|nWo~!+u%Da1Ijk@ zc#%~zq>^UIWVqp=J`usLx-5^U?ZG1nEW)hq@!a0=Iq9e!U&a&ui%$k@;)}kKEJcu? zG&j1@fgxUm%k%P~479`sN}R*?baV@x^xIuLfM{s^i0(s>p;R%`u66M=LV62Y-Ed0!)>#L;BN1lJth%m#?6q52$S8!HGcrnrp!-&|1-Mm8I z-u7J>enXLR#~T5L)+4*azKQwhf?85}Oa2%e`veNo$aHG@Pj%iSh8jIVA1yNSpUD&R zs%T6;EBM%uJ|c;~KJ#1_nfpb!PFR9HX*~;0@X>Qwej9C?p5xb%89Ckhjg#$pc7f2i zEENXJ!Hq~?l?ielK4zyMbND9pwfru@eoEYD88|K)S|0DHY$Efwh+t`6$kvZAwG$WB zjauc4&{`E2?{Q>I3G{dYlrR5`31bHgDJ{y#|7nTgBBKi6WXeqp3CL`UB=A~X5iymC zIk=){R9C=+0;rW77vZ_dO{UfD=xDYPqck2CKDB7S;)0p z>#(;MT92s+b)TqT@e}nkd-=4B^~3_XrZS)VEanHaRE55I&DW0(4gQAD{(fhVE3H6! z%d%rMD4tz%0cq!SaX^obX|XHI17}Bq_hUfkr_hA{gS+KMYmXN-?F+@|+l>P1uGnhU zq^7cpUn>;U?Q0{lDNx_A^OdYBl+IZ=G#x1jzmCt>e#zA+$#vXmw)C!h9ve4nMLp>} zHjjBxxi3&6NKHkf6?6U9b6IS7o8tUEb7EncRy!tN;#nTaLx7p~a;2bd=sm&lS{;zI zmewT~Bkm3lWsHOEe+A0T@DyyV;4|6(e8}vb2>oVodm|Lh15@@ryF`X_lyLeBPbPfZq z1Gv$jT}Oc#%s&T6EUNkOq0+PXnY*eHbyu}W0v0;l?8SWnQMZE``Ew~g96@p4gkp>4 zXRoAqb_k^O>?4o!xSK&i23i#O!{r3&m~kyTu6hBQTJo=Z_Isquon`QOS`@Bev!%*o zkMT84ybD2{bJ*J*XrejMS}5yJYCkx*zj&X>lkyP7_Xib)AINC}&>upBqCSIY{^EVc zPcRVNy7zSQEpU>7s*A>23R0thPMts_yL7r6N*O(mX^nN00Prvu00QQ1Q=3*lnt7qb zD3RiJlTjT&Uqf^Y#y?^ztJ6I99Jnqq?si!dtu zABsfvvf;5;9btqgf;YrlXm3QUE~K0ebODZ!i&c|Q!8z&n1@~lzvF{Wc z(fEpqb<=v$r&QhmdVDoXU059|(9k`70}6K)(DnxRQjn=I`B}a4oDY?b4HuXU?M(`Y zc$IAh!KyMhe_#qJ$PT}?CQI)eE~m^VJxdc*zY{k4Yj}yQor~8XaV4mM z{*N627Gz{9Lm6XwthG~_C3e6fFw#D;x0XM!j|G5ziQWtrvMzLgupjsd`}I>lB`^oW zev2e?DG!+eC|w5^g}_=3|8a38xVeeE%e-1(6tJ9?GC<1UzZ8n_fI^YZFt`%s8o-K= z1hy&g0*_y@z5+T$*LN1OHKMLv>Cz6WWG*|PObkF&Xcn~&a7G8NCxYk9dHT|&>oo!e z>a2o?LJiHSJU|*n+HuHSh_PQGAd~g=;sH89##41b&G_NC&qi07bl2H6XuPnEvT>q(-lp0Llb|pvb-pcQ@mm>)J#Py zORAOvezhx5qLwA)OR?xw#VLLT2;eZIeuKjPDoIJX=jUu}C2NiUY`R+Bj6tWqk0)p@l9EuA`6SYyQ*A_C8 z+o}=&A~HQES z)rNXVJ8z<+8&=Cf964lJxi_JV_XeN5K`)x!h+Wy^hwGCrE@ooZ&smS&-=n1$O%HEQ zZQqW}j)pJ0Y>l-K;3EGmG2AWs7l~mDTb{OuWz%*~&alJGug3fQ$W7I&fDXMqjpE^T z%UJ(7467FK;&qcTE(JWp&l%iCqPV>;aJY9O?|yd}N0$1;Ny#hx4>X0_a(*Z0y$~EF zK}%@Q*nHpEO~`s(Ufa`;5of*A*NBvQr!^titOCmxTXXFKn*r*El=8RU(Q{lA=q+1B z`95IB^6h(?TFec_divbJRjlk3#45vCT>bqIW+%1Di}U}h)r8Fay}9S;7ERne9?xO5zhE&vq4lIIH(jDMzBZ@_ySvl4Kql^9nW$wNZsaG z6m7E=|0fZl6b5Y*emr$jtC*<<#OsyQ%#n^_46MaOyNGpYAG5+d8O}xt7=~K`Pr^pL zVFMN_qvY9QY@3&@#p;y09D^en1LOK$CW!0}&6?21Exxy=&?}o-U|nR_O!M`xS|o1G z3JT+P8yuSBkm;kMu5>`o&pbaxuC#BfN zMUROeuG{j_ziJ7mt`-X$!{WYAHmnsoqf%!npsbs=?k;|czRSnkn3LOamct6axp*r~ z6taXhe$nXI@EelsR}~Pa4??7Cl!x_EvyBV?@X4uy{;O4j&->ViJ7uW{9*(F500A~@ z4d#p&nzjX48u?2N*d&7VzHJM}h2B~}crAUOKUZ#O*~B5(r8<7#@=OAloea5a81qh8 zbAV-^gv@+zwszk(liy(?sFS?Y*$I1IYeBm&TkE==FWJ@BXoLdUb(gXEsAjhZ)%7m` z7?UJQqzV=mKR2E)uXvXotJW`XJYF?gxp=-N?I&-u<_4yoh@})iyw+d0x~TkC8MXhPxuta^lo@Q2P_-U(~HyF@w*F$M~XAYoWi-XUW(|^bWQZ*gg_1!BfR{!-7pp-&N$p>38rb%|{@DJCeH)EAdS^%-zH7 zP`N>bmP+Kx-B=v*<~7R9u#|Q!dpV3sd+pWIujlP>5-iuOSU?*{+c<=T*i5lY>Ur8E zwn;1nm-CY`B318LPH|`=IepxAEw&K!x}09-JD@8}d%!Xd>%^-Cg>911rbzXH-^bV1 zhQ^N;PY?bj3jCY@wM%b22KK4ghz$rQNdWvWM%Q~qwd7)IlQ#;rRYE471C$wUeZPRg zNu0UP#zzhrJq_W0ZN#-f2t53o)`wN0@wQ({E+~2WnexO282CdS+;|5H*+Z4T^C&de zH}BC^eUAFCwSk#o2QzI8A+J^`-hG>+OD$nMeE#;XVU?Dxl)X`0B;ZSq_-fVX$;aXK zvnxTT^TvK06EBe^6i zCPU0C7shP~M0^VoFVpb2pXU+P-d5TmEQU29ut*|$I-mZK*k~pQ+kLb~`n^4`*>&dt zbB<_-v}|BnV<7u2U!PsI!8O^r@sACMJvDSZIc`uE%+E^QEsI1%{nN}JK59(G zN02om#3ZZq6Vj^wqQ~)cFjO>iP#pVup?SIw<6(Q7C!J#!zT0L-E*mTSarB}^yx-;I ze}O6xN4hH!H4-NMBT5`} z7g>p6+z9gy^obTFX|Ty0HjSmMb`CEsusM%E9CWW5zxZ_~XDqz`o>l;3L^W3;yc@N{ zB&NFBLi302E9dHA&zaV}gHIjQZBbJ#gH2WkV)Ayc=Lts~eL=Og2pI-k&KfIq)IvL6 z9o=aK9{Cj#jIEd+`RJ02t#}^!_CQ49asGvB&q@m>`_RnQ7yy9(xd`xYg*_gx(g zTuv1E#7s#>3^gZ(%Nur}L30JzQTDBbwq0QuMUW(DU4mSgC=UfB2o=O8_v1ar-0KfV zAO>Py-(IY&tul1Pz|`(%c5TQwkovcUoanl2e2nydXzuz7p0|Z$74pidP6ER^Vpnc! zU`CzC%eDkJ_wcJNu3-YK31zh^wU1u1G9ATwJxR<7zc--&LjkoYSEG8vXf46~JO2{_ zaYR`V+;rh3@(8fzo5(6BA(d2o>=_(v?(Qe}qy4KW?Rk;{vRE$|SVoBje9?C9^K=Ce z2KM3ThuzOzS_-#;AI#Z%-{5T;et6B^>&4#d#NJE3IhA0p>yb20pn7M#u8qK&bxPGH z)K)bq*h}2uY+{-gGn1Y7co zT*bt%-)*SezF_$8lbb8=Vj_H8Aw_{9`b~kDD)$M$@GXc3;C>BxET*@+n@m+WXpqruPTe&D|lmT6XbD42j6 zK2s3^D(~=DbwZ!1KuafNlAqy3l^ewKna$X37z0=npr2-jI#&5+hKS}H7KT^W7K+gf zg+>_0^MA?yGEjmNQ6&T<*?vgH4Fb$djIND-&uheAjlnkyH7+`N4tM(Bm|yncpz^g9 zfC6#S8G-i*^ThWv;7L31a6^Gexo0|4Bqd)yc)8TNErG2-gaOadJ>+bHYs8Q#5p_^7 zec;6A=X1Xr4XwllYsg9lM8^_;!3v{((5YD0IUOY*4^s63Pqzit9Yav#ZL*O!*Ag=*oMtal z|4H?S_uKz((O+87UI8kEg|NyAJy>J$Z_%If-t=IYUbgbcM6=yuN|J7$qBH7Hv9!lZ z%Ex;o*>AYhEd8x-Y>jXr=IFhZ^bQ2}M~AU-`He|sdYJt(P2|zb0?*o9pL=aSQ9uWk zNlR&N-oip1!dL@VMPiV)vX=HHiY1`(GqlA23<$}G1dsM|cHIeFWj+VOgG&KOi z>4PZB%!{gMOz{#A>t^udkH!)w)DK#*85nC+Gq+0jF?>~d%*eOryGG)0ab{*P<%Qz_ zcn>yIStIFzMxwCTE`{VhQGs@2x^j|j*vOOK&;6PFyy}wxkm7weSv*dIHoyuYurUK= zPY^H*7CiBtXW$tKJW#@HNT?iV3q>FCrIveF?eUgQdx!&9IbQLtyi`&8HtGxC%xrrp zZ}ok@r#k!Sj(4yCC?mh<8yPv0y9e06vWbMNjviosWPzl2kqFkLX^9*?WOhiOPntZ{ z&%H%E7aJi}NGbe_9(hZI$SlX}We&X+3T55|Pt>n=iuV6O_a_-LMH;F3i(9}tb8sFT z<*bZZv59)4ta#77YeXAR|5=7B5Mcs(KSKsE#UH&Nk0gT969jBT%eVUj0Zl_{P2ntX z09KpFk|wRgMJUU4EzR{au;ynVmwb|040rcD(mw)hqvRB|-w^ta3-QSQ3*t?xe+g;3 zaCHM7CDE=R$e&b{HdnOWK73a;evE zA{*mJcwxdWrtv$1GwR9U;@?EBXpR~_a@z?2QXB;LcBAI#n#_Gj}q`wRUS_80yS_Lm2+zvuUB;ZN+3 z7NaKA8zN0*hJ3cg@&T^+J?Q)o^lPjR^_G_Pc=cgTLK>x?0jDhW{Pqbv0){R-iVth)xg{1V=U|zcYgTLf^^DSyq z=_)uV$&_?EY>Oh^t}*u6&X`VyfW04>Uz15?Nb}W;p3pVw74?7@;cO zy81dbmY>V>yu{B7eO)CZy9^7&`x_XPif3fx>^@@1hq8!%XE(B&uV!t;dqvZ9_4U&)j7 zFT$2a7eW4y2r&2$4J7-+06!4Jd>Q~`Zu+8RF7r{3Nr3ck(`hIY?6(L@b{#pj1lS(M zEg-9T@RRgUI1bR|$oT6TE*AkgOKvURELtWUfferEAJ|6tIP51cWSQRx ztRZ;#@M}#^Zpy2M9@tFhAn#55kgw}hIRv{DZ;)>AdAfeMfW|4@kFfs5Cs_niazqwf zCWCWRD6&>~_{S%i%arOIH2%T!E~4OX(I3Vi(O==eivGU+C!#;+e~A7>W0ODj4Tnaf zC8`~J<1dkwWNZ80(RZ!8?VC#@qmw%vnrR`^hEG8L5BU54FZjbt{O^H3`QHBn{{Ba9 z#DBn_Jt^U*S8!AR4e$p@V!Gjxu&G8`le~AVhFjU*E*vk2{yc+H8o!co$<_!KF#ASm zLEKUV#>kt#I*YXU{L`(%san$w0~EEh%}n}SRkCLX3=)?`083`T@cb+zz@}m_EFaz$ zLO;qfbZtBIf}pq|fLZC_w$$-p=V0lZS}tg+_@mw@175Wl=$tu2|G5LPf{TZ4ZW=}1 z#HnV!i$G6L0V9-z+t5t_afDkb`0nu(Czt5>dt%&y6D1f{{k3vxcz5#nnxZ)sZ&uYR zLj!%-bV?N>V}R0MPQ;UsK|2%Pa}3_hQtw8|E+Ob+>KOMgOMD-i8x#F+MnU-`w{8l2A&jOW>|9w z$9OOq;9K0ZIop?FTRuu2TPgtXyYRAtC$HZhU|MBvM(7bKFA_};#c?4Ihx~$NZrJV7 zZGgL9SI$r|&v91WZUZ3vTeP?q00>{VWB3#5`^$y+ZQ)^yi`3Fx{BDn~3GikFe<86A zUoS_SR?hw8_UQb*lfs=iU8F3O^3>6@KOU70Osj zjd`yC;C%#t^S4w{Mb^UFIFL+fT+4H6k zh#!G1$kYWpNCXL)$}8{Jr${ujt06XXMnbA@E1ZMhlzSPcciS@-Z1}265_k4koac>+Lev8c8 zZfcV9o~EV_Tea$ABV_83dJ2(U^N;WTBJ1tI`^88%&oPvEPU~}w;`>OThyHKxiP=xO zcs3d@q8Clzm<=Z!e!P7n^jydzwjxw|Rb7a|Xnawu3U-531;tzr1NMK;7x=qUd7A+D z*|sQUe{x(3KgnAulxiE%bI9EBNViGcRR8eV)y28Da1I!6<8J%KwbgWnBZr@8VEY&H z{FsbE`8vk&7P0Z4{iLq{7hP`w6-Tgb4Wq#!cyK4UyK4vzgF6Iw*C4^&-6aeb+}#Iv z2=4B|UH-|H|E>4Fd)KNyyQ;cprdOAA&*{BSofYE7Y_hQM`#=$W_{L z(ZRH!c2WTLFNT~lDI8F5Bvd>T<^zp%SelMR`v)*A%zD}-Jx41(MZ1^Pzx`+~eDMIhUkq7Mcnm+Xd4FU~*Y{9r5y7Mdt#rBe5K z72v4ob^yf0mbY{t{kH2#3loo$1|hR9K0!oxL-yu-SMNY*kv@JcmYU(69Is_(H+x(Z zUGNC>%e>)(>Myc~io~q3y*NYc)aeNiq}#sSfx@Numiw3Q_lZ~9+~(M@AC$zp{bO`7 zJ6p!P7B^SgLi&S?Pdi`muAUlOyhqTa8BgcNCZq%tg~%>j2lXc8KQ+Jq^8Q_jG&4k~ ztwJlxjck^OxnZ*^<7ZU{Ab$S5^MOR;|CjxOU4NxXrsmO%PdAT%4!i{rtu>uMH8(-d zER-VI)-zs61M)BVC~Lf;2IQ3n-W|hPsSPSV{rPRBoJ+;epwy0M}dGxnH<-0Upjdl>rDTl zN45)~dk||j_s1VzVBVkZ%XchY{02bWx@h$&L=}K{r?q{POaOg%uSe+UiznF+b*WRr zN1E?=?ACN0-wk=Sy6Q!ity1YNT|MJ_y^Vd0+%Xw8WG?UF>5|f{Q;+px6{JV9W`7$5 z9<7vX*2AIM#3j)ydlSX9F!xGx??luA6^e^_Zm2(^K%LaXV4oL-x8Q;a!9!ssnzlID zq9ziDC6aQYHU4#9FnO#wddk0GR$xy@E)S?x6JZWmi*=_qVyx8SCx&NIQYabMLZ*Ge zj8xv11gPjrM4%J{nAi@~Y|0M*1k4|(MQmQvh`|A8NoP(QuM)#M>-084%QgB3$bFvP%CT{Ee9? zy8YGpCZ?YbOPJ)y!PE^)5NFCE*9`Z61OqFAiv5*l1Tn1J&y+Kn+Ni5Ex%zR00ja*f zYF}!~0~7MD%(L0ko-gw9W~r=R)@_uh_RDT!IH*%~U>kcsUl{ zZG8;3CQR<-8X-mP7tQS#W2k~yYL+t1=2k|SFSge6KYyfanX~4re8$vwWn-1vDX`T6 zXyeg$P|;+fPJ4po+5}jlvP!=QPs*}wC0Rlkk?T^b)yf;{gvBD30Y&ClbtIV9yL4H|II>+MKlMUndKz-(`(1fTD%f%+gBDzx-}w%WV4jdbBDHWl?Yp+z&~nF z3P5+d4z(j`pj0v{o!(ODm5rYJN2FiUO++v%87?cL)rEhvp?|Y!NAel%w$q)u3!)7i znLDuCA{G%TV$Lp~#-_=xYSzuVhf?xvpq(FVcj+;bYz-A!;hppFraCv~MTd88U+h-c zTJbg4ZDxa=bO=kDLyK!ss>p%cYLq1ni~S0*Fbz1Fv-Xs8)~t;yZiU(PUzN)!|Eh2A z4gS*pPT>#~>}g~k2RD;!sf~q6kAX!rYawC983mz`>?+D&N*@uq9tYU{XPr*!RS0$l zej0^AXZWl8U8D;u_=g|R3t_+uAxv`4j4iax5KQ~i!~!!3drqHw&Yyb-l>>MOV2la= zCoO)E4?U%2PU|ybDPH&|ukU}^9Hb%g*=()>QI>zCAC6N>Q3Li3nuRpc8x}QRmHx&2 z6XJSE$!mnLxr~lBiFJbqmeMYNVVi{bI02?1egISb;3djvy<|DfTY3bb!{Sv|m^@n_Ya6>OQn|-uX|66f_T(dxcxJ_mqG45O}vGk+~O;}TwhNH66Dfwa|KW#lK zfGhIVB6|>EbK*sYca7Ndi&OG`>XR;fPdP1GgsG$CHtfFeRpk%w@e2p$Kcs|v*;OaG z3oBW!57%#Wu?OZ9%Ho;$+BQ>sm9u^{^90{Cc*8XIg)vl!$+(I7nK21rSdEGLf1z9| z&<3ysVO>IVyu*)1)VM6jkWL=oax)xdF?Rms?k%d_ZS+D*I=26)jFFm=uoxHs` zPU_>=I-~o&mx4Z*xDZaFT~h^1ch?{S>cnxNgtLmJsQhr$pX=WD(1NbbFUp#Y;d|;y zh;((L>1cKLM1DvPcj@I$PWro|4@U1Ct?Q(qjj8plKn0hm&BAA>@z790qw}@@c0Ngn z_hxgdm^*&sk$GLD?wnrvcTsW{-coq6@pu3<6GGf{9gvSF`6$^7pkh~-{36?^K=|v zMZ*V*j$!-BA!<6BBd}K}I8wb8amNUJ@g`8i>$GEsMw2g*zO8pOGd63!Mpy@K5$U0B z?a-h((c4r70j3Vcb#ce_x^hUEAXrx7Zs?D{wZ zRx^}CU^BR|(4WE9T~`}A&&#|+v4?7KU#}W_mJ?-lcK{?89VrmS-TUV%4BXUS} zE#Zy`KmzVog%@p_8Z&I&G0;%G1Ixy&VOcI$fAR`FC!wTx;l4Rm)R}I}&ntSvR$$or z;n;!v$#bUP`y2XR`8Y<_x2S2ysNKdl|KA3=g)Xn(5~`mTk7qWqu_8gwgz8 zuo$guP&ge}f%>ab&*9_zw`Yh7xH_EF@3cb!sV5dR=>#K#M4z1_--gcoTF}DMu9{K9 zW*&`TQX!T3gkc&qAb;OfXyrb8pd|v|1nPxEPqG{BzHjT8yh)w>toM~$OSzqV)9^H| z_=6q$3rn&IMEw1zadC(JjKQ2a*kXa_dy7Qd;&@=m!&_v*VxtYQUaV^$yGF-3yYu6T z(25kCheAG0E8|_ec#~;PCd{=ux~G%H7g1sTsoON=er6^t$B@H+; zP_&uafFdN8WGs|oIkseMw&3HuvAN~48faH}f zqH?f&)o|So$pf0{kJ~9I_ss8DlGI{>y5h1?D4x%Dwn3*3Z8=a0wcI>57_S!SR4w%V za73v%>CD*r^ue$=_0B>w7)zD8Z?U~4BX6Su;V5!WcrZ5eg@}nccG5|a+?xoc=8AcA zt341^&t+D8FKJ!$PupQO%Yry7BXOAJW%m^HAThkwUAHaT>TUNq&!6vxxoxlMM-yeA z5{R&Ty>QP)G9CbYGy?0yd{u$o?)sThNx3HJQVl7_D&9o`gMHc|&){ccYrL{H5s#2# zF7zBD?NOFWQ+VsYPtcia`k}uGPuTe?_7^Mn7c2Igb;cdLGIop@r8M_6HIp~0M`mx; zFw{Nq|99f6ymHaqJ8B-8bxbbap;H`G%Tf46n5o_(RMAwsJZtG%KT9`Qq*keT!rmnG z{0oBve0-K?Yg#$w;M>LB!*kWu>Z@eVbJx&yb&ixrQ-=9Nq`(&QRg_=6`BS983b-EE zJ4LNFMe63!DyPdr_AceOfFG;d(t-N*3rIK2r!K=hB^u;>vgfHe>C~08XD2ucPHuvu z^*N@xlNj@L$R}EF-}b_b492f<0TgW$;BSe;UxL&Cf4td*TOVADufPxp{?Zygkc<|% z=#3L;$vJ6op;g`luI(|eq13qEJU!h*_?@W=13H?JbYyc;&Y~0K$hp_6Uoq$X<34q) zM-!lKpN(GcH5-_xrw>Z3j&$>+G9Q0&su%qQFOAb*vjM%u0{*vyFYr+T5v^R;6(`8J zA9@RL**zj<)>FwLO}dP_oD7ffbw#udgAB5U-$H|&lEn?~EJg#$#C_`gI38l2sD6|l zL1s;~tvz9L?jHrf-vXkZelSy&SgJ<;=&z~0-|Z`7GL^tCfsstJ4DEL9E4M$0#OmOH zWg9n+)604dPUh7Pt)31*pocHnI%nX z=uhIot=x0*0B9g;QfqwEDE{&D^L3jD?O1ML&wRn+XktI?a>M51+1ZbSdUQ2O_L1X? zZgQgAkL#$h%zi?XjV7?YLi?LJ^;-VbD9flmUHWfX2c~yfOu7!rnnX;9kswKdF2cq#Nqg);9Qcn`} zM}2kLnd*4y$&W=PsyapITSuU}`Q#oBsjqm+MxIZBg_Xa->*AfJdpIeTjw^|`caq)8 z&?}^+OGJBe{F{4(zTH>)5MO0AkWazWNJ(33k^9tHA)<174rK zs-~YSW6&s+jbWVfKmf#`RfZqob}HiE=nwkYc2dheHBj0F7YN_OPq(7@hBy(^pKvNH zD}2H_2^eTKXDp->snC6-_(WYkPgkSq>Ji|poXEYVVn$8{4eMprTaSNJ$OSJhE&l+R z0+pXFG*#}ovzqx#xp4*RLE{%SolhIA49%;*ekW+r!>ROty}6{mhB#2T#)r{3E6 zdHy05cKk?={Z*<$ds6J}4@=A0%V+U)=otU&H{6u!$lLN0ze!>@K6wqf+Q!-4)2f4| zfOU?e$il?i8z-=qc7r*W9U7h$o|O~KSL4kuo9kOxVHQ;>bG%EH8&uiD6V8d*2vPEW zcIMNzsY+iS48QM8`b8}bT`9&!e zjYTQ8Ek!Ae?L{ePokiOdNrN= zA_fwWFf`an0Q4rWU2arHZ(HNN?Z=_uwx2 zu6Lz?U#A}0V(hVU3L!hC`J@XD`DUeFIyPNX0`Hk`j%=G+%q#obU2&{9MLOFD%W^#; zqy{}{@aBrtke!$=c-@L)+0gGMgISZ^A+c&wC2`yZlRGIVUGI4!ob%Vmm+2k4Ad+5L z7H{~QuWEJEQH0P^hB;t*Mh8R*V4WyCEs&y^OSu-qItU)e*HmwL-JX%N1jfi?JNbts zwqy$qGvK;=sAaY!tm^*;8Bw~x56o`ux$wR$k@(qoZB9XpquJc%kBy&2$lAd_J`?n| zX1u}xULf<_tJfAiv&pI~N69!K?EkK-o7L2 zubrVIXVgy;BkR12n0$Jw|sKp>4T71USF&1bAIMLIlpQ_Gqc_E{RePDv~2)A%>GOTO& zXP-S#&{&5UF0qwEyDHy&H$S_{`90QC*|F9zml!=cw)oyoHilwj7vUJ7;p~nXRplGjTOvm6Um_KqKhL`%dQ< zy<&+u)_W7dj;np)!gQgVmrD9!|NiS_=2#)~dk)!TMVs5c6=wYGie7W0dfUD(+$ny5 z9_Ebp&^zZ{^8{j>j(PN5!vrF~wt2KUoD}lA;4e(V2WAfDv#X>+Df3a?1eK)h_Qje>nx&e3!j-CK8__p=wyOui7sa$e%iwmOL^OK_SJKD7K;gLScd{wHJ?N(mGh;^@j;$c59^CTa zt^TcfL)nW}a`|n_&Hh4Psg+xw{1KOY8W(J9#hA*4YVrHySUJEpjJBTAPyQXF;>e(Y z^HsLK$S=G|BlX4P?D#j8aClauu`CC9+u0&Ubjemqarl>cWqzq}bq`nNvh;{RX6mf- z@XXISs$(^$WtH#d5``2_avbUK?8@fkgBI_Y--hNmFqx@k+DEkyEdj z?9~f*3MpqtacKKui${fjN8$5bf)O?E8w|f!8|le*?SN zKRrynV?5hx9&P*sg|gf)^WTAYD`Ry+LKU0VXH^#(0=IhJ#=;vFmv5=d_OHwhdkrnb ztM33En@#Yn2dT4XvG6{kH#)S^)-j<+JNTvOzTl!u9)1N%TQ6yVb@ z;?hUYWP?NBu*taJG-(A4&hWD?V1u8X#HBn;mxvnG41!W0JDwH?k$KA*Yq(r(X+a$gnB ziIaG3`k=L+__q;;9QzxiAqzSj`mYacGW=n77M$rCyOnSsSYciG9(rDNa`L1Q z54L;`8?;w`4^MoZ_*uUn{n{QYLYLRA7J?CeE)(2pCYl^C>pYDq1xE%!i&4K|T%|6d zwD#4%Q<%BeYp-`kyRX>Ssg7}YG+a+U|6OZzH91$Uru2nybW+K86%BgUyJ@?V~Ct4pxVc?&m;v2!&q|V~pnfn`+ zryO6Ij?&M;pH;SoRXy0SbL9S=P4*gOgEmh0uc_xsnID*0*3>4DwKlf2WvGY-HYjN$ z{U1P3#xW&~HFavLODo z%l9p7rV84EJn%9#DY~oB=0$A;k?}3T8(e(#AegDrtlN$3qBM9>yYg3;q0xT0i}QVb zj7u@b%O5ZZe`1e4UValJ@naHweS6obPYPp?lQm%)a2(K1iTB=JitIvUl=-@^oZ}xG8?}uq zv#F7kY)ZUSMMFR8J!s%)_boisJ~YDqlKXhr8~l^{N3Jy5+DsiiRWy^bB-_kGmgm+lYP+m=en(rAx&|-77^Q2WH}qH3 zDd9Uh%io_bg9MFn{SXlTP)u6+JfFF$caOdY*zAv8NwmCt>XhGD?~MHJ{q}jTiDYr4 z@qr9xdiPo=!Ph30q-}3-?Mhsqw-@aQ!x#C=1>ayg%=u+qPeitaEh>=@FB! z`eTH?Lj-LPWOV@~Y>E$Li4i1hrT+j=0Ba?nOXgb|Rk%?Kp9 z-Z5c60pY=1_W}=8lIOyxeFJGrs%6euArbnn1U4&QQON=cZ2@_Nyaio$UuGB7MCQlq=3w7(ep zdyOq>G!(e<1sK?Sb&6yZjnCXhW!+lDy?%aRcu4+as-Ur9072P2mX1>Ad zDrjeV+^!PqYbP_9!?h)F1ddjfXryH9RI#DfhZqE_KOtjvUCgLYU)5Dy!QFYCJDK2r z%8{^TQmQ}7{S`!HUP(%W!!hPR_*4iPG4UT>+Sgjn`VN+h!Q2Mp%x>Ug>s; z>oeb7p3pQ68f{0<}BPuvsxyf-NR#L5WzT;p;f z&Or3?Q5H@(C8HR)Z&`|G7d{t1Vz2DUUY4Vt6FWiz@RUxm+iqvBg~R8M(vZ5Lvv0TY%WA-jc7SQc3p%LN@Yx=}1=eYNZ`GdAMapR` z+Sgd-imSR6X3!7c(^w{+F1V6T!t@({wEwOh!3=!RsGp>=H6E{;(WZ^R3*5(jDt?hr zx_=z|HQ7U>JTdwh)REPlYG4_tYeie^?ph!f?&LJ_27BflcAKm%Gv31KdK@yDpA+x5 z0u5;A0&(ZRVlUSzKYB+n?6F05ef5k_u(y1~25$X%p$DDh38E{1a2g+5QEmU4oW;n# ze4Rej$gFrn{n(ZME;!0g{(^Z+k_!cT2eH?9(BU%&&9PV~@RDuBhBi|O0-fI}TODxU zw^(%VJY3&Y2W?#4)CQ-|ba%1<#kQ{9oT2tf(vrmw_EGOaXqG0QEL1A^E`J%72$dMS zfZ}bPB$8aVl#*=$OGR`mN<%+KxYU=#$l#J0RhI_D^s^|bh+b**?jb#Z&15fGD!pru z8;vP&^_yPkY3@Hfal&Gac-z2H_-dtTt`T0Mamkogft>sNB`=tu*!-#HL+9}pztK_` zGkx9~@S7g}1WjhD@LNE_$C-qnPbri^^^~lZR0wsolq4qeWp*Mwzc>o3WyDv@>Y4ni zX54N03guz5ES<%~e%%z##8s71tLeAn%4^@NPKYLJkmjy6_~pIy5+2ljza+*o5V^#{ z`XkDFhN~#bdyo76;|?!RLZ}AUKm=TcCUS{~^)7M=o8;_w3HvuEaMJ;`S8t%O4Z`i7 z*iD}N;eqv3{OT0J{Q3L76wO=6wiHcg@OElRJNj`H$%0=wh>(x9evEWqfTl8d+fH-h zRaRD+%l3vhZ9;)76~1K$Thkjd(%vwgmsGewku=BU8r&5j zw`&%!KS|uY)8%&SEywm7fV&$n^O={Eo2;;`g2mv|OBclEZXlyBq_mXi}*{mzN_ z6J0WBd1Ka!kRh5@DGT}vS05y9arzgef(%H|-L~GIoxm93qSAVOH@l!S9DW{k?{}#) zOrKle<0DZ54h=7%Y5MRK7B@5e+&B4-+W{)4e|rj>nj-Rfx#hZk?t159ue)G2*g&Vd zIpObxeR+zhF5;(u8U3c{V&489$#}Qk@(kY5X|M~nsH^vp-ab+f2Il@J`My3?j7@6XOWyfT`GSUUp*KEJi+3dJJHwi9iuZWO-!18 z<5$aZ0|)(3bj0*$oM`_tw8o8UsN293LBF|`fQKDW(Sra{vpEg#Lm zI^;$!jpK`A_%MkR7d@w<5TwC7@y%*WWSrhbAUd#4pnhxyvF-4gv*Yvbff`Z0`M3kyme%ky@ z@+-$p4l#iFKKBXmx_Y2H63CFeuHsgXGpoyveWJ=EpnRj{D>Fsbu#^Y*9k^Tevq%hK zVPdfR_2SLRFoZQVg#| z6HZ^FK~hOQ74f@L508uFNu|ZwmxvFht|S&~aN74rDl`3TazgjFRigS9nQw%g!CCs6 zFG;NYckpE~YRWDagsFuMy~QmkiGx{p(npH6f3~mh?)W%;uALyvEYfD|*mZcz?=ryJwHV?Dfq!eUyl6XaN}`B+7k`rxW(zi(0j#10zo%cu9?I z8!NwKcGC~j<|D`jNPK~Z|H{|83?0UijVEFA4mS;AE8+5a+r>2HGKZo)w*D^bW8`05 z+zLT$s$MOa#px5(L58zsC9DTISSos13G|%&(RqT4po z$kMe7@Lp2)`*vY8u$Pv%t#Q;1H4?}e+g&_J+K{FbyU)YpOqj)sIzb3$9uvqu*pt4q zIp&x)R>ScCL-ch|R6Vd%_vWg&VrGak`FH42ZhJ?sX_4c_XVpy7(hq6xN1J|pg}J>% zh55BKwsxc>AM2(}@9W@8MHV6@sddtlxhU#p_S?qGa^kgK*x7zrd{GA>O@=LAyoH^$ zOV3uY0kca1qF>D$@gZ4iGX{Ehdp1sqLMXF#mCSe2%F1LuV#Th1TiF;y=r~8chT!gZ z;oq~dHa}-D!4W58SE1%WGEM}rUsKlQasj>#RA`Q(*;Wv`IyCgBh^*zUBH*0?kNY+7 zfhkC78J1cZ$@I2pU37Ywo_3YxVvfO@bbqir4aqnLiduNM)IMJjjye8La5x+H5>7+7;<6T%U< z>A%sIn_o`ioaAHN9VKeK)@0)5u`}DTFQS|-bNy6j+SJ5YZJSq+rvp-2+qS(T)V9+v zI_?M(rm(_OF_uGN<*Y(9v+{ePT~WZw<6&+N!_IAf`tuZgbr%pReRc5a`Pq8<4!ndw zG(-EBnQgLYb_zj<>%eBuSy1bh?G!B2uKmuaFho4}NDYkvTy zHMjE2rp3Zcw)_}nu!3v~$mBu?1ryX((%Vp=%1N5^?Ph%MxZL6uoj_@OWaLKxQlv$B zv$p$^;c=7AGp1LgY#8})Z4irfY>29KG0E}U?U~E8y>RjI6YFP$Xa+*I%$}&Rf*f=| zBA<)i^|5!GGy3telBt3q?$P4L%$b>_FDf&Zs#_IZmY7=}zk!uYYO%QnokOO51ET zvakK~1G=2&dE}7J;@tAm66bE7xle%ET<%CP=egz|_Cp`JrK_oPtVj;iQR~IB4x*X6 zgEC5I{#otzHme%o!E(k@1-{>RUMtxQ!03^y*~-+SnTi!}baO*f_3kR6JlRU?^1YU< z3>Q#z5;H4oBBVJl)VYWKjbvkG0^+_iO?UkI>fteFpo^4ow9L% z;9rSEi79UE$94p49ROyvv`ZVk9a0u6@U|qPTofayrf98N0d}c*&s2*80NY|O{ti7I z-FnafF0jC;f!=nydb3_Fqyb(5mr>VVmkUVi`cltC!J@eLcmS9&p(!FL7{e3y+>biw z@CcE$UCie|6SK$JRkVCcFcY?vx1|r@*b;Z zyQ1V6FSwQ^#Gt6dgT*4juKG4YX@EUtJ9|*lva(PA|Sp3NBIQ2WQka~?)%w_-DZ!}!9bLD+d zvE^#0dqXfoPtlc&z@V1#PE#e;wlwp)_|o#XN1MK44_D^1;q#>9+2twA@vv^ld}sZE zPv&g5g&0TqW!J-ym6OG_c22*YKoQwZ&=$fx^;Dvr`{$u6?#bFaavbQ3+MhrALf!1O z&^WhqoCj8ZI|_z6It_}V+#9%Xa8AmamW-S88|mORPCIlQDl;F?MXHN7j}gn?9xzin1Sh>Y2h>h`UO6JO4_nSjCoJq$5((M2Gw4;)QGzBvtMQ&g9ZyRy9`2s5bqj*cw)DZ4Ch2S=Hq~=;6xq7r*(i@aJrMZ$4RNx_o-DZe(pb6 z9`l8U6dj&+thk}|-AcGwk5cd1u}X`-+LT!zl*v9uMRa*4EsTY9I5!x`(-k4rXXg~h z>3^%s#jL@J5;uKd=CifS9xtD|S$U5M{1q5#25pf@j(TOAp;+v_cVnfJ0hf!kDk~CF z2sJZP`ax{?al!l5NvwgkAxm(HZmW+bxLO`gC{v{JbX^OBbRnemS`XNDTdB>q@O!qZ zacpk~ZDOXcTF#d#Ie^U8R-6Dbw4gNmn{Sc8{oTodq+Z69Ttlue1dB?Jdwcn+KjWg9)*QS>F z*kHFPubX}q9{p59e?cSfPG}q5xYBoHqFjV|J{N!G@!ZqYzx5KsMP`1N$ z5)U(bKaH-ygI?j0x*wK2pWvarbeqW7O}85YJjb3@m z6J%x?=eUe)KDp=EUo)rW{`4GdJn^a|EL)YADC#E}9f&U^k`AxoF#J`fKOaZ63=l$P z|K2fX!2aoAYzueBS7j%9t?6;ZPeCTu4Cx(sWUeEp_tof!~8m2ZLJLRo@FSmT^}0q5^kFou~XG-2$9*EG_Os!Y+- zl=n}cF%l|pj%u3ygt2j`&fh{!pjPT7nS16(6D3s}p3O{vE3G$?*fw4?gQV}8F*O#i z_WN*BDs}0_qbHSb!sAP?2kefb3dmB<=3(XK!9^8A!cWZ~b=le=e-84+iyEIWEQ-`w z$>%UO6w8-Evb7B<6vP%@m5Iu^JAvJSx!D2iFEkra4@7H7ebS1!Iw&;gp{8-;E^>qD&83_ z>7(XCOR&C-?~+iH{GozoeGD5GO^teiOAMx6x^FNcR)pcQ6(4*Bni%||(;;$pO&jgg zCE14(*^Ns?x!7twZayFewqYuOvN06U%+&LXB) zW2O}I$=u;`Y-b}KYNpe^yh_epu@*V{?PQx?ql!_udnrM zU1#nYyf&8$u_yN7ZVhRKj&T_$cpYbzO)GanQ@4dulZ^FArq2G27@J5{99(08`Vy%+0P{S3FgLA{Ya%L)8?QclY zlLEsogR|9T!<`6={A9%(a55;4P)UMD^}yHt^BLcLd2=9}t9d^M-Y&}nbi0#JJRckC z^$_2OM##m~-a8Pi;W4}w-)A;oav>H_3)^|#=~whw0@*1^&`?x?TX>O!+$O(AeBc6R zc?7pWu#r5CwLJEc4fgFP0;fz<^;}+Zbk4svD2FceeP{VjG_BX>ca2s z-|yYSF2~`aIR2OTQrAv#vmQk+5T-jkMYWC$DoaCt*E@S2t3**R>}G_EH~c%@MQm43 zDI(1wHHlGpgQ;dn8dA=z04{}xBFfCL`;y!l z8@0k4M5ed?;%BYwGSYDbKNoH!k&zfF-M{6Hk6zZcoo9U>FZC<`wcqc^?P=<5a-XGc z(55C~0TqpIw?5Y7fRlK6fvSos_9>kzk}3|}Ent<0cho~l_4;h5z??_U`EJ7A z;C|HYypG*ygka@(oqV@K8a|!0OicLBeggk05|3f`^Wf+gX9w7ob4fr^yUk!PSJgd4 z4gGY-&~$W4$Eh@}%|gX@<||cOe`9&0bEq`j9Hy<1U%jzdu8Fs{ZN=CNSSS+JY_eX% zYuu8`wmO71j`fmtG>Z|B4U%osiSc0k`M3W-XTt(tlho>)oE%&BL`##QBlUfGp%U1|TE`P;tPi{NHiMb@=5EcKuewQ6Jo@%-g7hwM zS|t4=@kDO^L<3+x%13MQO|h>G+nPT12=InG(4Aqx;F&qm((@)}7Biwbb>e)kNl}Xb zlVg7#MugX% z>bX){E?#|4kN>)IIA*|L2}w5rli0YzDW#$q>5yeAHFs`=FsnYQ$ zG4BuUN*!8Hw5}x5s;Yz5)+EBX!9~H<+b;+r-^b+YmcQMPCcTFm$i!_dTr;M~6%Bev zQe6kj?4~`RMXVYp#nlb2+Dl2B%L1lrV(GCecT9To5qUoTNO+( z$zvG)p?!Se`g*@G`xXGB$r$pOQq9_3E{8V7Gqw?^l~b53uzy}dzGDs=(DL2mGwk%? z^Cbk=B*DZE>ORlpQ-wZ0vsIu+8el{kl!2hXl=BiDLTS~YR~Cm7?)$bSL30yd+onKs z5j)!|z||A(FSD@`%wQ^`IxpyqXyL^^a*Zy1imh1Tbm8+jVrn9<^aAO&64#dfTDpJb zA}PZk11y!hz%$hG`TyL*$n9};6f3)@_EulB5n3+g>6VWx?aBSwvVQ8dyl49Zjyuk` zhQMMlo!jXoShoF;of$PvZ^K2q_VKjBo@}{VdB{2whLwzHLB($#y zG}m!$)|D9Ov!Nb&OG@hoEBEbw>zXb7``4psCzaudvd=G_QzK^IleuTsV!puEME`C&777YVqvt}! zxSHWk{>kP1DaE=D@_Swj$P9`t-B(FS{|Ek%H45ZIjbhSO|5uo0f>SThHKZDW{cm7F zmAWXy6rU^rlEJ1^DpT?Y1S2NVsphHXlLP5+Y1CRoN=IO2)R>gYocsaFNJ(Y7d8&I* ze|O!|s+TF{1NwmBNHcrPAlgQ_nC;}hMvKeCv?oS? zq_qGvrb>-T*5y-oI?^1bs>g7&@=q@5iY)!tMHZnPjUi(erVwXB>I>UGqZyqFLwsTw zKU8`NkhwIY0TEQGvRDKmeu!4;$wR06#32S7_@#{y8GkQ8^rtS1MH147Xs7(({=hFS zhEp`S|Ef2P|K%|SkF6Q5N3<-pci4T>FQ-ifa zCJz~+z>jRY0@)P?ORQh8NRmzc@RfC&0xe3#FOld#nfX}u?SOpeuOV1Y`OZ8pS>o;I z045jI%U0QzDc=4Qrah>G>SG?%z2Tclp}#pFt)Gse)4bf(Bb#x)~mwu0Fv5om|y|YKvT=WJ;!2GEB*z!z2aioJ!S! zIX~b#0=8!1#JayE9j<1f(|?6y()zEi?yTTrmHZ_U{|(yG7V-b+7Lg63`TuUGe>PfS zu-H(rdO`}732dS2kOTYSC&ikUu+I5WK$!SyVe4-WvkPX^&TJM@m;-c&KMRsENj z$<3N^t5!t@lFliB{Q3f3*-|C#;YBf~Bz3m4I3!^52f&b{eG<=kG{)dTdH*FZz2oXx z$$oR4j7&7G(0VfBEz!6Z$XyDn%P^gmJc7G(Omu>@TG@;PX@f^U5nam|M9SAnL=?Jp#H$AdO6-$rE?~uW+ z?K0eu6Pc=o{D9%FCEXo}*v%UG-<10UP`nEx3O*Mp>_BT&3L`Pdw{$1dFIbyxjwB=L zc{Y2<=^j=xA6JI6nD!EiQ)@eY2`s_PV{}{#+x`G37(xf#YJHnW$M!6k>Y@J)mRuRH zJ|bazh7LUL3CVekn71w7Va@^%_M~kg z@zQQ{%9u>RcJt&{!h5{%9U%%w@5fZ0b4857SLyACD`v;lTGPUd={#c^lV%V?Uxn%? zyQSWXX;Neo(cTN?&&VXDo>EGItsVs7h*_4~2(>J)~J8G9U)ahC>%uqm<7b!37TJx=xd>I|R0P zdVg)Y-q8P^;J`DHyqpZ!p7|w#=cDB)I&fd-s5sbF=yq^Vp8X2hw^qQinvB@DR>iVv zY8^mj(#*tBI|N(#-MUg2_@Ja5fyM%Ss8)>_wRk|jro5t9X9PlFVn*C+mUj0CA<8i{ z2^M(7CT}|dB4jmanNXsU9W4vPX+Xeytke)dJV(Ok@DdBHpT3la%^gU6gJCS* zx(I!P1uQkTUyOy8&30{!y8AVD*nVG*@+VzqL1Mm#ATbpiL5Hm9ecsW*0HK4VzDUa^ zs)y0>2}j&6Si&?p03Vu_B4Vk551&*cGMklje~7Od0X>?M5OLV}vI2smoO;B-GZooS z-Rd}HCe0xlTn3XQBpkL8SR}l?zq|eO1}BHA=s>PzSWPg%=2sd}g#zt4bP}Q&UOp5( zI$bpe7^eT@ErW^%*U7)plp3+VB2iTib{U6ms!_?mF&MF)Q8mvtAIcU9+d`*AWMz3z zWMu?)S)FOB5qx|yQhkMPp6#AkuEXI&khlfa2)IecJ^wb0o!#FX#p)_NwstrZwdUZ! zqJNA#uP;BaStMy2N;v{ag4T|*lxX!U#=Jez(Kt5dL3^LUzkNoCRI_yIg{sCH}fB__I7cH7j<2Wi-0|m>p3_9L%s?`fjT#t`? zP-q2&O{hdct3@*pQMBNtv6{7$wnxAh^ao(oA!!?5CBj;+)G!Bp8Lp$8^5NFkc1=~ME1z^iR7J!nLquatSN9g`De8){0=Lb57v5DcGJVM7#>qSzv zIC#}*^lJ=_U5hCp=F&l)Y_|jbC|T-{E%g*=Ch)M6`($U@>p+hgqLa?Dnrf-oB1r>c z6r;66mvM|#iqHuHtdb{fK7G>ZU79MMQ8{J%rE5|H%?{$N>!hW`)X z7J5n;c9;}Vbhk|`7LzHz-Mj=I_x~5f;)9X8AF7K0-tcrFxJrO`Gd(C9e5MUFAfg1o zYBVjj_Aq#Y&v%yAwfJ9lxFxOXLA71?b`jY9fILnJ&J}|BSf*DcmO=LE_pqUqz6vKPU(2zUa$b;?RMffmrv5 zrNO$LPjw2I{9W62jBDkCj@L>t1j&F^tOl}dqz@Q45&WSq_|ZSM+>hI9ezqS{6nH$3 zSWAK%RKzn}TEdYrRij7(i=@U_pN~xjC#!u_=8}mX)K-r5rxx(ML80?Sn$UoYis?dd1eThf zkfdAYlGC5Zy)$9hJ`wp-XF~ih5OP-r%W5=2-vUo>|Ld066!)6rG%W}&3gDe~bb|lT ztQHZg10D#w<4R?-9=^=LW+91C?^ayuMtGqL_*qLmDYDf;I*?B}01Viua}J+N*kLvx z;X&>wy$(oNAvzT-tAm9e%=hx(>7CKh5$Q1ule#v-;+|a21Uq$#u5}b&h`?=~SwmkI zS9#TNMD~KbY!+FlIe8mef%Y#1>XZ&a{472V3P)x^{QpRM%dondCT*19?gY2s?(XjH z?(XjH5G=tVxVyUs2rj`Lf;$9<9mrWc^S<-Vd^6|IIoH+IRd-dd>Si~4?OwH77~lpC zY6!>=W3eOY3{i-q5Vib|wEcLHh*k8v4$cF_T`tnFficjsqYtS$0BDC>=rFM(d+B52 z3<8I+m=LwPne~5vcV;3*d_@25Y=%bZ$iel|#x^Z^4VvBG!f0{FoQ zJYu66t1XB~1PvTUb(41GmMYT0h&3Pce103?tUAcFBfL+siTyX265_wn$}x#UWC5mb zj+PvRMcEiV+6RxJBi@|xF>*qrt*pCA)&-4bn5kSb!cI15n4<*qZ>nLEUWDpKTFS0y zIu?OeO6|olp7c8Q1x)ImU0Ji-rNP&_5%iH|ml%Y!{BbBhiG$FdLe~L9l@3GVb`0eN zz7HksyD9MDh2Ie!DGB;?e3(4NU7F~eegXG{SJ~ezMVQ1kvMz0fm~EN`?GXrro3YFr zHL(9?RCp#&;$-sHR=+wGmT&ZLdFMJt?_>XHjXBelVDF!5*fMONsGoKVT{#BDucxn1 zEPzMWQg@~)^*0}1)~}zpMQzeIe9lwq^Gv@uN%!}sG=^?pas^@^jeVs~8W`P*2T(#i zc_^UtJxi+XH5*);vr*w!T9i;Z=vtg;1;b^^?9!SwMQB7%H;%YD_`p=C8D(`g?lQ@mL;i*(l}<+)IySRBaxK8P&;Trw(F3PL_~kn*dO>b(aY+nbrmE%rGaI5 z12PMU5-sGI8X3y!UZOwQ(PQ_#a6fNba0k+bg_&S|-VQAisV+?Ia(KyGH8F_C_8^=#F3;qWr{yPZ#;%1H-g@tdVT{X;MObtOcc5ag3t@YzwJ zS{usx@|BPm{;P3`h$gZQuep14;Ncv}6s*u^ASUMHwUPT)PU(rm6!dMot}T7NmSq## zVN!qg^o)qMb3G^UlCkd~&Kaq7U1{gi)n<*I=wU=iaQbHP3Rg$pGia%*f^eTHB-_M! z^-s4wlmWhapQZzCZ=Zhe)q$E1d~`#u{9$k+?iOgK^Nv4gt-4EeUPi1;wP$d2`AA(h4~J;3aP!I zR4(BQH?YJz=%QVbb3rD_Hf9nlOfA;C>S+H^b&KUH%Mrd_HA*`W=1?&h?fTaCD*rGUHdoAT! z@S-?=(!KSRZ?mOW#(2BI46M9pd`x}_qlf2+*} zbOm+h)vrU0?Luo{Tgg|XnQ_o#Gc}bm5HWC7h}gd|?tnAW?63{gPlO7fBMy_`#ELMZ zh9x+1?usDBhO}dvEr)?W{rE*o7 z94*o27|<4Q>-n4svP6WDImv`^`HW?gDQDThI~grxCaHkSb~>4%;`c)tQC?rMy5uKh zSPZPYPo@=J)WC3eycHtekpsWktd7$jF2pY%{281lKGQuY$k%Dk%T0>gd%452^vPwW z%sL}hqrPG>A?&lc6_?RqL=Zq}bey>P877|sf$`G6z*bKbUoB;Jp`z=H{Ne|_E9wiIzAsi!&ctnK zgT^>U7bbTjQBQ7!nTd{>z7ID{;Ug*)i;P(l>Y%(4NE5xz1myxMA#v=(9J)vkZGTlo zZ8L(`6m!s<5iToGUXBx9hHCTe8jXBmMr*_KR(ui*u7mK$+L^#@%aX0&Td);Xse+TJ zp^eqmKy9fcvDFY+s0=OFfKsV~FVw^muPI$(Lv7)W)Fp(d`AA?fNW!Tja-I`P z4GpDY3~YysB~C&8gqWqU%=76;oi#b)yk zINws?*pWL%qL1=kdX~epnyhJvebDhbuPg|b7x!FL7G5IjvZW?DOQv7s*Tx7loxtXK z5q^C@-HAJ$S??i(?7i6}(r&Y7uMU=1=fu+#rVPYKq9efwj*rAjf?Jdvgdg=aFEYVx z{+SKl*tH(53{-BPQV}dK%jv2iyr#HBhR;Q}X)e&%(Ei@IC&PF9WPLD-o9~$?k728V zFMTQub)vGNs1MWuN6PQOMCHKHn-$h?Q9Lq_T zdQuNu&k3SO(-|u%$DPk*);7ZB>=Wup5YqQcJ z6i}~#D2S*aAaS-=%6glu`3i0A5%$6_{9kG%ZqJ>!Mpib9_P*-h^0=2wRT65EpotCB zaT4N86?xn>s@rH9F_8HKGw^3j;+B4XfW7!C^F5^?fR!TysDTnvE%2#z($W0(A)pIH zGvXsy>om{qvSP4LKjrAQ?$F0@o46*MJujhnxxo8uFJ0NhL+F$~|4Xb=-}7kxpj9X^ zZ;8_^H&MRkHZgtg;*RL&$o#!G?>8#nv6CXsl?}=~R#vQSqqoj;!`Af8yuBXy!5;Xy z`tQ#NkH793o(3JdYbWs+SsF zcjNZ%^-G5BoWJkhZz5DPfsO6P@u;)@!r)%joD;)(2=6^-6rvPr>>^Oy zuIs|b^Kz{xj(6fPWB)mPae+syk1V)lp6tzkrYo4(j`*t0J+zEV2+U?2C$)>>=N_Wh zEAyzXhG1YO37)XhLDB_FpK1Km491vepBG2Uwc}*^oh;gjJ?>fSDP%lV$RWR zRbB||K;3No>2SpHc4Vj@C#?Zu^s88I51nrF?1AsA1Ft zkdH1jERQ|Q{N<8XTdXF}*VrsQFL2qqJXQWaxbn75<(wZ}-+(-`s8NjgH*#j{uAf~y zzj0Er%(d!aUuY)yqG@}wArf)(y8>_Hu%ea;0(Y*-qVl*W{b*P|K;Ih5h6fLL2&nF` zo1VUm?*0&Vf2wrNn%cU&vy{yD&N5o}49vdG8|tmm7i(>L#Ye(22Xx?X;}cFCcP zDB`zKv#{TxHG|N?#cv;PkD#C&{WsG65>iEh+YT@vCW`1$<1INj8g%VXafpXKDcodX zfU@yBpp0o^&UjQUWJIj(;V&-ppA&6u9qMn{gs$yjcTSB7!LDHn3|LiMS0TjqiO&_r zm58;R_?y%+;GvtEZtK8w3f?CH6Pti(pz1F1lS6`-`bGLDRjg~+SDvhajU8x5X9zBC z84Tu?>O~6tUtmq%*!}cdP|R^&A96n=CFu0iPeS3KF|Q+jnB)`#v#;>xM=+Kfp3ni) zqs^THUs3&S`LRDO7N!ZTRlPe6s`58=QdvO+61L##m@$Z56!&|g5^A7-==B~(xKthq z{|n@=pH#4kf?}ZDf`FOXUMuTuw&p9`wMWDYKj20Ps8zBN77oE%I)s76G}#EfjW&m5 z5VxrcY{a-3b@o}$qy7FC^OI80hi7e;f~?F}7VpN##>_-Spu>3n>9EM)f$sD# zOvcPtCFUqNfVu;cHT-OHq0qyU6}`avTc&RFv^;~ZRq4lr>4>&;x{}OH#K}}v=MqGH znyE>1OT4Ca6_s6Ge z?z3*!BzpYYyPliS^;}rd`eGq$s|K%{t(Vjy_8&v{(VZlyPo@LG?VJ-CU_&iBlDH(b zQ(<;Zh|a?L+1VUMlBef6A)R;=!UlB~`L$nJ>vLyNa<+pM&z5=0b-?YN=I0)+Z}UM> z==nW_l4DEz+Ovm^>z7V=27Iq9Bb)YwNOGwG4<;!+FNfU_$5DdOp|d*$KgHxwYWSNU zX2YV01n%|=j_?XHvO7sugzJ-y<=#h)lq(PeL~xqhxt@a3?oNMQlX^-@uRanw9y{MN zs2vUdI%w}Y2c9FA(+5E|bgOkqRT$4YOb-EG1YAraqUkX8c@bWh{+dr!5py>}Wk9O|;=s_Tc{HTrCB z;rgj8d@#tX+EwT{?wFHYIMnX0%kN+!F(rT=$EfFtQj61WzBAg-+s9>W(5_j}=uGz@Uf(%et!!1NU#Pk@X43|h|i z!LVJIkP&B4h8tw;6**D>PWqv~^dMrccZVXj+9$ng^z1W??pYhPlMQPa+42_!|e8wXu* z;D*N>$4pwRu&U6@wNurHrG?BjPMPOWbbj%Ez7x}&h#t#YGbtu+6!g+|JC<#DOdni7 zxnV4$yj50wI$-iizgYiuSM@sJldv^z(}(_+vX_{BD}u~RCZ4s31NzJnR%p84Hbqi} zqoTlOO(&^7&m9trw#cqnVp>cb|Fsh5B+8zb5NJQXc2siUsO1V!)zri`EuhJEf7e8A zn|KtJTav(-5vsN&K>hBkT<-{7U@#IPT06L$nR2*wyM1##JkBbli)EzYRdvrlE)=*D zm>M0aswOWTyL$9lt~J7^?Rld;JdKj#*An92?RfcxHnXVQb2Nzz*)Gv#;n4oj+)@2F z5xY~rF8{ahic{zzYU;xIja$ErLNZma>2K#-+Mvx;fg8`G!4Z~LQ;p{OS>=J+uImGC zkGjF;w6X!jjIsd@KuQ340F*s5`!y=dgxhb%5@%Z5zzbU*{10rhZg2X{B!VcAFZ`2l zARtb!y?FW9EDlQ|&^~Mke$Qh8aVCH-2}?jMB}qao?MOi^G0Q+K1ZvR@ zJl7Z9_f+P%f~w%Zfsx#BBH;RSnd1hkg8vO1%N!R_6+{8WCLh41o3Oy9?V*C%LP&mb zF7M?i=Hy@8ulQq7LXd$&fFppBW15C!(M<_YrCR16%Cgl<8b<)boI(*`#3QRe28Qn6 z2*B^!?@)LW7z?dh=>fwcK2KnTWO3#6!M;KqjeZv2d}51R_u#<+5fk+K%hivE|7XWc7&{@q~u#0D7)w; zAY}iYzdw^1#d(4=>fW={-qV5T(0p!FmWwB2eto_Bo2=GbJAsJtJdxbPvoBv;G$;A# zR#Lg2Sm7m7OefdB!0xfN%)2Q8S?qy)Kc}1&I6sqUDMQp|(W$!WFW~-9Z84_DN;<}}j z`83I1|0t0$B|zC~XCF)0EA2yad|BUlXO?BSN+TktUG7|~HGO#paIPpz%4QI|y8&*U z8Q7i*Nq%C}j(+w^tI}9(2tuB#QH))eja7_Y=Z$9Rgq`PwBjfOugMuEbwbkZmizZ!- zUo6z4F2-d)suH%+(dozzpBK_qNoZ-t)mDEr&|&Co)OELLIUcwDnYsuVpcJbaK%X(H zNc}LX^ycszK@(dk=V^D5=L%zvGESra1#Ew5FLvV5pKBvvJkdi=zhj7!dr2Fl2$*2t zBZ#zgVgdyLz``3!lt{;f+Q`R)2|iS0a6za+c>Yw40Ki+RPZH;+~0`-*wukxiqcP01y&QnKu4Lf4i17#|;j zlS$oef9Ei4(ALgyFPFF55YiTbOBk2b#?BPl|7UnTg$BOhtpRN-P6xqpm>PnIH6a|I zZILgz#HviDi(Af8hZszLK^O0IExU)`J@*7%$KE^eKjDq6nO@|>s+RBePU;qycgZ7? z;YmQ){|`8?<-5F$P|UR|L+L;KizAAd`_JgFowOOu+&@?mc8#0;WKrn^hdl&7Oj28N zAjUNKTf;T4hRON>Y`KU4ritv2xBeu~foDZ3^xZ^?tt!{P?fAV!iePpDfTRcPQx(z7 zb5ZA*-a&u0wMKBNNABvAV>N=9!_>8$*yiKPT7EbAL=SqQ`Y@Y`d@K*+UTV7YQP_%B z(%}Ojj(!wwWBOqS+!1i9q3VI3v-f8v6Wtw`v^wzBtW(=^-~mk&spa?!@XJ(7^CGOW zwM7+s^TB+ZTFpc#81v9kw3;0Ml9D1gU;mp6?eecLxN?Jk;KQyn_}c?B%l# z1ir+1R#d8x(4?w0y2$DUHlvEvUV?zu(Jp#?ZC>f2Y662`3{CsH_76keNHi zp}-765lZfie2Hvp<>OUSC1fA>(J-+kw1xi{{eqSM0mp2EM7Xd)i%TtzY8Jcns? ze1Op50)dS&k&)}orDnL;2HKw?dwcqpw?dClEl=KDJBO&R zWdlugM6Vbb)JyQN?o*P|w?KVmux>u>>#N-kM(0g zEF=0G?~p;{;XLs(Wv%~ME(wYQBGht!KmI2nbbnq_8pE^0C9}irXfemBp%_%eozzWR z(zQRsR@a1+(4oMzNL5bJ5LI~xiztzLIt-t57}~2@KR1pukJ}EIQ*4W%NNL_CyT|T% zk8N90y;o08Y%@)gK!1~bh`2lY=|91ock{=G2se5r?& zj2xd8Y9ypSZEH74C2460nl@TYQiOmNzOaQldZ~~^H!jqg0RY86idx8ZV_Kkl+})gdo9=(y*X{)5GNW*9koVcz?NakEp|~CuU=OULetKs z-RmmS}YV7+f3Om+p1=Yu^v+7&Fh1;u{DnBR~O&WiAb`!h^tE5)Zhky3}4 zDet7MZ>x3Tw)~^#TA`do!0_)n9tNl*X-{N{4DQ4`>3%fuvNyO|>cQ<13W%QPbuqm+ zWFz1`wtsYq00iBIyo`X@J*Wc&#MOTtU5;@ejQ&XOvHWntvC7?;+a7FBprfQOe2803 z#Ryz_KlJVfP*+PWVPGfSm-FP2e9hRmy{PUwp6V2B)M?w{PCrez2;diU6 zNNRs#4sm3Kwo9s^E?dLz;wgxf+QCKhIh#Y16u6+ULex)p1W$rhyD^fmft}?bjLNU^ z9wF);=>zS0hrZ_D+<^AJ+s~3crr#R?TmUqH2WY@3FK})jkPBr&(4aTgbm(H$YQuJa`9&pQ;z;&7V&^_+w3MdiaYp|Y<$BK?dxfG>hQ88 zovY;ZvrV!xWvj03^)PY!q=$XDaw|T?@#5^9-GBq*#OmJNrFEv$uTp!{LZkZf2dySZ zzoE0M3g@@R43Y26Y*7@^dwgdc2=v4gv6h+cpHv&APt=**y7v5GJ*sDG@mtMJh}u5% zDG|R&Ku)Q%U*>|ha0bb3@jp!dqCysOeF_=0L$2fQ-oGCG6*3sN1dF-#bvf^H>`du# z|Gv!c=4HO8+J!)~?G=j+I+w+42lro$>@|sk#I#Y<9p_|3_=P1Ya3v&*W6w~Ie-XA**vhMcnSn}UoZ zz|dF5uz73u#KE{Ln}5`B8Sdzjm?PsV~_jjAQj z9D3Ztv-ES&t*hsV;H4%@9_5b450J*BUYSp-Esf2@Lx0gU?vINDh&W!Mhlf7V##g5S z7cCIA-Cbw5t*|ZzemTiy5Z2IZU1e7?w~TM!Vk?8J3=k>d(;gRSJG~*UQMz!jeli2s=*)jIw?v~dT}wl2w1o&RS>PZ zhB%z5Y={kHm`A**N4)4qS#fNG)YFJX+{^aY0(a1PN%q%R1W^lNcOPN$fLk1=vIv1c z{O*n#Dge2kFoy=pNRS1gT&_!g?Cr==Blm_E0y7b6Cd@oulizyUXgT0lAmRKHJ(X!Tpr^d z$lZFj7s~&lo;wf+L&N2$&X>y$f0_5dNR;-qh zj&uYGv+vIG2gE!Ky4SY^r{`zaryO#U&fcD0>-}B>0y_+;?k~^A9Y1lE?XACoMh+y5 zR1N4=VR3mnm{fDlciZ{omn-pQA(pXPL(bsW`#mBYb~?XvIvbeax7XGqA-);g#L?;L zukVI22(ImZWe}W6#$n)uF<0{mzJWcUNYPTxT}#_MQcr7FVtB3GM#_E3b?3wX@%Fk` zcW`u|<`}Kak%wEU*A6bgw?9Vek*$@~IYlSEd5Bf)cnh!E<^x4qW9VC+kcL|+l7m}W zkc(USp#ZniqzJe1lXd`+U`+-jE`h3)sfhg}WJL%>&uUZO`rM`hsvnog`6i@@$>}DT zNC`pCF0x_=j1?FR3p!bIm@c}gwG)g}DoovW*z(oD+2hW=-}Q@t_bXBN5R>9Ac9{_& z&Qt_sh#;Lgs5G~mb+LTz!&`ZmP!|Hh*+aP z^_}#1cvc3%l!FmgmVgRUM0uCDfj!<((77C1)Jl3`r=DYg2=+mm`PwQm&vpqm1O*+| zKS_8NDkL8P+yomljFB4JKpC+!KjSR)6pnNmY7tYk7C{jKykNp8sIq|svIZ4amlW08 zF(e-gT!aqti^)DSYOyJa^l&aLbg2JzD+#K*2nlMj@N~{M2H5b{{0ufLCxloYw}(~| z%FE83W)jqmotRN+miB?$GDSq#0RgB`KX}M2Y}g@6)My2gg>F+4&f$EmSdltRw;tCA z`lPeeuf@W(TGP2uu01yo)fs20ZB{@5UfFMIzxy;Md9dn^sOqdjgg=3Yh(m(Mz(S&< z!IFPMl@(_>PBoqO?a9Rg{P=zxy&pf(Az7v?vkK9#>wZ_tcG@zQ5!NSYk#@nWjMj=` zHg3{?ml*YIivCEte*4KjJa?*^iLkK>^`cPhoR*vp7PSk0?DWG>t!QH}n2&383yK4& z?4MnVK$RG-^zpBBB&{EOTA_wGgC|?ySD~rRHENSIIz%-OhGj_1H4OD3-$fgokX=o& zi|?f6t{b+np|m3#O`zV5WT8 zM})%mR=3q=oxdjKNbL;ab#7Hhv(iPEx-lCMOOiO4B9wQhyD>i%VQgmEg^M09>62lK zwjJUXpjHw(=sOIOmU}k4h$vrJ?}g^C{rmwX(xQeh)zTFsPO9FOEsZJqk4X2sky&b# zwt#*Stx+~9wN4|nHiFPJl_Xk2)bJX@G&Lz56s%3Le=kI$31@Min4}RZstE_LLqTnx zn*R^jh1ZFp3rF{gS03dQC0&P&um@Vv(j`ePj%TvSm}b0K+xiO?(V}1iYpBuywaQbZ zdJU?<7W+SdLxDz@sOANH!O*uhHCT$=Zi9JfIJA|wLmU{AH?8(i5w;mp6k%s5xBfTU za5@|-6Zl{7KXkNXzLESNltFJ>9dW`sLK=7w^#M$z zFA|y&_JxDpS!A}32SN+>HH*zT;y*+vFOdkk@W6{ucr9401hyF62rz-N{jLw3`3j$L*+AT5I` z^A5@R=$xiJYV#pX1)hh&nAw&@XO8qp94(Xx*icP$mMmoHUL!I}XeeoHxIhS8BnZ+q z{!vhig_(4?KO7SRL$!MPOGk?>jxi@6;Vj2OI)$D{d)b*0^ z2H$PZYz)ptx1R1h{VM z8DG3#n~kN@np4^|?2Ouo&SV*ulJ*Cj@EqrZB827R5hIql}@sQf;(Sk#s#MqWToGu~MzP zRbRY<@h7PUB~$||nvLG->G&+EW^3rvnf5BYsRdnhY7GfDta5AUPQ7!Z25eNs*BVi7 z`W$<#?JPMo9)mv1MCJLhVw=vw@5diav5t4*;$x3kWIL(iYQQWQb** z&l@H?ym6U)lNV%PSP7u#vrL(5XrSlubfym)!cpbzeAr1LtBgX7OEF+AdEeQn%>V;q zbA=*8V4>Qi4XFYK(C5+^5ra7&=hS~Oug4b(hui;+djZ17GK`6C5DAnb&W`;*$zi9F zxU(P!IzC8!CE@1UX|81$>L9g2B+bG9(u?{X5D`dIyyvh)sedEjGCAUw$No;j2RQY6 zZt)*^M%X^=Dj%aogVR+xsy5fyxDAJ&Ttsz0W+KQUb^1(5`D09B-`KAf;j?A6G zl`IKJ?gG3$I9!L60uhfs-7LPzkn(lmSSr>mLdCe;kUg52(3foJE`t9lO;77U22$&hss3)BPrLrXc#E~rQpXtS-K z@ALOj34YWbP2#rHp*~532+xCuV5GF_NY$a@XmO%-f0ULc!4bX@!@&a|$e2^~h3))y zs{=b=d{-o4$D`s`CU!ISqJ~;1Y+OiTv`O2;-?PK4on?!i6RINqq6R+jnMSC2YEjqm zMHHk0d+68ku~`EI=P-3tte>vUC1M{t(5{TQR2mzSuA}>Iif*X!B$s> z62z%@)~GNm0TqPFeJ?~Rz$u3xs<2%t>I65wfI_d6oE>GFi0I-Y6v!Q`;3 z3S^+H{wcxP&|<;iVztwTqdGE{l98C16>ggRNE($Ej~e8@;GF zMj04E*v}4T%hvDiJ~9jH9_!mYxUgsf@ykD*u0P!&?aV*E9^T%$bOjRq_>C;I@e4a3 z5Zx^=sB=(gTaVV~Q_`4^hTj3t!J;pRYShSGa7Tb7>7d6a+`#lF0kJAsPr`8C2C z6t8N_8`OZe1P#Ta{28IU=Z4mEK=@&kKLySM$=+r&fh zva7yY(%!6T=+-j>QoCMJZwX^%LuXyLMgWw7_Car;*5i7kf3MNONnq*-NQ3B7OJ}=I z)#w^qvx8~#AmAk7(TRP_>C(GzelOr>{38}~ph&zCb0mn8V*`8B+33SyzzOnW3ExKg zrL$4zzra@drMFS%UcgDGBdld*?Tfug6ol)1Ki?91n8uWG@dtk}jb*k*w zPd9Q2yRt?VZ2kq)PZ7KmOba>z1=BAG9z?y$N52s~asl>?FrEbU<5kv3rRwP$D7Dwr zcGvGxOE;)wZcvL>0B|&~MH?Wo%ldGo^&&fH@n^g44$EZR_b9NWj#_((|B)_W_}F~Y zt#3|i;gek+;NX*sj@t%MsAaTs4=BX0zeEHSlF2YqozTLhpJ;op!@V)5+eqfI!-Qg$ z_Tl)Y!bNr&B~|TZy2m4taK3XS!DiEJ!6v*ap)IxHY(s4_f33)z}W#48Yg92lbre z|7{dC_fXP$F6XeCzP9q;6MKhZO_Myn3dld8UF+9x!-z6JEcUFW zs-#b@n|OF3r%SWcnr)Gi-#a+~ZA}4Mha*z_sfV4ldA*)kQQRh;mjx}~cRr5GarK8X zFI)Pa8zYpmDPp(y;eRIc0aNH?AZQE|g}W9JQFqXYP@T(a`97R^K@ew<_h+ z<27_jw?gK6oNSh;|1heNsQ)+`MYMWxq?ULt*H)KVt7*aa)5L=ir_n&~Yma!;VvF4n z<&`A8KGpJ9%j`?_+0XiAp_X^N-G{*W8GD3=Y_J-IbnOe} z{cafH$D6|8))rcw1;TQVS*Xg7t2Tr(D7~kxzS28FuXZ zE+8^y+GX^sw(+tOlsga&Z0^!dW$R+|3jat>lTc&yE0^4&@f^^}WLAQ(E@f0d!vAC9 zdk&273;nX`-zUmdlP(&ud8bi1rx02~yQ!{QIVZ55uW`A2YzdloxLi8U<((}L9aWAN=h{q1dr3_ zTm+iB$Rm)^=q2f7qTcDn14TIk7Rp5-u$0QF|vMIWMBfLD#@NM?;xq^ph*`zv3Q z?C(wAf#nZ#CDF#=3xe4+d)dS#btU;nrJ%kCr69SFB{O!_C4+X=r2v%yR1Q!DK$QSh z0aQJ>oxJ_ciiq53?&xZi$>Nb=o1B&WaJwi<5D4@CP3A#ASEf_=#87lDs8GB(C$7d2 zP-b)H#AE3Ehnt|6q4xmn=)(Q&;jTMN2!l6OX#4Rhy^rywVK+&8W}CBR>4jznF=BzW z^hXAssVz&;<<{u4TCP@nhQ;V-QnqmU1@Kg{esKn68pwC?16rb>%7{h*rj|rtrj)dc z#=e(3B(NGsHexE8UbLbfw}5?Lf-ae5Vsav8B-%SR`Y1RF3yLZ-8i&jj7fl7mB49YC z{HQT)Pkg~mhf7sdGdm~Rmyu3SQCuKhgPp1{cOv#1hRTfAKWSNI=3mL9i%k5*^rbTJ zxc6D*a9@kmroNqd?=AYi+ zDm?FUiAS*X>W}WxQ^valA(ds=E>dxKnw6wGT}H7VxI8uC;^prnFbY$C1}@_A{PgsD zy^-bnx`}^B?sgsV^6h2&roQL;tHZ5X+w)+#OQlCHoq=c24+l8)FNUsSG%lwh3G-E5 zqLpp~!bwWM`6<;`KF=d?|HuYjTO}c{^z9L!pGG>XLRYO)uD{YZDGWUDDyK;E^S_%4?tiCS5EIU+ ziwfqh3rR+<3rPhi6S=Q*(9YT9&})7D?CN&no|Yx}K})F2#-{I^Cupj>E8vxJeiOW; zj_+(@-ks`?$nhcnZCtv!0wN@NXo(|0c_V^@kS5&ddeYYGn2GB<} z>nZ=4%ZeF(#AFvM&&NGEkRiolC+qR3G4Nf8FCO~JgLWZ95jqLi)oUAV&9!CD5}x6I zbLj5B9`v`pd*gws>nLM1@%FB0b3;l5CF(aX&w2V;^Vg)P3jP)hC3j zjNlHAXbQKZN9_28#<|t+!a7kKwA`!~J5>BQKm#+}GePT6kALpZ);g9`9Yj3!JHdm!!Mw{eg7$FXP4M(Gn+A1Q| z$p^IKk`LWZd5w2LknwQ>>Qp6!SDd$6Vq&zj>fOZCMi@vH`MAFm41nCnG#2Q8AKP7Z z>Ue%03BZXmUc=0JvDK~&36&#wHb6mOYa`h(Xh(Nu5>@qT1##byU6Zu{XlR^-&63cf?Y)|6h#%4&p;xj ztnT&iGUc54%A9>9qaDEIJ#4>4ZE|0-hE-LkUkAK%kbWU;pr))RSlLy#9{fGf^k=aq z3HYByy6rB4#}U+b{mf+iq&*#)FTc;$hyxCMiu!TtvS!=8tI^eeNq-LTsT)Ep?q==bd@k3sTLp5+uQ4F ztAN5ik07+S`?oA+g_#L*H#3bA2+THOuThBPrRME#()iHgg^{)3{)9UyAKq|wls*vM z>G~TMy~3sUp@8;?59$r0YTQgswz-xko&(zWI=3xK2|x`r;X}EB7mNpxCh2X&STcFd zBGUTVAzxrzld32#=R}n0hWXQ`Xx(j#qb=Ncw;0R92tX4-$lIC#%N`%+RqQ-F8$f52I$am{k1q2ztBFQ) zXb$V1K^GeuZ#lN3&oa%4rArpKYaKr`cosKx&)2}s!Bl;8EU0sfM5u{kckr%zaHnM2W!w$~uy1S8B|N(5`qj^~hashhTC_H;OVcxkVLu=< zPfN8F9PLiTT8w5P0oIp*K!^q-cohC(-@>aSN70qv&8<_v^e73_1TS8CgEDIyphozuniJ zB38Ojd z$Gon1jT;}qpI(h|k1^C#FH>o%M1R^}@84XXdxh4fEGHJg=vfim#F;!~OKE8F9%c*B z&z~hIR?LcGq7f4Xva+J3zn=eI78j4C5~;C7J*;yEH?@kz)RQ!~C~YHH^h)}M?e?Qz zL=L56Vy`(H;sDt-edIMq)cM)nctQlog(pNfWi=!m!kDqzM6!Pjfp0)Z;1R;KBgt!Q zK!2m1mY2xoNB#RNkOb1Md`IPV0EOM)3ODXMmRu{5@GPr~M~VWB{bB?W6U7~MB861S z1d00i0U_-{BUY*_Pl9a6q;!eCV2LUts$NC=#7()6`0!j3)$S+Sw8*Fa=-3j{K;}Y8 zp)US)&A%-|{sd(li_k9}VyD9}Dm39uI$CWs1`< zGZJEKo_Z(z^83Fh(nMKqVEQmojPQA8^TGd}S|Ud8V*VrD?Zy0W>1Uu5ztH_YNmun) zVi*r>U`9e0?x@s&+e@p#k1~nw?xM+}Mha8v8afy~Slc{4n(~0l;{1n>uc2#79YI^d?*oIVC{(FQBUMadrru2pzpV_*$&v2QhBX+tM` z{3->q3)cSPR?_X`Hl7{dpynz_ziw=B3UOUA9r><6XIhL7X*K!vr0EzpAA0)m7#eZj z>}*^-u1eV9>1x(r+Su)E&M)=XU0zS{{qc?>zP$FlY33LF91z%NA865 znEX>LWW?%hp1&z)ff|_;utGNxLJAC87fVev*#q6ych5QGY!k8f;sda4L%B_zl%)r5|3ugGv z&53th*v<`4o&)K!Z_vu8JTb4Nu5P;?p^{`2LB7#Tmi?3MwyC%FzWC)sLfJIDa8~S_ zisC=CBrqcLZjx+byvj^gJP0ebXLEnx%ovX>(L&FT=KIBO;%1vCg^&Zg84zXfB6eC9fh)4SN8+LURv!LN0-D z@Ym7I-CC1RdWQEW>w|3*Z^guT3u26_PyRv*X#t)7xrD4!1948^Is4@Y-&26|cXWCC z>@ik9Mmf#suJr|Ww*;M;s>83puIqImliUV4_Oi|c2Q5j8S5rjyd^(K|>W9Cu-BP)Bk`aMajj)eVYA6m0}?(H zR1YvRXLo!Q65@)AyKF&SDEW#k!iL5z|Fs@YY$iAAI*@4TrnJ^S3 z9?F+2TQ(wcjzv>`Y1_&k@1zCw?K9vc4S_ls8HM{UB6TEstjopFTXh%<9*QOb3@|%WqcYG0#H#I)4<0YQY8kwh$BPOQ*>7 zf9<>+K02f>OB39`Q%#)LH|{?v6o@CLh*BDpR1nua;ut)=e^dyZ?MMR_vpI4op+5Tt z*&gm zZrW5Y4O4z*7<&kbA#g&Lrv_?3!HAgaJtnQEEB-`S`6vaihMO}2IqV_zwJ;c;Emz93T zwo?wsK#xXs?V2h<4`Qo{k zI72rA@YJGs!!nFs_qXd|e=Zs;ux_sq(rkc%#1kfm*+8>vZ7_AM_Ra}Cr zx$X}0Dg;U8QV`K{LO_#b8Bp}zVzXl}pw4slhB6tp%^tYGWE6qG1OkDjiND+->t-4) z+59R}##I($#`7pF>%nX!8+$<=&*p;7$TwzTJxEA_F;Q>jsd?mjYGwPC7QtfWSyUO- zDbe-}Mb*x*_L41+`}1}hu@4WN`gvviMBMD(r8Nas6YRBx$Z8Xx6_0}mP@i68u4t4i()G7hvoXO zzt0-aZAx>yrjkZ6o6>x{SvQH4?$oMUTD19>ltF6BTHBO)Tld=5T5+i%N>iTOD^i5g z+@!acqlS~4(mcty8@jg7kqIY98QxaRfBEBrl3dj-;7fwWg3uN92bl^R1+25qcB8+!Y;XmttNSb{c|pp7MHV+q<=f;N_* zjU{Mf3DQjo-lhz_OUdHe9i`jiVqOgB6sjrjkMsHCaMcj5SwNSE0FC9 zWWQ3~)XS;p+M;2hvR__Q@WDH5E& zp(jw%c_F+ktr{Fy;zyqNp(%c-gdaxU&`wa09(7O8JPmAQn+El&+=}7=lRWENBbG>{YWS$Mz%Yx6@bBx2@ z;d%!HAj8S~K)3}tCXgGRfj%SmdhIb!lDXF)%i%9gGd;AWWSJq&Cw+b1Ke?(T?PT;z+J|xvXpgOrV*; zOAf1xhjURpvf*yi}kh1%XDqk&GoYRwvu-(rdw!?W{y(vh>a=Mr_1`! znep(-zv}Z~JjO&HAyEl?kkq8n8G20`vVmym=YQ%6F}x@ZBT@PNOFR$8gK7WxGhRHP z_qU&dB*8C_4gY@1>!0$J7Y$+l`-v<-`%h%0X0`Chz-96I^K_o-+zF@BXQ*h5l|Gl% zcvg7UtKDSz=OE~_5)fq6Cw5GfwVtLsxo4CN*LyCg_dF3qgAKQEt%HDPdISg%2zcuA zJN=Mh@(<0<_*9>h!R@sdgW-BX>RxcW7Ze9EXapA#ALaFtU>_~^5oI5B_L1qq2e{*C z_&+K74t5c95Siz8NH}NoBkw-!ZL6rGG!u^xwx{QcSWF&% zs?l4F^^+hyULJ$-@EjG1{F|H9X}Ab)9(;MFUG7uj_~rL=!U3MUvxB*L=&ik8lNT_* zmrk4VFoz@a6D?t6b7gC){@R+&3F89Q6UG&>CyWbTgG@$N^f8=4!j&xAzu>S=f*#i3 zkcG?fWsvZkkO0wOcWcmeM&`_jX~F!sOrGTC>gn-`NCR_#)l=BV{&PD2{IrOFIEg;P zWPX~5Ghigk685?ihu4Kmy~w;!n1_c)Tqfr4q{PZ#C@|(K^lWrlS@TsWOg{2V zCCpLWd^H7%h#Q<}z6)o*|47c$_T8CplzGhkD-#MzxZwYO^yqItdh}0u#IH;V<$;(^ zUe>ZQ37Isl4u7#-z-OE0Eynb1eT1=u`|P_j{IpZm zU0v~o@4b3e|Aof5xxLi=aMsDxVC#b`@}P~#9X&auv%B=x?yW5Bm{*g0{(gFtXR>Xl zhrr^TnCM`fL7FKC;qHGp@@RxIX!%S|7gfOc(-F}`P+L<2enH&Ky+sm5GzMkz?PY)bTcdw@h zmZrPe(*sY_-SKJr(+G{}fvai6#`M6}G=k$V^+dyDOPy_}>@-N?RL5+lK^D`qYSa3r zXWgbj7}K+I)1Zv$S-WXO%7jq3k~W)tJ&WO(o)etLbWFdW#&}H6DNYDscFu7!d(*RJ zv$JQ@vuV?)j_I+Z|0|9eW%<&`U?X0btB@n6i^q;)&X&x>r;Grl!l-g`&$5$nrwLz8 zVq{2avEp2r=AW ztmq?Hcz3e6mXn=~Jy}f8oVq{8K&EL_#>t{K%#wyE5x+YSwN;G%n1{0j9b`1ytk^85 zW-0bYLv~IXL!(hK3n4lg*W__E*>FrS%fVbRVbCcN91!Z^J+`48ZXftwg=)fL#Rn&e z@=$RepU-!QQ@70Jk=df96KnBt+TIP5H^0bkx(mJ(&oI60Zoc`+{q+Moe3Iaxd0YHF z=;gvEIaxW2K$u1VEN$w}%fS7ghc#u{GRuOS@_>^-tU)N3itM@TG3Pc1&4wihF_vK3F-b4CpI)r=UXQ2^EKuyoiD!fY-_(0I_8 zKMtVNJdK-0pi`|4PFwCUx2{mq0~asI1VE@X?5;$&+~6GH-SvjAQog zG-xBcxHAyUbe{hLafI`D!F)MBmdioQC35@Azb-U%@g#9alW(JEBbJ=&8Ouf|VZM(g z5^1VWKf%p@Kg#rEDehyskU>h#0!gNE5oX%5?k~>A{MFyA5SgHr{sxAtEIl@jhR1o} zY*^bFSxi^aK&k_YaI%VvIA#9oBvjo@0}lSb4mz1U`nWC-$jQJ&aW99gOc;1sA{-D2 zmO{Ih9!FdlmUOyyUK7~0iy;>F&Y2Roe`Xz07z9~MX?^8sYXsm+{J>DUava|TC{NrgL z#AI5{*P5Mvo#&@v8q+Y2X_&_}3}m{<)1gjTeadVT=AA_VOd|xQp>282E|IzampS`x z8Z0u6Q<%>2Vz7y+ZTdFPe@vk#(*Tf|vn`pJHRkeqrgJ$FgtHKp>G#tRmx&xE2WsOV zLolDs*>`_AA$gNP5EH+W$LpOcVr3d(vJ^t{35Gw~%08hO$J9%W?#S}7*D;1uNGNW% zZSJ5i?`lQ6M|SqF-QC*Rg>SaSGxq1|tL`hWy79U79WtloX8L~>{-P)o{V-N# z*EgF@)pkQYY&K(C0+P1Xu&$Y_H`ilRwxv|vv~|~4GI@=pnqGP{RP9jr)#lK&W!coG zNIh0#In<`e*tZRA>{z5~s&Z)CRHR$?%`o)RY_sk+*HyXh>QJY2kRPmlt8G_pw%s^% zQe`uCqkPp$FJ#@TvK-249A!{rS(PKNOb&BWVoqv57d8F~b0F0Z{kk10jv9>(y|f$p z+ccYf*ERhZx;t*la5^buN>nmyy?hgk$dtD2IxA9^>$+=orZK~1CI1Uw44cEyG<7?g zFKQVhMj0!WxgN$rSEt+T`)VzH4bxPkiFWMPeqLpcWS;73Jz_|qNLRN_>HCQi`TDU$ zQ;sbOqFduw?|P_)Smm(U_EMn3Qgv%wA&=J}Nlv}2QC!N#PyKx-?tjHy59}WmN&DR4 zj{Q6DzW;&!JNKLYyM@JM<@}0}hPfck0H3H-+hIZK$`6awQ43EB0d>`y^C?Ys<$6;V zuOBPW!9tT`Y*NIxwi&2kowwP@cx6bO37N%8iM`Qwg{Ia`jYilBNmg~3mU0*(NoZMR z9A6giM_CnFaUtq@-O8%9zWa^XtnFI(r2+XyV(o=kr84bi#r~##R=v;y)`xS0*$68v zy_Qi`LzfzF`a#y$bhKHoh1xw~@&g^1CvO(PH*u)LupWhl{RdsoRg<)mZr`ub6=k<( z7=@Hr-w+n4s@JhXGr|EQ?7|3>Na6@v;e#fwK7<8%9lcrCLi&OAwEHqsB693ftjjfq z&JV_+>YE_LM9!CuO+ZK{l+M8TNA$l3s;7CIn8p{0B^PB6K1Jz4+`~h)<9y zI*4&ntI5;&T9{5mDTWFr6iL^Mh%xQ8r3gQ-lKN5DOL(ZSDQoco^x_EJ5V^L)z(MV& zpo-B`Md0Qn=tvbpyaVP}HBTpkK+uqq1qgqHUPqI{Kxv3eqP34rw!X!n_ziba;TuBq zstv27N=HD42-m?+9Mn?Zk8SUDPVI#Y7EINJlwV7isMR>{T_eP1mPJT;9H6?$V$4C7 zcuft_P_`|YYiUeLT?F1D5oNOofl;mdz8o-%5E#l%%xH%JAd<2es}9)1&}j=g9m8xf zVKh-w^_4OO)V%N}bWoDEq|-o|ggMgASVoQ$S>3NiUBww`#=h?Xr8pzqDEisIg?ddY zp2ABOqc_rBdN4L!DdZQW7b>VJ?#sH{9E5PX!Gj2XAnY&tCY5IiE(nATF+_{L5>bE{ z!28tgB$Oe{9d!GGrs^-yeVqL0S^AIWE8_r!{^<_hr1&g8a#BcRbH9#(2 zi=iultf-=(g37SS0ubtwKar8=W{Tt9wrp~S!{pjo>x4uf8U803*&;c#<2W}b2;KjS{QL4tsvnA-SrDn#&YsC5*S9B?hfwKx9=YlAJ}`vfOM z8CVv53SNj)%*o*AB$(1<-PFTKIOt&{9JJVqhO4}7S8a}M*Fu^2-oTQ}Kz7tvDz+>2 z;|Q?w&DNGF5Z#`@S~~-4(vwb%7B4-(i)AZWqk#K<7`)*app?~xprE>pE}IFaPz7wz z78R;TTSnz#Hn1>ibJC3QeIT275MVSgMMe;^!Zc!Alc*_ksi=(KNic($KH)W+AHx{v zBC=y3D9FZuB6bXP5kwGJK^`adx{YY)QI|Eb8YQU52vO&bsvJPEXBlW}^` zl2o-{lfX|C(H5mCrcKejGLLtnM6E`69>fT>n#bp6fhsIe^l9b3w}h3|<72%6uoTp$ zf==^nArhw+%+;tqQp+VQh(g`qjzj+xnRug#crB`ET3NM-$~L;W8x^X-xu8f}{mq8a zfwVir=#?l4y0#>lY9taoUu=N$I971%n^|oztI@||oeS3f zQnEMpmts`(FhcubW=}v!$+9*^Ly4XD*;j*UUEjLIh|D}z&|MMFWxFh-v^JBl;v z0UrmaOQjCh>Z*J0EvZnF58l{BvZhHgieT7GI1|#CZILlZQ9v=2Hep<#G0GFWPZM3x zYF3psJl;uCpnPw%d@4^tAxctA4D}*0vVld?=wWV;#g@uRq8ZK_J#;dp<-zhRsaMi^}U$gJ|{501evEPp}zLx z!M0mOf%*Xzao}XKn%BhHl8jiAU|1%YOz|Aa68lDxEV0lc-iC^56jY1c@E4`F5o8<~Cf+d%XN`efkSiejv5ro?Nzz`Iu zTzI=(A5A|BR65y>OD8QW8qh7=oce1aE-aQEFqG*Ka7m>8V5+7aZdkmkK>}rgHn4UX zS_AbZ_irNqR78!t=pY=DhlA6^xQZ&7dZ6Eu=!_pg)&+#A>#Qt=PLkOHrPhHWCO#iJ z$Uz7m6Laq;S}EKbYK%vSJ9Zg7Av6q~Q|5vowp-Up5R86w5e+xP>hX8|DV$eWPy2og%X39*j7OLmsANj4J1+KOsp z0HP3{DJ)5`OvJ5m+XrvMzJWpM-YCpO3rwE4wO;4lx31ynFi*WvbP#sdbw}2iZ(&0= zeIPn(6iB7i0GkC6z_U5o(#v8qVD1?!AjEwXHIRL1VpP(PR*OQ!pd>Rm$LBemqnI<> zD#D%tpN1aq8AdiAh+l!@j^l}7_OaN_!!fMaK0U4o#OfIs`UsgW}RnIu>g9; zsSFrv~g)%WCygYLl0_e2u6(@%J6r{7C;ppt08T2w-}Gzfs)6;KI%ZOs}LTU1#%|=mS z6p=bjaEFYd6Ad!ZpQ>wt>e(2_5+c|ze>AouqN_w*tm)5FtAK8j($JJ4IO`7%Gam>@ zcXH-4U!u=Z+2k`XeQqj^jNr_#dn~x`vz>WTOt1@-MpUPQ^vGVh>4qKsu-kzlt4Cj5 zhLu(X4XVSS3n0i{4kMUIW*9Yw5v*Xd-Oo`#OmkO9xgY^b!v&0{RdYSeE=^#D&BUmi z%7o3(1ZE^g@L0eL7X7QHb1ga-@U?M(lei(%xl^lTA>e_l4Hh+ljg=}}Z<=}*44?{J z73Gm>cSO4ZR3-15wmVc%!J*x?fGF>_D6?p%;6hiWP%Cf^0bfKEFqFYxYTyP6q@9-S zJ12)r-pd}n3}AfP%We=YfkBf1eTbpXPH>xg2}X>jTvMrsD{c)#Su)A;6r}DUuA+#%#puTGVe-H7!IRJw))j^#Zr) z+QNM^!&);sL%nnrc9 zMk=CViAJ?VG#HC$0A9u_uw58CsaRXS(_K$y%TY>N>^%v?0%D>+h@dO#1%+T^&CoV& zOTnS3PVsEiIs8NjN7gdJ#W6KUm8YhAG#y&M=NtT|v^F$IO-b8!iMh}vpUs-%hG-le z!8)fV*cowZQd{)zuD#_#cx%TqnLah^%=A?XXlNueq?Q6A0GiY|b6MjGY_rCRd5i)# zjR)Rc$JWJ63(UJO|6Q{gWr%L>31O}40sO|DF-)V#Fu&cu7G&I2AvcO~TlXo4$X}Bq z*H$9Vx)FQ!n(agcQPDCR=Kaej&@r;#Cn`BipGp0&o zoBBFM=w5x|ffv5y=BsWvoJFy~k$u3PZ;C5}k0C?Y8d$49L`O)ohTg4cTUqaxf>vQ4 z@4!~8C-W&Npr=(_`b`>Gf{|2In+D)Bi4C0^k}9Zu=e%(jNyWgZHPR=c8D#-nZzT9ATT4&bknF&ewg@4czdG%miY|PIH;P#m>WV@HD0c z8}l(RdTplVMfco&f)N*3Z$BjouW&{J3vfn4XeDPP9@G$UQK|O~Fj9brfFcBxb9E8Y zD*cl#@Evguj0-RYvtzZc@seFF*8l}{Zt?1tDN3Hi2Mc{q)u5gwJ-q%z@Rj1BA zm~a4*qz{7ABz+Lvl7{QCfC1#uGO+>F-V+L6rP;sBjzh8to&=0XJr>-Ru>@PrFcEhJ z4NA#(1z7@-e+H-I6U`Eg{xhDue4;6ZiGPCM%1Zg?nXxSi)R2(3(+J*y7 z!o8bpvQdIG96aJ$!hn4(GTFXqR7r#l6k^wKRwWjpSO}Y(>JQ}hxY@#quKrj=o$)c; zAUWex5jsQQdEygVve=E}X1wn9_}xgqm%~#5Uf0sj%}&J9M=#>X9t6rZrFFZAo6@!t zyD9C9AZFHVDTR6Uu{Io-X=Gtw)FYu|8s7s4u5l8jy+p=0^6;SvB@0BJ>LiY*QLnwt za6Bg*I@TbOq(10sqI@$rCPHhzX54?=`Qz#5bqc~_^j}7Pj1Q#$D2~bcj}Vf=K%Yo8 za5xDB>sn|oFm~|zXwJJc&1UN)%oP|C(kEis6pxrn&<`FpXmCi_61tslDw!|@D#20( zUS^zRw!SDrkzry-(s4DoI+W8f4H`@)CJ;R^sReGsn&6V?I!gWIMx54S1Uo4bf%nowf4NtOj-jaH3Zms`w9E;vQQZUWWrX4B>Lt};#&gU^x>*?#>8a?#Wq9Zzsj0cLos-%I*Q?%6V9yBer$YN<1j=+tZPEmm1 zTP~LRp)xBEPNf6JS!0}wn}9YV5)_#tB1w`k#N#OhdP~6aO>}DomiOJ`3S$=dQUn*n zYm+oIi>6Gcv3*)q#&j4=V7kd*S_~%c1x_v?h>J$ogf3cIChcIH`>cZ$Z?S`TuXY4v zB4b$N*+|kS4-)8t&nS*Z|Cd*yLFZ${bG{PkFf>*o9)`w3NM-A2dZ;oY+6i-6LGERz z7CVOhg_Y5W^yt7$6v>4^OdvH3U&=o%cP`^XaIhUv+f*#q6R{jdmWyQtoiK@Md}5f8 zYN|qT94$)T5Srx0GRLUm+>9}a9X95MZvoQd0KBq9$>QdG;o; zfy(OS#}45;v%#fV z=V%18bGAsEZFHOZv@AfvmY#C5gv<# zqa=YXl(@W;LT$AO`Jfpzj3k22F>?;qdVD7f-BTZc#eDgdNDV@iVLW5isEKI9MNm0T zj5XpyX&Nabh4CSDaSk(?Q7Yg%W9 znq=0Q;cZPEO~ByQ))c-iJ_Hf{TO-|NHC~qtnzr2u@dt`EbNkXUC>Ho z=%XYi)WPdS_i9G=2&DDZ(R?D}jrLWxr@#U_)zL9h znL+ZT8%JQ?X0~>)G{-PYG|=)(47?$k4j|#Yo+WISAb}VwF>`e3Na#_F?1Z5kql!f+ zK8-ON#HSfNbZmH??@nY!QzZIeGm$Dig?XyS#KDpauk(#xHS&zuQX|itf+M`mR1Ljj z4IGx%B{z|V-o+L$0E*;_gbp+c3hRc;#5s%bn5cEbnc!W$n!;Xo=JjN7?GffpE?M&u z%t}!c-2>Q&i&o6*bkPc}L@SlO*oTpE7PZ^mr6f(an!@a*{dE^J&75Mq(cmkJK2s6F##Q-ey@NtpBi&uGjNeD>rNSdW>WL3|lH3T7Y$ze=WT zu8fgDA;}2QtUZ4QDSj~F705GkgOz8I8`vWBxOtmm)4?m@PA9`FU5I5-%Af7OW$tY? zK<#Rxh>c}Y@{hWJ+Xps|#Y3E`4E|wC1iKxe3mJn|pfU+PjLy#2HLoA~(+rAeCtFuS zCOaq=$<`G`vOy8=7%g6V+6y0W%N&T+S4pv-IagINq_-*3jYx)90bnP-^bur1LD$wk zw9Xy4S>k0G#>|Cp!rts+?ulc+DbRa}+%~A`jyZo640>UW;~dH^)WVI~jFg-~7tHDA zp*PLNh92Su;(@(~$DvsY+8fNh8!&VI@iA}aB8D9LVwA!7;{ybjf^8{5IH7Aen!L_v zg!)Bzb-jQjKxk%T6bt(c2Gt$xlgxGxyL=(cRW)L8Du~2Po**7rU`ymah*;jjg@P4a zTs$v{?pO4MA@~X1XRe9CPspkXNFNODjfSGwqh|vUfk!GLa#gTMyT|*=t z2#>ULjX;*Pb3G#XIqqDKK&ydR#*$&N3~mb9XnU}~aB1qDG3C@3!a0v8InrI30n4b% z5_6thmOANhzXkLFwE-I<$rf&!usig(ac?fmj~mO?dD{Un$fI?t&Y*+T1y{ciGgw_ln1BwV)BB z6V<OR97kbpwwu6e@m;MCGw_@+s+aNk)NgXy|y3y>9&2& zYigf@5YBqm&ubLSIa^OZ5UZLC%dgXl4U#ym2Z@3XlNyAzQRp}V9JvbHOOdP4Cl#)W zU3GhUq)9b$ zlTmrlc_|wdK>&+^*#z$)k~y&#;>)>JM?WoNW0WpBfA2`3cQO)PUrGg@Q4@iaXaGF( zkzxK-gxHaJSz>M_i1B$=mWc6-17g~H^^d6Jq1tRHp5s{JUMG8~ml;ybBl?m-87de3 zi70=2YuHB6deQxC8-bT~gl&X_XbhVp&HRpUfX0LexJwoB08t|cjE1oz!?<+r+6)kY zJe5ee)?4*R1(S#j*^J1%g7Fb|2Xz?xhw*l~DMpWr0*oGlCMiA%t$-7W(N(+CZ`F8e z0jCm`E5|6z4KNX?oS-*$pV_?o3VJ<{=q+_+E+#jj(X{9G@*%s2i%UTaJNqldaL-GU z8^LIwwLzyv9e0H6nX4h9P%rCn|7}2`3a5d)jPo&hW3c?e9`VHB_mlV~!)M?uQb_@} z565DcddMpQd=E9;S=SHr6DdxRK`t)nkk$J>KubK62G@9%Zi`D~n z6Qe+u8Wa8*1HesC8{#i*W<&hN&1_P;KZ2Vyl*Zs22UCi{R~U!`0w92h0>cFY8y;+GETuq$H3lhjhPh#sH@z8<9a7-X#UXs|b zW`vRIC>}tIuE56opKLH#wojl%CR>I?!mQK!cChBy>j{4owC+zZh}f1vJj1ae@c zNO1Yjnq&+>y$<0@8aI+uow)+G&2BQ{(DlMCHBIzv6y?K!V`>`gS$gRc{K$be2AqXf zZH6OS(3;WdE3{FLV;$lzy2;^s>@ccmQ|3|do_<3o-8+#wI@zY4-F)Y7GfH&CDn0Fy zjxq-=1-QN2OQmy&45S6fP3)j&5e$6rvh!#Hrg?b{%w3g`tL8o(j!lod>rm|Na2S|w z?AXoTJO~sfUUU`#i|cl=nt!#w97a)lMTw=pM=s&mcf8?Am(a&5nI$CP585%m^hXuo z0A?^Vod2K?uo0p`z(*Ve+b4h&ZgrG~&11~M ztVNM5LP_hTd(e&Hr!7X?0WGHRN1D*sC{ExJWZ(mBmRf{zp9fs>A{P^eW*{`Ah~6rW zSMV9+w~F&>>HJplyhWk-GDy$Kjo(qRVT|!6KUy2446AzdLMH=+bsv(k^L~?+MY1y` z+(G31VY(2Nk9H2Iu#ris(Y9xC3rAIh3*F?=+2MyZVvFh4Pwu|aYp8f3h{z1J94I1t zpq8eLfq}`Cq}wv8DYz};jtSkCJb$NRDCE!`hU4(mGH60$IJ46Z@!BsaAPiX#gM=So z;-(eCGdykTtp_1KW7hvc7d}P>CoIULfS@<;MvqumXBC>?xEOFk6KXcZ#>oFX+@%K6 zWvgW#N!?sF!q^Pk;~J)9CD8DOfWaYey}%%HpE+@)4!!6!BdbDLV6!W&KSKgds9UgV zv_5q&WZWDgFlIBx-w42A4V^Kg6ggv2Wbq;^MsI4jyiXwciUUFD>nd&XalpC}8faWd zUI2|ZvqAE3+FM{!)m52CjQBfGRD5aV0t(^_?gVEyLg@~Y6*WA7Wk7EHWed`4et3z% zBpzO(noEJI#J9A}tWu3`fnYJlUr*rqB!8r2KKrd&3BdtkW}iPJVO%#1B8dJpqBB1w zp_ADaFzi)2!h^kS?mXMOaS8M%mMtv}thWMn{2HLyKMy|x;q5~NbcEy7MC3vDkMR7C zzzQ+m2u6usW&o-un5I`c_MTwcLct&d2E@bYuB!qj+|}nPr|EF52(bzY34EH}McY?6 zs|+%o&3&)Vr=U5lsIYnruFR;%KzejJ1nWgZNDyY=V^bMq67FoFO3@pa13hSzjn31& zPov7O08tYv;+AP72Z-P3$)m)_ImvKlL+`iur!nLx`;YJIEscqgMWN06LyhiWXgEPASp)r{1!67R3P7r9pMmLB$(r z(kv38L?s4<5|tR*82*!g({|CM$ zx$y(fw~9zWZWfWmD}q!L<32txZxsIC^9YjNyym*2+gsP|Uw8DHy~F6c%=Tu!ks@m) zW?vOg)Azivg11j#Mm6X8?+ z#BLDjC%rIYJY&sPNPlJ`dLgDUI$yL5LyaS=q6{`wuwfL-sOu>!Q{##l+m?Djqecl* z4BG{<47o7tj?9+cZyBId-ilxj{hep84Yd`QwiWN zsf@-PwV+s4CGM`82mrAENanW|5>%F8=rP9c>FE%mZlmM!E5doigyLMgrD`yUbE-jx zt_I;sE$Fp{RlKvNxo-#y%s6G+%mNGNslu@Xh7Ow3sCDdEGJs;wlGtPXhHu*IA8j_N zpU`e^uc09?XFaB@=K1X4!ja~19j0b=nZI;)>E^8oe2 zu91T%ryw%)TQNFuF-iCqhOZSMB6Iq91v9@@6sgX6&-b|9)K@@%LiF#Ug0UKSjbLh= z?xZ+)Q3!Q7KeqM}SlQr(R7`|9DxmcehcEbJ2<{(wX+K4%Q97!_PlbDk+VxYQmk16a zYBIB0P`|nG0-tlU3&K-B??fF$yIj$({a!k00^jjH;Ab7@PK0?M&M>Z3OWV2U=6t?x zyn-gt4b(T~Ug*Ac-tr6#?&~w(BR+@HRV7cOztYl=387AXUZExMdT8Hd`dkQ}zYP=1(9ks6XoPK-6ex?pwlt!DECqwn z-it+O652uOCV7~dOg2Jbwqp_5sNN9=qH0Vdq*tJ~?tYH?NHw=b4r&3B5Al+T2HoK4{x6zU}+k<9H{Deyr;#tFg7>I}R z$@HTeMFwMS()Y?dv4rT^fg(GVn9HLIcg{o-(lQfyBoeD_;=MZ_*eRRXwt!IrX!CN$ ziU3ip0GW2{SdB9?3u>H2xlOw&$!#^WrgB?kO;_9VZH5T+lO(r&4KpGb5q2CMY;|HI zGfy&;5&dhK=^ThHA{=dw(Is}W^DSCDu)LP>t8VJ4rTw%Oi87w8Og)f{fpvWuWgT0O zkY*fspj5jkB0dnMouWBM%ur654MLN`nhZEOn$|?K3v1Gj1CN@pKw?T3PfY2**7Yzf z(+Z7oKQhwFz}UTrXel%;qU8DtPSY0+RXns48hxOgv#V^}N`a9g~ix1f+!yWEu z@xfEp#@}^y&nkZP&_$~UYsq`=MG&t0{YzVQzCg5m?GtdBq1>UN4>UmkFuZ)Lid z6u-D!aPkxM?v2H-*xs~vxThCiV4rk%xMvriZ=Y0mxb5PLPE|dB?b^Me_$B*kbBB9w z@!>GogML5RFU2#9?>)^oa!^T~m1~RdKJDSFi?m?2zwUO6cPzZZE`z(Pc>BUDT>0o; zRJ`-FCoHu*`Q2XkmW|@OmisvQxn1|V;yV{wLo}d!S@Bt?s)IjI>+UJO{WL$B`pdEI zX~o-4)lp@DH*XZ*VZRl2x}|u_X^RUn{XI?hn&Nxxx3d0Q#arzYS=Q$iZ?;c_jy|>c z^RV>#OMLFS;?Dv%grB5yR}_DcKa<)YU;JVIOnC0e#c$`&gfp)!ekXq>y!4dfxAJGw zwkIG zT=R1it}TAzH2X+u>x&=D9?8(l;%Bl)QrA_*&t{Kg(yHR8vq#d4rufP1k#v73el&X| zW9*6_&mPI-)WuJocFyXw7vA+!cV=oMk>A3aNon1WX+|?QP;l^^e zDmL9}#k7_?r?~Q>)p^-%&F+k1ykK>XQWeH^e({8qm)ZEF;;|_&eSKtcS<1@@U2#Rq zOD`W%JU-=RQXX79G390W7Z;C9c^PO?T%PhW)ekQo^XSz>7mh|C+SQ#X-nH?V)g$xQ z`PH=U<;AydELTC1akzNz#=}+@rPuMcRCiW!-=hxtuymhNJTPxMyVuLzSA5&Xm8-`t z2U}0B*m8Fi-+XZ4$<aR4;R}=FkN&u;i}xJdm@woe#apM1Nf&P_UNw0rMQPV24O^u<(lPe`)dc%SdVxoaUZa{K7&Vh$(Zg zD!z8%6@tIqtBY@1e$}|+#n&vq>fDQqZ(n$YFedk?;wzQ_ia>S0U)ApU#kVcILJW+1 zbn#^iuL#2)TYUAxD?}o=%ZsmCc!iB}_dxNj3$L(W?d~nUba_T6`^@h4;^&tOPWEoy zD~n%SXbgLm%U(_cW%t9tRag(f8+Aiqx3~Ci6UYY)c)RuuSKq0R(w#j-ATW0L>RyBfPS?i z?B2HV*wv#?RzXz@dV2doLmPyT-o4N{ksc?C4=oSPfPO&_Z#}5$P88}pPT9Lbc;;OT z9T1_tS-k(Cx=X=NpM9#S>qK3@esKiGBoLGD&CA0~g=(SX&)Imya#w2MfH%$iKft{F zyyY&Y32X(eym9`qD3`tBbCH68xEN7 zMl6B1h*mmJ@M?M^3g#ml7I30t0yr-fO%*|xK0LE{Qn7kShD`Ov>BW@-))r<*fWTue zSzT~nM7R3%tYULg-XkdiqK})r0c^yZvx_HA-uSf@aC_P0jW^!~CSN{z<0oD~_7f&= zyn+yD^Z3adFX#lRUom;(C7bBr$I5!-aDf$yNNbB{JbrcQvieRUv=Sra@PXx$@~Xr# zX$~xx@MtAge3v0C_6bu)ged0O(?5o4YO&q>+0cUAytUYyHxWUoXvC`zY$7>WDlx3a zSrfh*F{ic_^I>xinfWnrMPzfI$BKvf3G=kh@MH<%2SJ{&3gcTly|Qzs`)!CH__rV=kK(6 zfwNDUzrz~JyXO|={2fPJi-BLy-|2|m(~6w~$77sVf{)jiSyLw$N-T0y@#F)mN~_ws z-QrUYESFG9B^KLoU^zo2Vwyd5K0H(;?{aZ&A%1gbWib$ zhp#Ty=b57F#8mtARnm9Xt6U%*3|Ch#ohDHh_1c6c;HksSc%c}+{Fgc zXa_OjKW(v0lBtb*PH}9pOlqum*A>T?$62|)xNGrq1IXRei#r#~7>BZ$zBetFN!?hB zrSY8keAVz+zUox-HM++YFIj9Lb+mR@7B?)GF&=pLsm1db5vX->yNksRK1EH9*i^Tl zs@t7=Xz_yOsUO9<`po55Yq73gI-e=}_Fp}JF2M4x;=bjoT6ab9yyaK5*o(I;4$_#n z?uo^TQwRRQ0t1~g{Nq(aZf64Tr6XDM%+t_o0kVPxT}g6&!;-p?P1yziAKUi6el9eU7%9(3;Ntlhg#yzIo?54hXUUY)+xU46B?OdcX} z{mr+zopV-aY<1V&eB3<|sUE2(py1)#OLqhXclIh2yz#8nX4~? z*S?us@C`i08>oiuJyRYXMthr54hfXIMqVFgdyhkk?LAhiJiK-6*zK)*(gmw?HMzfq z;)BWGcJ!EQQhponN0Z0=&luXNE8Doht=n!vqs|O#x?%7+%cI4l^Be%GR>VxfDkNF1=M`2Aq8}0Zx zJpSO-*+_Hto`vaQ>KSP8_Prx}$FDiE;~p7vX#UaC-yO{HCrdp@pq{Jc(;d$7|K5J-u~VZwzxuNzEj6g1)o0s{MBmrhzx7@2zvfW z%*voNdx_L{^}t1Y3X@!)C(GAYk4Rm4(dq&iU^{y^ZEat-yN|J)HFcYJv$9IoiZ$CSG_7oqUFHP;SqvuHKC{quXp2#?)Hg}qg`-ZI@cZPIZ z{)TD3K&JDCW4k(|?o(x!Zn*in>)e^ri(^~YZ&%}P<=n+9cWn0>UDL3J7fL<)a2wf+ zQRb%O^39h1!NZ54409}VkuS4*4a@9agNG0CWp=M&nL_$=(=l`nBYi~7VG6e|T%Cte z?;pKo_t>$$on3djj8w;Qt}NNDTLaUd!t}`W)1<_$yZHLr3uL`i;@oiO-R|c$&RA`f z?(8#H8*8Oi#N^|Vbk5{eu@uz_az%bJ6k(D z$7E*kr*!A#4=Q)Q^x8fs-9sl&DpyRN)b3%ECyje}UUBU%$R9M$B!PmHLo=-lP`gWf$he=xYm z+ZebKg(exy^kmh-q7h=_f>IoDMzXegLnw_uSv#Scw+7{%}*dALOIk z-1nmNVJZD%8|R6bRGD46@7fTu_Bl}bKQMU+^dH9Ohj-m~@b8}cJFI5!i2D{kc((g# zKDh4L?n^fyS%chmPZlW{bNk!wgZ{NjarYE`tvPx91?aIRzne*R{`=U-ZSH&c_jdO~ z8^Ufo?q|^Ej*R6;@O)>_{arqg3HUNi)b7hSAfNX{$(Jgsk*r(^$;@Qw)-f$McTCsr zX))bU6lhvdY)p6V>7mM+3Z2P@5Q53pH6hu#HY9u3h2-G+kR06*l500Ixs6^wBP1*L zOty@q=%1Lb+_Pf3rXtjIL*=XKmKa3SojVe$tld^fHf}p4TX#4lJGT>(z1t1R!Cf7a zqq`;~*X~-8_CW!<)0hzzv3Eo1j;2iIZqUp&-`tool{+RYzQYy&dt5hc#+UBSkgVKi zQllbSy8C0gau39G?OqYnjeBKGx9(Lj-MLqXDr@)BkZjytA=$c@g=FXM4$0o#6Ox0w zHzY^*@{nA+`yd)r3LRPOC5Q@eMhOyfQ)Wm@;nlyv|f^F~OI?kzFBc5j79*f|QdE-UkHldIf&d~TcX z-fMD|`)sn`ZSFgzuCqL}aW|d6I>)DlnLnVfwgGe8alT?&UcDb*Up=@V=ikx&r2IV% zTKgQ)tb1;C=IW5JfykqC4aRY&ZkE|(YTMl+?PgfU-Forr zg6xSj{5cr4M>p;^8MRN#tIyj&4HoIR+fjpkBE>$RH7NFR&p{2EmRFw}YKS<69JfBe{SO|rwBmyY3t0!Rfc|Zk6s1O;qmFG*P>KO*HP)Wm0e5-M)3V zbk7gT%Do^YYxlyCY}|`NvUMjyvU8silD&IzNDl5L(iv#39~I^~`=&b|IC1=4=yub%Ll&c+CKcRt-QHmV!e@l!_3m`hQlW|?CmwL`J0t|M<^DOwDTDeN{;g#u z@VAkPz~5HqL8j^(1l^tM4bpwk+5Z63;lcim?oYu=v{3hjB!7XQ^g^hje`&4mlW_kL zOEE75`zy?e8N%BB*9<&${(~5pZNz} z#Ap6N?>6%XL&R17!5DFsf3S9snSsa4XW;R%Gw}Gh8F-vvtI}POKd5F zClcVE$V8EuG(&%rPi}O7#=p0T)D+_1cK-s|?MeaQcCEJ0b|Y{Ue_Ij1_}hu_l@`65 zgf0Ac5I-IW@&7ppnSK)x|1a{BUO=Ywi9Qr1{-0&3K>WYPTp<46X7y%3|B@f4=Kd!u z-4o>mbc_N0D?Yi=y%}miAn|u$K!@GG#^b}4;7YfO0sS1YcNoyGZ;047-Wpc=&O;Aboo8G&`$yfo4yAG! z2+O_Ol!NO=%Du;wgZGBz-g_tk`ojWGe$W8?!-7$MD9hKG0dQFG#Sd?!cHjb%c0Sv* z1H+o_e2(c4TtQOqeTPKr_(#(6Ki)9e%Ka0Yt=&Jh*~a}mXDTfA?{ezRV*d^&cvlP^ zv>JR%eD!ZQtHHsvf33)lO4Jxem6qKr5L)@7`i>{u|MslJ46;y7YH}bbpDT^zNt7fBL?Z zbpIidUm)FIWiBkw@3CyE{XepfJ+Tb|L)8dV%LWq27+$3@yeeaO)yD8@jN#R~r^j^X zEu<}&GtUgk##=U9FlW@V*@8KvmdzH-8MSP-V9uyzvjuZTEt@TvGtsgs-L)ZE8N;hI zhF4__ui6-1jWN7h`kR9hb~IF3yBk8XaW{r!3u8!=ox3R{dv`n}2f|TrgxwO7Yj>+P z!p^vO<*ZAR%kp6VaGy>vLCUcTY^$?%tSg+{^v7kZ~Zbam0hv(5U>7g!U#+kCK^*@0(2V)f9O&)Zjf8XBB>zFCix zdY-+zd&BOrz!aX3v$yQD3;b5PtBT@a;vSm7-UiMgId- z#tk=z0vEg7!u)K=V{c-94s=>>W4>?WVXKR?M`1naF9??A=VHNpCKPxlYs>7-&tq-& zQ7HT_*5<9w_p>(5gaYplwI$p01EDtSmS^GjgxX+%elXOgj(Qe&FZ6`iq3-~oh+ccY zR$qapqVt~R{}9x4++8A5Nv}O0K18O{d+wS4Bj`HszUPBKMk`{Ke%7ILyjA)kXkeP9 zpYKz(`vRY8+=sCi-ZK5dn6BIx#dPgH64Q_GA_*QXIV1_uz%|QAqH*VFqNRZ=iO!v^iQb(l z3GC$3BNq+b)8*;$JMTK-9t1=D&igb0BiB7>^}hj7O9KQH000080Ox*wPGvX|8XZ0W z02n?101E&B07Fn!Ibvyab1ryp0T@2#!B}i~Rxvn5LLeX@AZ24RG9VxzATwn!Fi-r8 zks{eID_N!+%6wA{S3KsSG8k?j>ua!y0G8w&0UJe6Rmqq4sPrzYI|tCxaYtt5=|<*< ziv==8Qi1IDkD%82-DQh-!B5Wz$WxJuxSM}dR7nOMbP^Am*x!I3U{Qr>1%9SgLDVOt zT;}>US-f!`=b4i84%joNG0p(@S123|uBp04M#E&7!RdL~v__)1_g4b6YoNVbR}_CA zA3`%l0h4k%1~m#(j=q$g53vKdlM?hXz(6p{BW*(CMOG96k-dy8r^ZfC`_>%@=rRks zZWQbA#OEn_PY<4ZkTNrYfr6M9Sn|g9zqBBJT7sS<5!FIFnQwQg^x$~!4>bbT1Bnpj zTSwK&aKNma51{jTSZ!;sl6;f5k3ekf{V?NTK6QNy9h*L$ssi46cijN}5}5j)NTdi@ zsylzL2aCh0q}0&gS|FAROH#nBdjp(@nNsATyNwh&Vcz1)cCBXxWxR|ttXtIUl^5+` zaXRw~hf^|5Cn?|nf}eZ_X0kjIIK~WI-|pFHkh(phYPGq)xscCY= zIhl$LsFpEGBT%f@rdhX&0KUB;JwSyml%s-%2yzjl5y_mxcqQCX;-cX$%JCvFR=Vqd ztN&%@HX4`cC!ccx!@BqQm}{Vd=fElV#5E0C%2gf&yC+sZe{LChwx?&sr*mHd>vfXl zOQ3a~>)Tlz*@D0Z4UmXtP|H9d5jmDiaxXr!{04K&Zt;4K9<3tS0bmw$_QzYFVInWZ z{Ptt_!-l`we8RpLk&!>C4}f21S9zF?30)H0uFG{i5ACW|j^l}jPHmugQ=n?5_ir_^ zy*8t|I(9bL;F7C4b_K$2(Y5);JKIB?gCk_2I+7Bt1Atfuhz23PrjgM=?^Jus98GHl z>JlxsjNG^{Kg8nYh~|9e(#HrAmzKkL*0BIVmtT1g9N|-8 zZF9O$*1Pkw>1*EjmV5;0E85$E*B;6V`?>vB#Cvc0i$^Ly@lLxFrGt z|Ez%~I~vy{mBQTAXuOU)!o%b)ES}_-ZD&kvaq!}v$*#jOy^n2EPd$9bT4E&N|i8S=emgo@on{DYBz z15hA(2H>z(2#p>A_yFsxOvjhC@}?5zJQBOLM}LAEgA%1Sv0-k8&iJ{ZS4@YxhcxYN z5ZU|&eedH1PqoH)t8n0qT7^!wX+iGcg6i_-R;jpl0dl{IZtm1o#B(6&;R$L1FDAUt z-5Mg9z_1qwRUy|>Jwi-j=b-2N#9N`9O)$#R;*7zGXcxX^Fpz08BzZmZfpsMVo=mtPc@ z{^Mt}gFez~@x@xVc&VO}iet5UT*A=eWl}lO&tB89E9=Z9E3cxd_Dp|JiP0U=aycby zRA^8q2yQ<7u?*zu%GiL&dubnz(vXN@GIVA|j>W*O3Lmfh(7Z+ayc_MC;T@x^CiAZ)KE{hCxVV2seA;#u zu6Lc;KmVq`sRfnD%a)(VDzmyE()yIB_I-EXTkE3^W=O-?9_a)j2l{-Ruco1Z$`=aw z>QwsL1awZ}Afe2llPdsh>|k!^5Sw$XRjW3{vXYix2*61%KHw`>8S1Gm*-aWo3KSP& zX>l*-1PA^C|G)l487ICQ!-A0hZc?Np#RHLAt0PnE1?wRH7yV-=&%l4d%SL!93^2}# z2K%!2rc`F(`;v@Al7WcsA?H9)I!USA$gOi>P!riB%o%w*@6NQz;N{dpp3Tnc8BM5_ z(08@UgV(DdqRiruoPy{taa{XtqHQf6d#3}hFs1VaJ|&8f&QuL6kD8VB=x+)Iaz)oz zN6l1HyJ^pT1eUvlfUbz4<*M<+v=!TZlP+|nKaoXl-vH{Gg+F+PSx%D2p+c3^!HO47 zfkDS_k$q`y>%H+o+hLIyvdJ-yKLT#KD?BAGm}V(r`GglOD67C5hrOG;CDD)$f6i|XQ)1f%b7@ED!A)Erpq;V zkZ}XTW;EOm%3g-)2IUVSuYzc-JdrLKHZv?>;cNO-MFeQb@ zu7%}-rgMDlE`+Y8E&)o`PBv7$FMI`BVxLnLl;IS(!D1y96kIx2=9rl%Yfrp_!b;0@ zq}bn+B+VFFzcLp$>+;^+X-Hz(2u(?%GrD<(eeRE~SEgz|P?R zlWh)sK{^rNrJw$&NcnsK34c1#$^n0C#&-OfYS>Hw)~F}n0C0imPQUxqt;S0iJd8QY zvlqA@uoA*DS!&Re6eJNK0{tDBfyY*Q*H(EEhv%-QZnmInl;s6IbE;H<{BwkhpP-79 z3CXqPkIy{o3$K^a4Z5v6yy#VEn?>?uFMbXzB6Zft<{>{Uc^(Lp&o1k=bz9#3VbLO!*oyzCC4@V_w_SMQY((_V|nZ%5G zTf&hZ#~{m%1Ade^r#d8=24i1P2C+swZDtN%w=X%d%~8_oZG50iCTdLKnn3RdpRi#S z_{-`sgOkuX(lD$!52d+8FA=DRu=dJhiHBLsEQ-`rTEjhSZCuP~YOVl>e{qd*0FYo= zOf+2sl`A1f*4w2yD#icL-iNMyw*`(ATFy3Hsjy6@f;VS5F$m`T>y!dzgdTt(ntOTY zklX$y@;&V(ULc>unIVMuCI%e`SV8+mBczg5VEec5-fpY37L{Vez#+Tp@HU0G?|X61 zHy9YATxe)zif{~oY@J z;Ze)}NJ`ojUqi;8oU49*5-n(gI@VmCWu6qqlx^D+& zTorxM&mHx!oV2XMeds`0 zp(p(F1jn#$0_xt)@sBVsJ()^q%+|H76LBjml3xtN4O6-=0H zC;lejPYu5**ZCT}rpD-w+IOe9s(l|^pdYA};H++4?GD$N3g<-)Tzzy7*;funn5jEOKF%l5eT`d6592S?X~m2Mz$@#I`}9q$K+#Tx&kPTihd?*)L+ zSoc^bnhDMEbK)}VcqAxP?C!c$`eF_Jfh2CCL+KFxG>uuHVxh|}rBbNOCF-0=s1J{0 zNp%F;p0Rx9jjz!x`lt?WosnoXGG0%wRe51OU{?HzWh(qC%ghmV+;klBU z+TU?3sre!AC^E!@KAuU@j?6D%h|y|>3cBlq?U6uL_#*aRxEy2%ZC07MVxu)(bLRy? zIAp5F@2!1-@^0pWCUoI%HxtwHz;H7jMy~^NE7EUI$&St&*OQ$TWH! zOUub>+DVMt7iqE3#P^ijYrHgpA-R7{8BzLcJghZmQEJGhTHaQgKV1C*!!3*xrY(Vx z3(k`ttrCP2rc{$HiqP3h1ibf81U3OOKGgLYLYa7|?7Oo;F8|bMFHkOo;}A)L%I=E> zPgW(j?6Qx-qHRX2=^dIBgTVLdQ@$4g(<()3VI;Rx2Bq+~Q5$jBDr*Sqy@BOs>UyCf z-vOJJ4?uObxG{VI@>ct;a9LfZ7P7{alMfoaf%ix%8-p@O7|`ZBoEFyfR&sU?B{X%8 zP!iEL$n$-dL;FhHe8nRusBz5WNDI}<-bO=Jk7y|v!SID&MtJsOCTyp2gKmCRwcyZZ zXDkqlv3E@zZH+rL{TRk42d&grUz==0+;5}9MUOF>nVZ#ijS~Rc6p_qcZ#S@Yy1r}W z)HsSN4)K9?6uU95fElrW$~sNA5Bfm?rM3iAkSCL9VhIUK?0d$o`f(ky_4j29RMZQ= zh|;vBE9aE>>S!^)k1t4|eat=XlFYt&n;e!_pKRl(QbL@}jnHlE6DN&xRkhI$-u1b% zy)a9%MTypMS?J5|92z;tDTh>H&l^i=g0t<(=0 ziV6Pkv(B209$gN&3aa2bViLZ@%C z{insDV4uiO5zGVN_!&!Oxd{1Bp+fYZDie zPEB|!K|HF(fc%D6f*@8alFyjbP$v@A5!`4yVF0RL0cvJe$QpQG)p=bOW?)p!!Te72 zKPweg?s-X+Qoc`KiBdPGY-Bp%YA7y103MFFpDv(Mc}d>QFv>QWIz9@_CMNBn9U{SzXUNv4*k@bT>u26mnf5o zZ(qWzq2aTvYT?^sMX}(cIa@JMC%4anA;x%(>ob50^xH`rs8`4K5t$~Pjs+e^n6A~NR%ycq}+I0Nv`eg(= zkmMpT25T)Ko7s03N7mb7oWCEOq=T(dJ(V!@8Tnw9mp z`|l5-6iq!q)(Rp^}7uu<+1!mn@Fm1RO7Su82w1G>UuGG=^_KAr~m>Tl%!PKKa?~kB1?f@$`gx zMI?N3Ju~>Q1lio$r;B2zB6FIH8h2X{-0ym+2S>&)59mZH2s%vo9-=Z-ApeC9V9n4` z+jQ+eY?A;bVd%BxV^^ss;}D4br6_a1iXrJFAQK-=Kim4B=#(aVi@G~_E5YPIT{FR| zoLr~VQmJUehHk|OsVdhK4z$nH~UTn#|DMbTo48P_z?-=D>Y@bVxNHPYZoZ5?^)GgU zu7vp{Ka(RQT})4^vrFA(r!^o`8n3-tjM)6)xZJQ;rhinR?aJDQ93(ZOoZjCOv$~;$ zAvwi*l=?(2F#H$M0M;eZYXd*}Rs)eMT|YOL5vhj;JDmoVx|qVLcN`U_cyVHETC7EW zR!#S5H)-rURrr{lXsNo!wqdz6EfZ`h@K;Q>J}o!9Q9xB2_dQ(H9@~?l&$O<#xk;h} z3fZh-8(ws~5lDzX%8RHoFhLWej1Qhi0VPhk#37V1PC3_e_k+CwKIZQ%3_sE_Y~`3*uhXnVsxH{LtrZCNUKyXSm=LZ98zURWN3xRjjF?r_=$GsW8@1#CGO^VR1pfCa(I^imJ2_{)6-0%qk-md~mY`t-W4T|?_S1B>KyNt{z;nFovfBu`u7Lz>0yBHpWFL9I_RZ0x?AwU(&nx#6pR zRz)LI<{9`lc8(f*c&P$Ao06nu1{w%cc;OnZN?uG&!@>7C;~&kgq;ll+tyx7R_P%)8 zNXK*8?Z=$aDQn^or7ieQ0O|i6AKCAb^+@84kI3_QnQx}pw#}vOuusJa2r;{fLm>&L zqo`vS7L-|4?Oc0sVz_7_gOH7lu= z4TV4TwLHhLPlS}yh<%jmwEaLded3WUhH2h6iS`kNAVD zt)k2khap$|8on(fetqrNR1X}O?cGsKVr<@B3%)=vY$-so-Bz%3cdGkE;lw-gVuQI& zcQx>U;8uhu32SI7)B!#ouF8T0edK+Sg{P7Kraym=ygB@)FWBE)nbHEn+TC>Yh%^bp8AonvfIPLCQ2 zkx9X;=>efPuoa3KY{6z1Eu~qAMpxq_y#$ClN#JTOw^{jzy0-&3W&e9KGDqljtz7CP z)n8N2J(rSM10?-qaPMkyoSb_~o~Gr`eg?QCaaD`ZDAn5QdHkD)=~gS%_1#BcjU#ik z_qpT3M!W&F2Pj5nYB&f3zFNOutA2w9|2tT=GTjFLZh;+w%Xy7IKX{Jf2dQLxp621g zYG&r$Ia;E|s;;UZZiCupztPzsivZ4h5guP=PCI6gDfj<-+0^q4H=GH*P5V`aND8gOjyBbmHB98 z*=v-5Gt0+fN4NLL5kr#G$F{2SJEq;(+4UAy)s*My(o3N7&&Pg&gMMaSf#CA*-{)E{ zI#fn$lQY`BdjZgR=`JX4cgO1I=XT2kI9Zq ziYbi)Fvbc5-)OH(2_RmQj6^C6Pk;yA%0B5K)f~ZxDmM$|_NKC}0@?J95NXsHH&T!z zubuRXI+olOXV?SaTn-RAe|Xghf)mvZXG6 zK8a|P_EU;j>EHy%utM+*{aDkSNdHTQE%*CTl)v!43zop;D)GJUeKg%je0KaQ8#X=o z-=RO3DB6gIJ?DpDfwP=%Y+{oNXELHhoKJY=*F^R^nq zcOT0Bv?7XNVn1Z_SQae4M62>>#(6z3!us*OOINjzk?la=Aud&VDrFY~qxQ}!3OJJ@ zM$2u3@@06^lTtj!tnQLx2bFSG5l{0JF>gP}j&&vU_`KobxlWk8u!^G!GYVN&elqX&i`hv$pw@?w;juJ4*0pb&^N2k zU?vVo!-Q8NqUC;OJ-<|gXjS0~Ckt!tEa)Bn{=-_~we}{hSeEkmW`r1k%>X$BLJ~6}U(i3xW+I<_FQgllWm}dJ*%z?l4%GtU z`v;K+dzdsZu{-vraM#0rHB34)a)-(`oJz0=G9pPSEwtUof-4@x4j*#jQFaw6Wsl!( zcKE~a0rp-4`u?Eh=Sm$zG{5eq~5XVX%bJpctFGTJuTX0eY_;L~k{xr!ML zvU~GmbYJr}f3?z4&u5DOLcI758z%;zZvG3|e!Eqh+|@{PDJtw#D0wLgWku;RVT!<%3h{uzEEI&>)twSaKYH47 zy9tz^(6CZ_;ZrPI8q1q2K!xgL9cP!=o-vLxu3|TDiL8acChdoD+*`A2<=&3<0%q{p zYJfa8J$l0tm@L{?<}(QdEw-z6#OP9yp`hiduaQ=Sp~TL|j;}YgKXcfdOSGlu z1lNrU93I-o4wEPNE2|Obh1qq5CY%>iQVW_mp3#BU&>3Tmwlr=aOEn8$*u+q8@l?89 zcsk&a{vgqh!PVOvE~zeBs@ii)_Y<*X(d2JL=`!cQBm(-_tdc7Y1OWYIY%ucbl$?c? z#^{MuF%O;GBk(|KG1Q#bt;G6KCk(sq(3;?x;fW8>+bP&`+NpV}_5yZT!9Z|pYaSvn&RdOKrT3veAm1?N@kAu2orZOYRkNV` zRR(=T0*i?z8hvz9Q7NwG&{_`EXVcOv2(*{GwhEtUH&m&F$}h4~QBz)Hi6j~0CioFL zsM*1fTgYIp{A!n&ax2Q%sjDI!@)`7uoK zk8F`?*e$|GjsDr!ExJ>voAd~Hxe5x4bp+$WV2$M;0g0?v!sl^jpLQOQ==#g|dkR!B zKO|#P4ez0X=4!pVmMj5v+U9M5P?>&GMDzw7*2ABl=uzUIpkz5ufSTM1)1o}@iDx9$ zKR|Oh{$htIkS!qQYP`8ag7~Y_K)T8Sd=7a9D_W&Qchz2&(-YD>;VfWQpWY4_0fo+b zED>4~w_O);Oop17l{m{{vx9l;t&k;&ij`<$m3_u$07vOcy*ZP=S9Gk&^WJ;xh{3bF znhza4#p=k)L#_qJCL&t&mQn*t zS`&VoU(X@!g#fcIh&W=9HI*e+Ud5@~58ApmY^%%+UFFgmSA>UXfmrAJcQGD2@cFXm zxY2*Yq6a+&d}2x((p4VzCP2Y}Gk#?k#`t~spfq`$(>u*P9Ikl`Hah;p+MqGBr}IX9 z!);SW3&T~KWTdV;m}1=Q;fl>YeUp5S*uQb_jEW^6276V+WL|n?9+%3v`B0H(@;Pq4 z(}wvV2=)utBs3vJfgu&9D!$r(5{asSK}11Xt0;3 zm-`2|IX8j3!(%L0a2@5gDpbT?-;^-Qk=E>0oztHyl$z;R?@K1wt-Vf}1+Sw9#;C(e zWagwESIJgP?1$_rcc{h_bL;YZWUq_-qSRwl0cf5}XiE1i`yzQXi#EBjP4p3Qy492cb=TV3cg zp6&c=xOGOaCTCnq#*4@_J=YqcY6iC%Xhy=X%kvhW8uc8A_Qy~Sdz z^}#aU^I}`ChxPCrW0c7bSB8}OcwoOW{)m5t$`~yEt zjTkLm-S2y*t95)>Rv5ml^(=C)UIE;tc(|buh@_j$`86~>XWujEB%dZkcpTsa@5)c` zRr~02&`98i>g0_-h3HC!Xo;W$uZ?L0IvWuuf5|=Nkxt= zF+|S(wsBw?7;EOh#V1|la~vrYQt-B&2xFe5Zr@J|r>73pjZp>X=`Gvd8_FukNGw|W zo(QI<;Ko9v@cWVfgq0C^XU~LPyw4bM*A~!csh?pcg&RYHxxK&5HZWqfdjWJTdZ(wP zNBwG8+9^}*xeT{(xCY1^7E*@8I17VgcJKm0XldBDbQjJ{gm7g+-ra`R7!CCgT`$YA5gc_i+uMD%{gVwbevVr`zs>o&2ZCp=na1% z)LuX_OvPfJP$rx3B_G~VSQvs#7gf=79M#A+x5ht|^{xtM*ODFm9|4$s03%Y7?+L!G z5h9=Mh0%WC-j4s)3Nt^0)v?M>lo>!s#NTr|y}mH*(qp*^b)Qgwk4?*gNMe7ID}>t7 zSo(V*+kP$DzE^tpxLv5w6XZX5T$UH=L_Xn;)ntJwgeK8F9+I`EMt0mpjSkxMO4c(>)T04n0zO0Ys|2ohoSHK4s!>j&$4{@OJ{< zTT-t(d(t!2w!~g@5Uwck{xLj0pfK$x?m&}l_M#ge#Kuxk<8_wsAEw}Y++nCiKc+&W zFal-t2^0JVo5JucHf3H{9ZXAW=?c=OexW}oTYv#IB?K$|m1-3ZiHXTSDvdmrY6whl z21sv8z1j#8Zk8)^M#GL;Hv7))lO8%sbMj85a^(|3%T5QVX$Y+1aw&zZt?5ct;&7TD z?EjjyEOr}!sdPJYPvN(fTnQ#o%dlX!XCAT>xWOW84+;_dbY2-@X4{E(PqT`A*I+&pi7j%NX^ls znT+K{>L-UETTT96x3ta+94NoLpXiOjE&acedsBtk{ki9rutf}WGHYo0 zzS&GLb!AI4ncgXY9KfV=9bQyw5&g=XK%BqBBx#Y(XeSl9C?EMlkjmEf{9nD!N55Pr z6J3-)$bk>0bOjOQJij3dLSF)REvekTZFqd>C?^4+c}`(XQ~Fv&WXvgM%cCv-b!Lw6 zAw)z!_C0FVf8NsI@l={wCX*b->9`KZrh~WxJbC@dz_>)LhAu-`C45@s5eB~VlyDcO zvXc)kg)?{w0w?2;>(i|!%-E9qW`%Ztv@yPH#!$EKT=*mwkfN(1Q*TB5d1X4xBK>nR zP&v6EgKmeYKnjaS21-kdt` z1NN>C<+oTEtKj8eJ`aDF`4U1wWW=Z%)w_7UKnFM?-qN`H46rzV^nD^COy9k%g$1P-+0WW__ z!G%fPU74nR2ey70=JpPQoDjM0UcvFrbjEihNA29Da*K=KRfZyfYq56M9Y#8hL?qRH zRU>Y5#gF{)3Uwg_9l&^6JYvk3GNY|DQ4Te|n!2~#_5m^u%dG{ls*O0wLSgZQf%m3U z!jQPLPRP%_6I!1)DZXxr6ksRC--hp3Y(MZ;<+J-S*4e0r-J0kC=>?MKudpTZ$7Y4s z6JAWv6dE>hUsj95{dDjl*-#W9j|k=#(*HfpW7Kp-0xi{*GHN7*}^ScM}R zE?F{sk({S+?35IUy7}OmIG#XqlJ*uU^tBSqY;64@8ialL~4+p;u7QpHq%O zS4Byf-Eq<=PNO8}532ACb+n#B$t=*UPCm4FFavs?T91W z1=E7XShligkO9sh>(7pT6`h+G@Ncr`IN$2V^n!|KuUFazwLP2R=H#nF7}!a&dk^1;|_=44mbCs4K9{RFK>bkkNJ zhwcHch$r(vHK35LeAiq+J%P3tp!aiV=YnS1!qRzF%Ti#jvKwlW8?ihLS;6atCwtFQ z=3J9X`b#CPn13wkdl;UsJdy{~GzJyam}P2%HOyZ4x8zQfh)GE4Ao1^`1!d5PtJE|$ z^)9yK%0#PKVNYCzgnXjz$hr!(OOX3j^iOd`Own#(T|OuOTWSXl#`XLxsL5e&^sxQ; zV`g|MHMKu!BkvOA`(w^sCr(8;dQHYdR8+TRMN=LWnd;c){p!h!#k=fN)~jXNbIzZW{YKG5Ri_cbEsh$Q5qzr85#tn z1sq}+M|ubeN$CbbP>@DC6r@vHVx$LDQV=PrALl*q^Bf<}UTd%WzSr8HtM0w`f7kbP z3_DF)@)j=`F}_At8?N$-XwWWXk3TP=0gle^FMSZjMY{%gfSyq6+E)v0Vfs43B0XJm z#I}^++Dk~lnD}CNWjFz*$2>O!p4@(sVF=0(bSRtP9X>UiJQxS60iLltqTL z$Sx&tM}T$vFV_;xbmHWOu)(JYg^xG1fhZh;C%g?RxFez&<36D05k=aB{sjTg7kCu6 zk)@yu&jr#`GKJc^>meYRuO#E_{v>j1{=~o{FHmW(wgnkz9$45wU;+~3&dqRu9x@ZP zsre6h=cFd}QXE=nTEdhCOw1-raC%dW zjnayoN4k{UBMb5_iGPfdRmTk?iSe4nKUhgfyGh0AK7_TB(eZsoDX)-tFmJwgHZGvF zQQRubY2j9>bY>=$TjBG8(z|c(;ms<>i{|}byI0z`dtyj;9aF@CGg~O_1XZdYt75OW zB_Tu#2to)sXBBjy{XEdMG;!ON=5n&wcWxvEb-@;~7Ph1TzbRN~qSA|?*f;N#on12F z@i^MS$wv`JuEBZ${Tg+(PviTB@8~Pj)82&OhO0yooXgWUdK1XgnpX4 zs_TDQ7)U9Vh4pR;lt9zJGJ+gT26jK23gq|5h_Ji1FyPlnjbf17NjW6XYb>W7r`)Dd^e_Eo4`hq ztZa3bc$@BkijD3r{QOEbcJoR{ru*|&8@_ANFyr>YbYaiKEF3g2gg0|9^K#+&!p@Sx zxW=ky*9OtCZY>|v;9`vHaRRDF(o>2mD?d)Z9#hT}>z^jC=OyMrbC~WXaqsN&5HSxs zVM;jFJoh6k=EGPAh{K?v$?6E$&t&hnybd)^zE?5qr=0XecCMV`rdIW1r{t}j9(&@S zGm<}Tl#hNY5udArXMx3){esmEZJmahr5}cL7 zpDfQsgj&V~yo(4x&fO+&DAoMAROclv7>D}U6qP={lKZesJKUsCxh+uo#mWMT=1^I; zHlL43?ZJ)>1D6aN%G^CplZ!>e4o=$Yp0_yxW zeOQNbBVS3>awhf(HU#tYoF%^VCY-%2Joi9Ekl863 zJg-h7hNk!$UDpuZ80`Kv);xY2L4et4`ErbWD*b7SP5c~q*}8j_EE>9Im+@7en|_eX zob&wA!PLHPKFx=eRWrhDypftW-=_k{9EFvb zv{QA*U+Rs_%=o+@R+r6g-j{2(ECi9BCcjgIm)TV7fOj8a@c!jzG>{DvOkI$HWB&-J7#7Nw>{?V{Y21-Fg7X>Mnp z(j=&i2AfUvFp2dpa_@q%z z4nAUUiNCo3ySv6Tgx-jVMem)b3iz_=jh3rQpkH+)PFDFh;eLKLy~-mno)T<;mn|cA z`u>YUkgUKnEI~5%q7Ps9(vDn9ronu zYTU^s$#batmSAMG)9T@(L0dF|9#e3w+P*ye0oww$8Mo_z>Y8r zn4|86+B|CtYX@WKCeLOZp-Mz5f2G`Z2TtdPfDvD4*8+)pKV;35P3DHJh9=XR737wU z{N{I;9Oevej6aY8FEN*ld#oa5ZLBFq zPW9z9R+XpGJsoAs)AQ|uaduncqp1r9zt5yu-XLNb@PwlSR)fALd`W>I5=AU^rHbjV zVUcK4$-s%Z>dtg8tdN5E*v-p z4H~R#loG&$1$J>goEcFp8EWpGp37a&lK43$^GS-xI8z@waUM$DJgg(nXM3$>!3qYL zug1Kc1m1In$U@7XIz1f;xAz=_D9j`8(_|#(Z0EsA1hLB<8b%swH-vY8o0M>Lht(=l z$J}!M{lOP=kFdVzL06riSmid2XPf-i>?Ifsb{9`8cePVGz8_ZuhTsJ8wQ$7%+@@E}rCOSx0u3*}+)$pW zOgo=jxR;Y)IC%Q9^MS1ngl0dz{g!7qfivN3n@5DNbX^f)&mG=939Cy-Rm>M6!&j5P zj57HcjoFrM5R?M+4<9eZCppqVXC1YBH9n;z$T>I)UiAu1EvqFpzc$Y3WXBhsKcerk zO{G&XR!tmrS;hD4W#5kRy+B8I9vL zyDvn5#wi4qMuJo?^n}Xy#b@zX)|P);j8VxX1=={VJ(MG~(071a?@>lgiL4Bd$G^L! zdX$IL^P7AqZ9F#=MZ;f4Pi`_g?F3xl`4tfjm9Sn}?s%`06X}&jvWwHldw<)Hz0R}a zv5lq#y+Zv(^V?#)Xel4#yqDc_SfpbY-9^9I*CNW?Rbi!_^U)u~`RHxm60sf<{;=fk>Um z5_O@vIuT}^TS zdyGFp_j)7FqRV?0)y7Jar%HTWguVedLp3`tz2W`P^4Yq8r@&yH_M@8prp530js9>|h^|a?S2lrd-PUwN z6`%VGXh%w>6$EgKRVqf=-&gkLDH{_u5@t#7l~yTIe)J!lhONdX{uJYU}%y%^BC+wM6j3*L9 zpJ%(^y`H%AF=>l)tgV0lZ1!DLaG0O$XzJbrS(f($JQ`-7b)_wxdF9XK4CJz6#|EqU zR_RMeWTv?!Y{W?EDx=2ZE`7AiPw8B1uxpUjq$WfJl@L2l0*PoyNHJIq^kSwzgVHZnlkX;2M&1*k)Ff6>y~DYKJj3m7}!i#PkzR z11Y!|55|?t2#N-Rd$m^UiZ|h2F#wTY>+JJRZCy#UkEUe8Hs#)W{@}J--t;5=3@q@n ztC&j|sv4)j4$#b8#LVMPll1jo4E)mqc(r8Uz@TfoucT^B%kc6WD!W2!c_mG z)3f8#IOnYuyQ;`4b5_Hs+s@8t4;9*8`K7^5t|H=jI^>B4YNGMw6HKs{;E*l4E7bJady~&$!3y(}Ga%NjBBS?p%nL4D@-B^-?5A`Ql8~ zes>6ckq_hS%wHKMm)v}I3_jI)`syO!yYYMWfJZ^(b~k?&CAme1YtBa&gw zdCBVaa?$2>xENza+H3Zqw`wV{&C6wuDB|cYIi!h^LIZA29p4GM4Kcei+U2n!U9c!= zI8vt=EL&In-h%LqYGm@*sQJhJE;Pr5T@=B{<^C9S$Z=!_s zhm5^;-1SLNr^Ae0|B-PIu8B%erD8G34>P;|9GS4099*dkCOWPs|Jy|#MVGYqBOMSfHa^%j66{(v(V&o~R=)}8HWX4jdfQm7#PF?}z^5T~ zy}l6xqJEGOLS5S!1~Gs@>!I~kP^if+8Mz*ym^P6}M@Vm1TSy;r7X}flgWh=u6(j67 z6@UN${~OJf*y8fhRTSPh0070G(L6ytwFP?`#fj>6LTEz0E~9`7BisnQoDZ4fXm0l{ zd_i_J2IF%33Vx>iAaA#e(^3Iu$>CunPKwd$TWzz(Lcx2g?WTeEe({4cH<8aKe`{FO z#l%;b7RHY+gu^|)_<#9O;oG?fUKK$SIKOfP zP`w!%`fO!x#@q>`B@+JnwRqO~Q8bGLi(gB>vZLrA%n}CyA}GGFWmCT>ma89eXq>J1`l;e0d%hX+2Cye{7QEuF`7m&gB{2gEsR_ zxBivnl>IsIT#te5JX5pjxXd5TK6U3t<(LNy!6v>Y_()d(Vdy8AQoK=MxI?o(AXy-o zWoZVkb}3b@-%C~r^{qaIPYZ)~fQMkjM3__+g%q{MkN%f%#}yz<69*Rn_(wBgRqB;k z#8usX;{gCSu4?z!xcUUt2mkeEZ-)STySiM5vNF}%-%DR@$~U-xn}4ml8h=COSC9Y7 zE;!gfx=yY{>wWoowL1T59ohfm{zE3o{y{ps*&(l^>z^?7#DoBV%~kOKar&2q`rxW7 zy@&rgMcUh3Cl^7ARwY-05IHOW0QvuS`ZtMH{)2qtWP@vzsyR{qY}w&o8jf8RjY;p>O-A2_`BU+~q@glQ67{nWn%J4gWu zS6lOA9WDS2P`Rz?s;gq7t#}6xhnd#rXx@#LCk@r>9oy2^gCO)?%A3520+nU3O`Equ3=ET;_6jrb9CL3KnOQK|wpWq?2<}QU?BH-;Dg|P% zm1kgZaQrLJ(9q|6RAJGcHixsSKw5)okN0r&f0;L%(Vv+j!!`(!&?^+xz`m)IJUZh*uCB$ y@-C2Ua9HXCVt?|Hau11cHHvrk_x1Jn(}8k49R2)Uebxqol>7yf4faQaKx_ckEl%M8 literal 0 HcmV?d00001 diff --git a/lab2CA.runs/impl_1/CPU9bits_utilization_placed.rpt b/lab2CA.runs/impl_1/CPU9bits_utilization_placed.rpt new file mode 100644 index 0000000..e85b036 --- /dev/null +++ b/lab2CA.runs/impl_1/CPU9bits_utilization_placed.rpt @@ -0,0 +1,211 @@ +Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 +| Date : Sat Mar 30 15:54:32 2019 +| Host : WM-G75VW running 64-bit major release (build 9200) +| Command : report_utilization -file CPU9bits_utilization_placed.rpt -pb CPU9bits_utilization_placed.pb +| Design : CPU9bits +| Device : 7k160tifbg484-2L +| Design State : Fully Placed +------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Slice Logic Distribution +3. Memory +4. DSP +5. IO and GT Specific +6. Clocking +7. Specific Feature +8. Primitives +9. Black Boxes +10. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------------------+------+-------+-----------+-------+ +| Slice LUTs | 73 | 0 | 101400 | 0.07 | +| LUT as Logic | 73 | 0 | 101400 | 0.07 | +| LUT as Memory | 0 | 0 | 35000 | 0.00 | +| Slice Registers | 21 | 0 | 202800 | 0.01 | +| Register as Flip Flop | 21 | 0 | 202800 | 0.01 | +| Register as Latch | 0 | 0 | 202800 | 0.00 | +| F7 Muxes | 0 | 0 | 50700 | 0.00 | +| F8 Muxes | 0 | 0 | 25350 | 0.00 | ++-------------------------+------+-------+-----------+-------+ + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 0 | Yes | - | Set | +| 0 | Yes | - | Reset | +| 0 | Yes | Set | - | +| 21 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Slice Logic Distribution +--------------------------- + ++--------------------------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++--------------------------------------------+------+-------+-----------+-------+ +| Slice | 21 | 0 | 25350 | 0.08 | +| SLICEL | 11 | 0 | | | +| SLICEM | 10 | 0 | | | +| LUT as Logic | 73 | 0 | 101400 | 0.07 | +| using O5 output only | 0 | | | | +| using O6 output only | 65 | | | | +| using O5 and O6 | 8 | | | | +| LUT as Memory | 0 | 0 | 35000 | 0.00 | +| LUT as Distributed RAM | 0 | 0 | | | +| LUT as Shift Register | 0 | 0 | | | +| Slice Registers | 21 | 0 | 202800 | 0.01 | +| Register driven from within the Slice | 4 | | | | +| Register driven from outside the Slice | 17 | | | | +| LUT in front of the register is unused | 0 | | | | +| LUT in front of the register is used | 17 | | | | +| Unique Control Sets | 3 | | 25350 | 0.01 | ++--------------------------------------------+------+-------+-----------+-------+ +* Note: Available Control Sets calculated as Slice Registers / 8, Review the Control Sets Report for more information regarding control sets. + + +3. Memory +--------- + ++-------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------------+------+-------+-----------+-------+ +| Block RAM Tile | 0.5 | 0 | 325 | 0.15 | +| RAMB36/FIFO* | 0 | 0 | 325 | 0.00 | +| RAMB18 | 1 | 0 | 650 | 0.15 | +| RAMB18E1 only | 1 | | | | ++-------------------+------+-------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +4. DSP +------ + ++-----------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------+------+-------+-----------+-------+ +| DSPs | 0 | 0 | 600 | 0.00 | ++-----------+------+-------+-----------+-------+ + + +5. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------------------------+------+-------+-----------+-------+ +| Bonded IOB | 12 | 0 | 285 | 4.21 | +| IOB Master Pads | 6 | | | | +| IOB Slave Pads | 5 | | | | +| Bonded IPADs | 0 | 0 | 14 | 0.00 | +| Bonded OPADs | 0 | 0 | 8 | 0.00 | +| PHY_CONTROL | 0 | 0 | 8 | 0.00 | +| PHASER_REF | 0 | 0 | 8 | 0.00 | +| OUT_FIFO | 0 | 0 | 32 | 0.00 | +| IN_FIFO | 0 | 0 | 32 | 0.00 | +| IDELAYCTRL | 0 | 0 | 8 | 0.00 | +| IBUFDS | 0 | 0 | 275 | 0.00 | +| GTXE2_COMMON | 0 | 0 | 1 | 0.00 | +| GTXE2_CHANNEL | 0 | 0 | 4 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 32 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 32 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 400 | 0.00 | +| ODELAYE2/ODELAYE2_FINEDELAY | 0 | 0 | 150 | 0.00 | +| IBUFDS_GTE2 | 0 | 0 | 2 | 0.00 | +| ILOGIC | 0 | 0 | 285 | 0.00 | +| OLOGIC | 0 | 0 | 285 | 0.00 | ++-----------------------------+------+-------+-----------+-------+ + + +6. Clocking +----------- + ++------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++------------+------+-------+-----------+-------+ +| BUFGCTRL | 1 | 0 | 32 | 3.13 | +| BUFIO | 0 | 0 | 32 | 0.00 | +| MMCME2_ADV | 0 | 0 | 8 | 0.00 | +| PLLE2_ADV | 0 | 0 | 8 | 0.00 | +| BUFMRCE | 0 | 0 | 16 | 0.00 | +| BUFHCE | 0 | 0 | 120 | 0.00 | +| BUFR | 0 | 0 | 32 | 0.00 | ++------------+------+-------+-----------+-------+ + + +7. Specific Feature +------------------- + ++-------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------+------+-------+-----------+-------+ +| BSCANE2 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 1 | 0.00 | +| STARTUPE2 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+-----------+-------+ + + +8. Primitives +------------- + ++----------+------+---------------------+ +| Ref Name | Used | Functional Category | ++----------+------+---------------------+ +| LUT6 | 37 | LUT | +| LUT4 | 27 | LUT | +| FDRE | 21 | Flop & Latch | +| OBUF | 10 | IO | +| LUT5 | 10 | LUT | +| LUT2 | 4 | LUT | +| LUT3 | 3 | LUT | +| IBUF | 2 | IO | +| RAMB18E1 | 1 | Block Memory | +| BUFG | 1 | Clock | ++----------+------+---------------------+ + + +9. Black Boxes +-------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + +10. Instantiated Netlists +------------------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + diff --git a/lab2CA.runs/impl_1/gen_run.xml b/lab2CA.runs/impl_1/gen_run.xml new file mode 100644 index 0000000..fdb8b46 --- /dev/null +++ b/lab2CA.runs/impl_1/gen_run.xml @@ -0,0 +1,167 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/lab2CA.runs/impl_1/htr.txt b/lab2CA.runs/impl_1/htr.txt new file mode 100644 index 0000000..a32836e --- /dev/null +++ b/lab2CA.runs/impl_1/htr.txt @@ -0,0 +1,9 @@ +REM +REM Vivado(TM) +REM htr.txt: a Vivado-generated description of how-to-repeat the +REM the basic steps of a run. Note that runme.bat/sh needs +REM to be invoked for Vivado to track run status. +REM Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. +REM + +vivado -log CPU9bits.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source CPU9bits.tcl -notrace diff --git a/lab2CA.runs/impl_1/init_design.pb b/lab2CA.runs/impl_1/init_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..0a2f9c2b758b1900ede40ba2a873f88407965483 GIT binary patch literal 1837 zcmcIkTW`}a7-g4s>|;QM!~^AKgo;K*qS(!rwulE97a=Y}uz{F_kV)LmTVhAHyLCM9 z#5?>c{ug#HBclP02c$eCw)36yopb!b0jzAuETbanqK1arVtszC)m+}^`!tazPRU~+ zDHnSm6Q+4zAkWAgZM@p*eBwqUFQ>|&VI1w@^}z7nr+(NDJ@T9^C9FpWsp(E%wkx5B zack#PzBpX`K6k1%4}G}#a9osYG&#>j9~p_XiZwG+MhjspYA)v~758@-O~_SP)^ea? zra$5mq$(z!{i6uA3%C9R6-%LwI*u0phBi6#7qqa5#=yHTXnOeBb<@yjOK{CI#8Q?q zVO8r%g%q>>#G?hMRqFFNYAy+8QmzfT2yol%?F#n7s8hs#59gmtnm9p6ksE{IwWwK5 z*glULxdj(al*1~q#RC__BN?B;?N`7y4clj;zyzIb4d<&5r4&-JPG z%^iZ;iq&+z-K<(mGbxA<^{EM3D&rVW&Gyl(l;jGW4ubCJ`RCosu(a03ejBfs{CWv0 zfgc2A(W&Cdvz)CaE5ns>Qoq2B@}#~Q*x{oNyD)JS7vj@_#!ESKdk&al$V~Ij5+7#S zIae^oMOS{z!4vDjQ_++9j&tK3Rl>?Q{@765@R+7YtH@47cj}Q+Qfb6QEC<3^Xp85D zq`g6fA!%=HnMQWGL?3s*Prkgp7;C}fUo~Ez&GG*fll}DnQhO~{gr53G9<|;vytEG{9&`zB7PK>n6+J!+H(Mp NYz$u8$*Gds+)p8?6Bqyh literal 0 HcmV?d00001 diff --git a/lab2CA.runs/impl_1/opt_design.pb b/lab2CA.runs/impl_1/opt_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..50748ca3d3a409141bf83cacd4e82284f13fd4d4 GIT binary patch literal 12471 zcmd5?%X1?~8ISx-nkBV_WH)#N>Fk!XyUt3Qhn@}#>}r)Qvk$9mZ=5orT;owoo>-n4 zW=4Jl7YB+H7eeu+k!0 z?~g2#D>Y|#*RY$5blxXdr{*S#`oe2_)}GOH0<)uiix9^RHk-`1+O{^^WG$oH2^OP& zHl_<%Rn@m&aiBj|dJ z-k$ewQyiYhT9fVJ8)AvmF{vw+g&7mXIkt9_yuP&ScGxbn10%4o5)sg+MEfRr?Z7PW zs3j$^h97UtsO79)D90)NO@=6sonSo5ra_sioIhn%P&R!q9rDm7_g1>L&H49erA7@O z{Bu2KI(F0I*XLa2tO4sHv1!^kVw);tH7(AI74imI4Gd4nY~H79hQA}kpep*pS8%W& zc!p&MzIL5Vf+i`4D_MLyzH5WL6Tip46>NiE8f<&aXaa82a}!!&VY2C&+FK-}Nj9C< z^sL6E*5}O&@)qYw%d#zhn>A@~Q@+`xR@N3~UC;T9nSu5Wc~zlx&vQIqwy~Nml+^gf zP4ckOVFn=Tu@>_iz|Oks(t=C|i=T4*9{Fwae%o|L!6+-7dXP6=jkCYV}xy8T6!=IAp93J&R= zXAkj;%Zwd7E-89WDOT}H8v?_ETFjM7m0=dd1=Su|{P)P)HNym7Kr6@R(%|;PM?mX_ zFi|J-j~ts(p9KPJpFFD3AlxekGr`FTy|^$FKHHeqD_Ol5=i@S2tV16_LmEK>#q@~Q zwwbx(cXt=5RxC4>=@mdbQsFooewiYgACVu*MWdD^qQRnEG^(nVb6Qm&$fl?ja++Qk z=3JaAV`SmHPu_Uyv@Me^!}#u6pQqSLnOH1xg*3J~`se-76HKa#nndU|GNIC8TDhjJ zFc=RQ`r)U&!?>#TeMFbsZFGh9!Wvli9`gd`0ry`&A0u ze6lHro1#eIrYJe3Tu6hQrWJG5k~)AKzO6`@7#Gj)G9(vafts+@!GKwCMQ}PE49{L{ zQ5fG+Ib`37a7YnW%CeB5UP&;$I~r0o8B*_%H*4IN8Fm1N>bOSxWEJ^xp!PA*LUCwR zM*Ss0u5zA`L)7>(kQ-U9DL6}6mcg$z8vJw_{Jue^SN9onhi#b5N><3NQ0zJtZ(WX@ zEBblL`L{18=X3_9NHAqtCRlGY!4_nKohM&=_~N6-6i(`Nznd^Bk4Qcga)A!*a5(}; ze3BBroW+;?BSY%xzQk$K{cXz>S%HCAnzKOeVRGEX=+$EAVn*Y4itxu<7EmcAL-?c7 zpi-1UB~NawZdClT?%~EYHIX*7Wn+-l#J#uzE6rFEJ`$GpG$&7^*k-gpI;6V z<1qQ<;gBbD$i;f~GbEyh-DY&Pi_(pEn39CzmHTNwDX&~3nX#Xs4DosPvVKyi{rLp> zZ{~>75XDsfgXgzuNZXLS^->d{I$B!kB_`ogRJKB<;%xDvkk89#d#ECE-HJPFpVA|8 zS=`8`u;bq|ETYJ4(a>FCz! ziDtxo^6mrI?Hr0y{-d>HJ~5XQ+h?lL<{MMkB2kU|Zft{Pl=72KwYR|p@0f+;p^6G3 zlz370+&Th(&5%1_3Vu;Oz%eSLYuivf72;!n%Fb4xFI;=MQAp1*JFWP6IzEr zn>LI9)r7ijY~iZI@{)Bv{*xhp$gHm{t<^W5EkCMLBM3Zes~a$i>I5+JaOJ@>-kWf` z0beoDqzH^o?;$!Sbc->YcHO2ZlcR&-8+(ijq2vg6pkNgW=DdTrDONY3BfQfQ_Qlc1 zMQ0nQ@jOq2r7a<4eyksD+tYHbuZSmPCaUb(T`RW_HboFJMn_v6x}d65bLHYGJ?&3NHX!ejufncD z3u@^9+uii?e8OX+a@)g7;^ncqH~u_;ybE}q@Youecelycdo?P$yw&RZ=E)7U1P6aT zL6+wI7Z+&DP!QC^M~-|Njd@Q(ErxWm$5W++GIBEo#w8*W)6Q=5|&-}tylIBp>c zois|WL{AZ0NlYwnf=56dHozwa1DH$#;n2=22UkMJjY3`zTG16^yd4{0=N4Dv;wiF- zZa!9H%Y{l#m&ppD;E>*ZagmHTUxU8o|^7MlUNSP$dr0~R&Zk$<6lKhWPAOjo{ z>XsGwJZ8@|O<8C#3zlKbC=vAjK0_wvedL%K>hP#V8}dEFsOd ztPZ37qp-w}10y^n3R!nc_6Vz4&pnVm!ZI0VQrtHFF>~77FDBjw!;Tj~;4poXQR45A z8!N2kF@KwTh^2<$8KmZT77J4n%+4*$nwY`_c%aZ#WWa^^MIQ_7imdwB>(qCdX|*iY zMufc~~F- literal 0 HcmV?d00001 diff --git a/lab2CA.runs/impl_1/place_design.pb b/lab2CA.runs/impl_1/place_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..a40d85d2f149e05132210807daa9b6bcdfb88620 GIT binary patch literal 12212 zcmeHNYj4}u8LoU$)^}cD6iC*3S#g%lt>Z-!FS@$TwnUZT2{0s;hxMN#j0&-32TdEgURX*z>} zW*b{%ox$qT+CoL$eDmBq*9^zkErq~}YiT+?F(@-nZRM6hd)mJRV z71VMqsp)q)kait^I?a|*Gg+FwW)0MA`C>W5unqS*L)+%~ZFidFh3WUvK*aBRR5Q>} z%WDftd2`Y5bmb1@6v1|v6}6!7-NrJ12Y0xvo@tw`PmM@uAgtC%TKyjUKq4*Ab37)J zj23UylaeVsXN=iJHp2f;P~iuG0}LFrzGh(-e~`rcD|oVx83sL` z`#O&RYHM8!9hN7KWHus0#=q9cK)Wyp!vS%6JV=`DBgO+nM#~4U_blhdq%g^=QlTo# zNpC)f6=?UlH?OlWDK5&AoZi!2Sdhv1ev}7rC)_L9v(Y0p%lu+Oy259 z@{A;H1^>piSFZh5R@F+eCN~7XeFv7Mq9jSiGn1LTa`OY1cKn(>D&Pui0gAj5U`5Kt9L-zdltG8Z){4WX*v2? z^1+j#X&I5DZ3hj~xYDBNZNd7sV^hMYA7toHx0}Q_2RvUUa&uU9)yif*{O)*Jtrye^ z+CJ_YPN5Tdyh5heHs$_ZTBmiXE>Cd(uP3;_1D{QB|FX#a)mgg!S6L0LiVTctE@iyGGML_=&e%y>&jxdT?XO-30d-K5O@2LF)3hp=-K=Hg$#>aOKH$_{1jwoMEa3g^!c@i+tG4Z9{1U zBe`n(G>+AJPn9Z4b!PdrCMsOjS?1G>u^=p47z^9f*UYJ7A!D^v#j$|ZlAlJIJ_!au z%){1jV3TR`cw8;{5|f3^y>$gS${dB#Wmd3-!L$i?ALB^|Ie4MDa3cTAkU22&)?{w%Xo^;?Dq?-F@F8R6Ety6J7b341tmhbih*%e$h_m4J!{lNz|JUZ9Kf$4kZaRqjX8AWc&GbM{c(9?vL3rMp@iOKZLgf zbw)ke^o1}!4B-n*5;gA@&)C$J#T_&@byrLtSa_Jxz#l<1Od4FgSkS!V%d+~guxZ8# zG>9e->lVL2&U|at0x3dPjZV2@5%Z2|#xv5gxJ|}0(jC)I9&m+eDXY`Iz7XUTk}DU2 z@p#5Tp8)T?yWMB7Gm5FJxhh#(Qg!ZTw_F#Up3Sspe*_yXDf|d&ucw z4>?cZx#n>KQ~06jAsvDr)kaSPcfq$pnh|n5bZ2Pe;7$#^ltdnyQcv=Bc5RnFn$kfsF^+@Bp8GZb)7QS8(P+7s9cIJbv;RruaF`Y{p}`i z)bGRX;M99W+S?LMKQU!O79f%!REQ`Ov%EonT~q``|^R+HAoQlOD*p`qpiKMNN<2m$A ziyhxmq=H;;q?lQc;BF-6)9uy{@f>{DJ`F@HDl$@}k`nSL0Ux3wF~8}z@NVmYTl zZm_A(M$)8)K$^sFZ?2WbT&g4UiX@~VUsIqP2Pu~J^-V@3(!%)0DG6}H!7N}py*e;O zlqAkyjOi_^B1(O;49@@NfE*%`6O&;;)J0Mp=~8MVq1UFKhW?1wLOyI&k>ld=E`ZMY zPOgLGKS65#6MWv>Ds@`zX9s(S&pLapgHp>F>H$kmvr5k?KfT3EA5-s}r=qU-S*@oS ze>yCzbIM?@yqQOdocO#8YU_gPfrFDR%>=y?URDreTj_V z9k+WuLSvRNpe;}ilcVoB)(P^P7%8BKbR8sYUNKJcrG%c>DDlr6AT>R(cT&K#29u=5 Wbf?G@40am;TTz^Yb;mPSbN>U9{c9!w literal 0 HcmV?d00001 diff --git a/lab2CA.runs/impl_1/route_design.pb b/lab2CA.runs/impl_1/route_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..7d7b234724bb85f1f709cfe3c4f759083d6f4378 GIT binary patch literal 12222 zcmd^F&5s;M70>MMj7edFiIk9RLR4|s#9lnJ{WV{Uf^5%joF#F**DqV`mg@whry1xA6p>t^3er&lKCFetb5VJj-Mb5sb zowHfb9Jujn`j6eRQB_s_;E7=2c;V-zCoas9-XOn$6{W@ zXwMI6kD2ixWc0PA=rxMvSMbbchxkG~;+o9sN^7}dK|SBoE|RA<`hm;(%!|#~!IM;h zktW(#$dkub^RC)ZVyF0ex1u(yy3x#P`pXhgZus$oX;y}2s`BtPYeTd0aXGPJK(1^J zJdfLNZL34g2=)s?X8E4&@a=P}a?`+c>A`8*gB{$0QPs4pH8#nM*)@-x_yDqLd=N6z z1~|%#bDFU{Z-4x$B(A7c{}3AqBfVG z6rcB8|7go6w3^*?ht!fKRXX;2dXIJvm~}TA^jE3cuo`OJFxoJ6>T6jszbKIfB6QBH`+f55 z9jDLerRef1wSobCohr&I{?rxvb;?{bh+yCJq28u7dXoVdC-l-b5)%)5B48m&f6 zZL9drJ`R^ZsVg<3sTVDg)#`9IgK9E^UMA0Q^Z?2m9(>$%oew8OI)pNgrJPwmA|Jd1 z4{-V%CmB%EBL>jhEM`{B>>AyHj|ixQ@I}|9e!xOA=57H$iu^%nv8s>2-5;(_`@YQ* zM`;+#W%1M9GN9DRA?c$THvSx0&}vdFT_MlC;T+Q)Kk!|D|AcljPF0BWvfaN!+6k!m z0+U4jcj2q8)bzTR#g|cQ=u_|&&uV5V!j{OFHUS2KGTK4#^aeo@&ocYoAX;i)jTU75 z4;XfX7{d)F<{5Xw{1z3Zu6J48{)}Q%U2WEynx4T$2E{U_T5> z!aS$laJi^-om3OE>S<6*f1fjG+c3LLvu8Xsd-AwF9_tNdQmp^a>>17Ai?}=unLS@6 zPj5Lvbr8^NNZ_`Z2&={8leywxhGh|H4SA%+!Z%#MYr1p;H;uSos50BaqZq(N7lq^w z)a*8lZrju!s{>spN&;4c77yp~-`>3$fCIeNWq4g7FRa0zPI#$Cx9_qedMlG7%!Z%Q z9bHGhI8oN)Z)a~dJ2zx@ewln>(~n~MrjNuLdCY8PT) z4HQs>DjLQWpqpo|zXdJj&#Jc^y|l4rLVrvv$k4~gc4(gr=&(o+U$ z79M%G45GNjiMr9A|6C$BK(}pxI*hJx;_W>%ZXa?$dc>GcB__=a9 zR(3l7&sWIN$UmMV8%xnR35P|q0U7_56K~?XA?3#Dz4MFnS|is75a1m4BUWYZ&za0xCh3Z2ol9JWtB}hZ4~;!@NZv;XUqzFhSs&7AREPjLj~H?OIK^+ya_HAnTJR z78rUr+C8T!RkhX55%RB-XHz-vudTl!?qvuSBIY#}%y?Ep1rqQOii}!c-z2Zd1W>S= zB0}&Reke_8OQb2h^DtFf6fg_?u*eru-4uy5VWg3?pPeNbktn#yoT^J;96fh>eC{LsLgr$V-G+yiF1KX!=O} z6M$D)do{`NP>^BbGAg9rn+rcOjbf;+-{K^8mU~T71MS7LT=6+AV8U7$OV74<0NxLLj)0$Knzq_sV5Pf020M z?@Q!YC5apMS$yEzNjq%J9W$@Ew@!4;3Evgmbi#MjUG{HNUG_I6@=0lEq|7qo0Veb6 zjCUkCrs4q0I$2utJw_uIi=5*9wT`5-Dtu{9uP;}Ut}AM#R#mTO0rf5N)pVlbX68I) zlNHU=Co3wLtWfn_QSy&DqK!HHRxVl=A*R0q% zM5>4;ydRkR$rw{onP?%>&XwL&hQdmD78B;5a$X!O?- z`J*fwNp85kVeFt)jG^rXW_%D)RT6H2cx&Fe{#Gqr^*A(^ko=bUR=O2>YRFvsGHv-o7&ITJD?# zcz`jhJs3f9@;nP@=Zdd;q;txcU$P`>2(H-abAIz`eUxo*N01O$h)Ea?%dh{F5^<0y zAQ9lnfHw?zf<)*!*yB)x3>$+nvEm?^_%UoUBH_}ZGMT9jcJsAno%Ur5R89NaPjdErWYRnr;hv-A?spX K2;72wq4aO~O%!ne literal 0 HcmV?d00001 diff --git a/lab2CA.runs/impl_1/vivado.jou b/lab2CA.runs/impl_1/vivado.jou new file mode 100644 index 0000000..5c4b72f --- /dev/null +++ b/lab2CA.runs/impl_1/vivado.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Vivado v2018.3 (64-bit) +# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 +# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 +# Start of session at: Sat Mar 30 15:53:31 2019 +# Process ID: 13696 +# Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1 +# Command line: vivado.exe -log CPU9bits.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source CPU9bits.tcl -notrace +# Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits.vdi +# Journal file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1\vivado.jou +#----------------------------------------------------------- +source CPU9bits.tcl -notrace diff --git a/lab2CA.runs/impl_1/vivado.pb b/lab2CA.runs/impl_1/vivado.pb new file mode 100644 index 0000000000000000000000000000000000000000..cb078e2e8cfd1ad4bf4666f08ed6adc0068766e6 GIT binary patch literal 149 zcmd;TVB`{Ut;j6N%u82LEmlY@0g`%pdRzjmQp`pMW?F2?`MJ6Ic}7xPY+;#Yi7EL; z>?x^fiKRIuRxpN<4wpnQP@`{Rk%F;-f}yFEsi~Ebfr62Np(Up3C^kcLU7*Ps3a+1emz^xVT*NQWSzxlk2Eww%t+3wr$(CZQFJ_w%xI9qhogL=iLnE{hpkO)vbKE?BUV`5N!`o9 zvKui74Z#7i5N|>O7T9*KAaxV6Ht2ANKR@Djnxs+t)jOa3W}Ri3Ki`nUfTR@Gl~iT5 z#HU_`@%3Lff0Ga=jW4rGFl8alg;cZ(b+0q5Uen^oseBw^OtXGqck-HFOWJg*l{)u{ z_L~>lk|ojTSlE%mNUR2E-MSD^_P{Q4l~-0xHLM1me+876j&+&c@ei}}9B9X>p|Epm zJ9I+Td(?K2z9=dM2SjhWZ7)@I_sKSVma?}J0 z`9+F3?yHIdi{KOUBz;VDWOe2lAD4+xo8-?e(O|sX5W$}wp?7tEUk}l_Qv2jrex&vS zEBsgE+|j0=+H(T|C6#~x!2udaSV5K3$kN4`-qghG%Gc5!S2F48`3pUS-)0kbsy%90 zI(Qfa4YAG5MI&Xa2eddnfxLCP&2+rr^a(5Y^~T;*4gKC=Bvmvu4x3%8s3dCQBr+-{ zVrnABr=wl#YXzsrx9!=mqxZdV*{j0ucGSqVt-HsvMO*TRr|RdE!|mun=f~^w@Uwke zRn;t}K3jg>B!A!A{?YcE(I;!)=A?bqkylTyn+J>!b zlUi5J&8w;FyQAxE$K%1t5h12}8-o_Z4?G5^#Qyvy%(@5H^tOC{2D_CS%y<3t)eE(+ zXTn*2U)xyB^cuCg<(aP}_^*ZQwz!!YRpnqp{g4tqAN$XjXT;ahACJ%J`Ir~ceQm!W z!;?!=2leUf`C9d2zV5u(JiVRV9Q>HLGje+JbbNcdHBJKPYxTby+qD_g*lUW9j>?Wk zqqA4KvP;9dzP`MevP`!9?#c$E5$oz0_?pEB`FCsRZ}fJz{d9HduaD0zvWMGNQy0EJ z!VgS|C9;JWb*mZpkCZOxpss!qUFvVqF~r-|_3AOSq9?M38nwP~=mPG6T(UqMTpM~h zw0wGXeHj>=^*#$?pB!EdT^rtZ-dtL8{59$L8CG9oRoS<%lPaU>==ry|^mhF|cDy|A zAMeA5t-4=qGx_zG!(DdAyZR5_I$d9`yVZSk{J$G5dNT3k%^-^p=soMS>3du3FfJ~R zwmV-W^<8;5`FS|F8R{nLw091x{kz(Ank)5>d|%vJ>~fp+^yt*1rMIugyYAa=W};VW ztPxP&i-P~`u~PrZV*8U7<0u>MD2v(g>!YBrqtcGwRdsNwu}m)3Sd{T|rVqqdjuJ$L z1%H;}xx7d@EJ_UO{5%QTcRHijBuoe7^kn+>g<$y}LK!jO^kn{&0J$etAjQ4unpen6 zX}h`;B3d;7=XqClm!}!$s2tI0O&;;l#-lkOL50BIeoSAlS`E`Pt4(W5$49SugmUm! z@*?!>iSO!*{Pl6TN^Qe?c(2X1?DIhG{qg33P5fSMTiV|vAA{X`blbYGGyP5BL~roc zgDod^xorjZBK+A>t?`P(9sarhx9qcjW@dI8|Ck*Y*M~I%#rH%fi+zx5McriZ{tMA+ z$r}W(;c@I!H15@Euc93h)+IjGyTJj&A*JR_F(2jFX%oz%MvE;(9 ziEfX4$L)2`YlE<1_Uogmce$O@ce$J;;I14;{Tt{{ChS(dLwz!NvkQ#(KA9!iJNui- zuTZzTyWp>1eQ17fhmvCH!GH4Ip}c~_fg?nx#B08Gr;L5NbU$mq^~|4)T3*^!Q0bj% zes5qhsqFLjRc&Such@J*n41tjw@xAW2HPVYzR=4J7U#dV32?8KAu88b;nCq*)w z8PnI_QJfucZ&T!oK}LeqFkPdv8qBhrP4L1?PNWSDxzTI%T>X6X@l6I#qM5#KhEbnF zVtuUPVv-~NM!T=Eo$pRh3*Fgh!)~hw7edil(RptyG+#{7Z$kw*9kk$Z!Nd-##&E%T z$HmAIG$*$E=`qpL5C9hxMpHJPrq)B*=WzRce{(bH}~y@?u_ofwqrIzJ-wY{ z_1)7@f#te1cK3_uY#*0Po^ZMBL-skbyyqY|<8s$qLG2%*^7Wriqp$kkz%fVW{v zbJ4*cV}pcvggMy>^A{g4)RtA>jd$NA@DPv^$hjtUtF58c@l>0#khIXV(F75WcB>~j z%5s8n=9G)~ukxP4qvB!**H3MaA8!|LlfzES;G)EjCYJo;NqI7Y6}AYL$;Wf~a__lh z7tdwIa+H!>M!m?#3iYhV3P@%d!fN^VL^r^^31pUtPM;#{!kew(ZplxKTZGq$jc#ms zaFD@U{1LBWYr_32Yr=vVhKRXuIg5IBNRswYcVx0c*Mh||Bu%m;#+gHLOU$`Mia{#! zq!>#Lsf(Um6M>|Q2&s!Lsf(u6=HfFZT-0Ba6vdLt9b&U)o8H$p?`)fXi#F(siou?T zzsDF)l!8pSRjOyMm}Y;y2ojtZGZh*=4PSFKyl9 zebgU*-lEmasM0?(0A+V;QsX(}zu7F-xM_N1kt@YG5pBzwrTz}kI!E}l;xm)} z_3D4_{Z%JOSH2!yfU(3PHmH>$_g+kPVZNwH+3`J&s|{;4MX(fv)6HHLI{xM0pK=83 z#)wDu%x`LFEeJmmtfc_z@(ZK$u_d9!nGWvxd2_HI$V9#~DO^zpS4qd1TI;or{jw!l zu((!4RvT^}ge#Bc}d<=l*BZJ0>F`YXd3^A?x@cOTMn9VG^R#UB6sWFJ&@C zO96#Xkd{I+|2LDC0;(XOj*^98EsQwu;*0Yvio3~*$$-K?0ktmp)>nk(Re~G<`5(rCQgcfa788QtQt{zC*)tgrz+Ul-CNl1!H@Ja`! z6`=tXoXD#nVAP)U?@G_t$-G1*E&w_*4jer?9j0Gx$M$-OuD5Gs&tQz(qU zX{8VkkU3`<K)h(YLInyGss#!(w26?Y^k!kfqS&`U0uM|H<$tR+uy)a5w*C@68d%}b zqql+g2i%k^(%U>f)&I5JJ2N2OiG+SaUopQh=E$RJ+v~=SiK0w-e>4GV5s*W2djSES zfDSX6OMrx}$Bl&dWI~LETJ&Q=lULx!MiKZlktopUp+urmYla3>kjFz2;B}M$dK@AK zUP2}9k8}GK!I=9=G~n_S{36W7Ef8{cSpW*VSO|;!s~C|)Mx0P2BaSb^!Q~-v#9R)n zp{|}!o3Q^>xmLcaC_c|Vq&S|v90Us_aLBS1;&4uk2&<9sqnQe)H^D;E%Nx?1R=nUT zFo6`^5jm?_T`0t|E(B^3`6t@*d;}JX0I87#P;3M-Fbhc-*xY{uB$n{_$jF9V^uz-$ zI(Gxq+~6VujQF!ihFp07ghx2!%p(jc`F3iSz@ja>1h-@}w1|?0^R!*-9p<9x5!q$Y z?V*|`=C@rIIxleFNwXHHqXj!d%$oah^Sy`<_ZbFWP6J->dmD0?E*2v;M2(p7J_v5l zAE4yIRZBJur+Oz45UktP=I8shbF~Hq%fgr=%<6HZ(RjBxVm}R&HieNpST%2f7h>J4 zIO3fQiMA+m5{wBAzkV(h$B$D+%!BYFSqv!({yKUf856XCBCQ|_#=?t2VoDcYG!y(i znMO5qzKn8A@eaDD*IrQP;Zg({S(xpP z?9@|#;NsTSSyXiFe5hW(1+k)e<;KIm>-*`I7Zq{Rm`9>VkLld3(y&{N6B&}?fmSet zm$Yf5dRLhw)Vpj8IGtQ-B&hu2r9izzBCFoSk=wmW)1zGr4IHmyNMm&Ep->YbP(-b#b5tl3?Pv z8TM{s){iGPDbsUy+^-(G0L>ki6YUw37Y8sHB$HCw>Nwpo7LA`N6pbtHlUbx`@Z5@9 z?DHTYxs8v5CQ+0HCsBxl|03d~WimV*>z*g4M6}JyL9oxlLq7;Qtj7w^B&l8u8&6LivO9vVw*5~JtzY+pWf8CyOqug4_|AJ&b*<1{@?a%M0}UhLOSE(Y;P zz{BYO>2&CmC@q%JRb>WuE7&b-fdKT}6$?>`})a`tjuj5~5y89T1Ab)>sfbfH2zewH+jf?`sT ziH6qGEw6o=t3_J5dS(hsXm16}` zMh3hKJn5DE?6Tf-rm_<*=Q_(-`VMPV2t6bQk0n#-p&BLI; zf?8UoSwdTci$C+vJ6eleJ#wh5G!L0V^Ad;Zd{Uf}=(=wL23uOaWn@oQQK?vY6b2`s zC<-A{9U=wa8r8rra<{OkG@NW2t-~?2LQ%5^L9Mly{$J!zg&56N6mxH>LuH+YD|HE> zKj1tAMZ_+ndZ$Hrc2C|c`s!6#JX*Wj`lCuHsi=!ZB-OkxOZhdmMi|)eMJSKjS z?5#69vUxcEZqZiZn}v{n6+8;NKyZG_& zWMw#!RNYOMb_z{ZV^C(*Otogv@YlandjNbRa2o>P<-`wVIXvW8Hhi@T#q;RSsR%K}`cOS`1c8JZCl+O&><)%uz32k@Dv^5#W0- z?D(4gwV|ry3=wx{D@#fGZV!5w_NXp;Z;K~xhb%FK>-WWhH-@?{wZIZ~5LX|>3b56J zFIMP6r$bvF`5UHK-u8|WRcSW;S)_4wNhViWnwvKW&DQ!FkT>wU!o^~w8t1x9ji`z* z|L(5FIt!SQ@Al*5AuY}5ZMYu0R>#l81ernw%E0Bx*29lwJNjQ>xWYxQ`KJfMY5hR_ z)g~Vf%hLlcP|-#_Y0z5S0_{OWAXCAnYTO_YEEo*ToSqzL<8V>;gF3iE#3&E~ zl?h_1RwX_5YQ5#i1POQ3&3ba2h^^ImB`sNS>+@LsMhGFxdo$lkcc|Zy|6S>-ii2wE zQ3eb5qGQ$9pJ8$p%8HOMK7x+8RH-_aj2U}TL?2G-qcfxHd6uQn4A`V#s4lRh>stm@ z_b1iuh3@qi|DuREfU%L*1vvZTo29G_S$EwfsF4Op0H86ZI-H_Nqot1wsAJ#GL#kx+_`smOh;~eQKN$=^*K-5%3U5yS5PH< z;9J-^8@1_6i3T3tkU3|gh6gH1!8GD1k$Z`!v=PdnVNB%)xQ{ZrYOCFl87sPL$B=&& zX!o+|! zGm!cEk(PLW9aA=bb93g#)Bw}BVg##ofCQ&GLE$#P(K(W7HN0XqPq1S(r-ZK+EZZBB z&PQF1>-p%&accG@t)=w%3v?b;lNc2z%87O~-dfFxnFW_k(SiwZi5^X?05NPkVSo_j zb~>3_2c+9^!U8A!BCoZ9|GPkEP7JirJe*nstk-!2pl7YL|NBAOazcS5775f_Aw15~ znvj4jwGRKkD6-i)nlL=gM{rt{C$wjayASC`f7U8>62vcYnbSpWaI2Ose}QgBH(Fgs z{%sv=vAIeW0NI2L>LQ+dx^X1;5(Q4#GCHhcb5_k}{iQ_+Rj*O)Nd$^Hw$~rs1-7WAG)IJMx!Ie<>i%54> ztP|0U)a$bum6s3-s$QwblgR6Q1gHX`!=6Cm*lqW+zteuln#->4j0Y}Z>Z*4=*i~OQ zV5uR|%zOGOy~xP&>vR6nrgknk2`MvH&AV33Th@9R!sxksNBF6`cJsb-8Yr?RBk&5v zTcz~`Wh#88t?EWoua%PF5bA)7@&|E!o-x>kkfF*uf-`OWtUo zzD;)Ank%o6`y}ZW1A?~ruN}2xeqrZxr6-5kxsmjN7oOD}JU(M%(6JE+S)(S~sTsRY z?R8mUjyF53Yx~gsFoy>n-v^wP(RG(YF9R#Qu>``SQtNP_^nu;RQ)9vGdFAczO`_jB!)Ha~;8;1QTo0^reFF$XAN*{DT z$;Ed#`+TwFz-}G(;TqSQ)#MOrvgH54w%%m4=&5GYIU6N=quFoBwID9R0au zxz{DAiv{U2l6iROOk%AIH&wk(uh75Uvzx(-LlH{AdxC%#h1z;C8lU3x8{N1kpPri_ z*0mV~eyW7aP&ty-nnO=8bA4VsoBMo`osIYW1C!G5GVdKbY~kdWVs+#!q~n{z|5d z=05w9a@=Jj&05gbu0cO{*rE{DQm}4opt+v(g7EqZKD=iK$;97{i8uc{h26u)gzU!7 zUwgK$ueMKzR^3+tO=*jMstl64R-D>S9G~)vh~i!%btODyr7WeD)LQw~^os#}Jvr(k zu;{s>omaxqJLSObuv%*P=$i|$Xu)F1w{qsXNAg>xj?h{LQFB3dNrT*)$*}2Rk4~lO zp5c6^*h~df6b-N3rIcvmzSp{s8@ze*=N7QTHb^Bx&w!WliZe; z1wuuj%!OM?=&i@r%=e~`H=qg6!sdmV&PIGg*YP|WJdo*a8JRkj`0div$($jfJ$-4q zd+ECQQ1y0^^>)$qb^$k-di(*k{2x`H-iQ6_Y4*Gedk9i%YzWk{6OGF|pkgCucr);#%h*P<`4M3jcwq%=5=IMG;ZcyOd6 zF+r{6a2RH5VDdQi;!_kk6%_$t7rA}t)kPrISPjq@p&WtjGuZ0CXI!yv1Jzj(JQxMc zhka65pW&fMk2PkC+)SsC9P)xeV_pkjrIX`8FQ9?cN7;<7V5q!6H_;bRtB-)djFzq7 zhYC?>8I68%b}kol_()p2Fs|-d+mBSkkP`VM=E)0Ut3tcwXV{Nari81pApGj7zI!gLhxe_5M}f$?C)U?n%Y|r zA@IVz(O|3;MdXBej+zw#Jem<@)So8ua!ss6;guO8f~{$(^ATMQ5rILbj7Da4p`8dx z@rfaxoFIRF8vMk;c}q)hU=Wu1gVAF$z-si%)^QSq$ps9?GPq0gX%1w^%gzmI``K0E zNaE^R_-Np;n~bzHBN#LFIYNw6!O`+XQbJukQJ7Y&sgo5Xtn1;>PlGf`deWMq%9e2= zuH>{;@1lfc_%Qxi!m9EHLmF+Uf^lqLz1AP9bQ>IFBiW#TM5bP-(_k#&(+V3oprlKp z?`!l~abZ38_%bTBe!^il_}z7I)W9Hb!fWD29<%#}{;+0Fd?THKke(Rhw31od*d@Va z^F=`tBM2(R6;~@ag8gods^R5&RFRSMBM~8nhmDl7DkUaMjFaJ$J?6n7f=^mcR4NCt zjZo6y!#fz3wg)y$kby7N$aZV(3TbgH(@Q5})+~|MfVyyjmhRdVsp-Laj7UOF%wQZ! zA-8z}9*Bq{o%8MFD10jZ)&K5(xG7@;U_3Z zbKkzw=y$;fno{+3LEkQ92^wGBB}b7(+m4ZklR%0#ehMbA4o%#7%q#>W`7C1&VS}~^ zWlI` z0nk#k0Pxs|An+K-CnQ7jz#`35zEt&atYSdBZvp)E^w3r6P(#$(8?;yxKw$`l~q*&e-ELsC6Md8 z3vvYvLshqflnffF>#A2)}zM4AYTffz1MQ|7heBp0XaWy6C92@42Cc7n(&6Oi~! zfGB*Jvrym}<5~``R(47VwL*#li+~rpA=V%W@c98mjU<&G3o!vc`-O250%; zix2|NzBJ-_f}RAzIY=i;{0eQD)tcE^6keZUXV8ef#2)3SJ#gni;QCd1Gfw6aA-SZB zU&l<|{f)^+%vCcmi}IG<*zx4~(;tgGL|(Kqw;9WOSm>tMgyXi?NR!A8L#F7#kTbnt zC}t&fdxb$yo^rTD!1|yBT?QOl4kPw&*8--5m7myDkHaQLd5B2HzM1uOOoS>N@}^e$ zDdL6$2YYxJVQ@guJSCJPTO7G-qyr{dI>SJJUWe8L9o?-W?6z`ULS~$BGvG{OGvEp^ zR3MmiWFQ)GWFVL@WFY?Ghir`4W41(rA=myAC#8P~No3sh(ik6jkPOBCisT?%Ss)v3 z%##c>7mJ2ix`)3=ZEO<{AYaSn?BTkXnRUi=CCdT+>!br@mb3y16sIBG)~E4*HffbaFl*iDRr=;I3FK!G5oc!D_gt!~ zcIoLcYv84(TOaR?S~_3s;1y6bO9msXqDY;i}D^g!3l-7d@3(xOkl&EDP}@SFBo6j zjvPyP_Gdx^WiwN9`Ir(W*u;54|7~{J2m3sGZG4)q+wSj%d!7%*cXPJ!LU8$tvw86{ zyQ%@`tWT`P^}9|rw62#e@kWVszAC8kzGxWry5i?AWLXl&7mxNK${TjhpHc=B`K%)# zxd-Cd|98Kx`ev#|UrqS!>iYPqQaK6;(Jd>^JoychyOiHgLI8Yl=v^|6!&ziSJS2aI z(l%$K=}#HEZg#^a;M3hf{Ub_Lel{w92SM-lhZ-mE4?iz^&*3@^od>`SBlaA<&xm)f z>7dNL2S6m}`9~Dezx|Q6&133!9X$_5+|)%nM!DFUgXG0TDnRM0=dv*yE%(%Y>XL_< zdwpND=&k4SHXA*&SFs2^y;C|j<#;}WXFTQZvgKnh|9R0rI(?JZli4NHUopv2_;Pcq zbGq-qW!3f!dA0vVWr+xhSQL&>0^!@N2&yHK?8kK_UnWV3U0UpyY#xG3=WzrSiva;| zX~>cb2a!C_^35+P7AzU8Rj+0fScj{$KzS*FD9MdYDfz|vZJ+b~&FFO+>~+ds8ZJVJ zk#C~8Fn#BbSOhtTCt-On1tB zh%-0P&OF^CNSP^jkC(6uTvZVg$J37k` zsnb#<6gx zUgct9UmRDvq=7CaE?FjTvr-%)m69Fky`f5oMECBRgdvUA!)HUk7)sAR9)s120SrU`oHcywE>@ zaD|{9JZ2;pGcfdI12Vk6H1{kLlErDWaEr1eyf~j2@mX^=KMk@=LCtRuZ{4JC- z2=aIl>Y4{xB96rvf*zNPW0DHA5<@~9lgA=Bpf#hUgb8Gw)g+ZVg(oNhAA7~7i$E>Q z&7lUvmx8?w@M+v9o@sS+;*y7fLnJ0pC}-puqTZ038B5$iN9%_}bku80PPS4ia3UT8 zg{ck;=fK{Y4*V&=YpG9lNghH^D1{M&GIVy*Cm&PD!wBf;&k6t?J%}#iqYmI%3kN)Y zBM&0-F@jY=!e`VBDWE;g=dKu@jysn9-TA@VK$q>EK!retj!~AZ&6B7K1trWtnmMvs z@REom`|$n&32~?pjf(*PfdwlWZxmQ4TuBn}*a6s%lxcec5a>6FWwPEX5WK1xr4l<~&|EF362oppJR|o3uupG$*svODSPdkzUYdaES z^+;$@9_pU2=QYF`hl2Is#n5E(GcAe$_7 zk^&4(Vl*EI9?OEOD+Iw&>{FMfJezt&e#uE;s_u@KXF~Xbeih;5Z4k8|p=*lrhTY9N{<;s1AXprOG9~kg ztog^v&Fxq~5D=C4-v%{HjrZ#&{d~4jTPHcqK%0vX#1xI@vlqst(}~7iqXgGoqL1LQ zBqw?*mviu_J!D?}d}Yt}jpXA)Tv!FW5J}n%9Um;3$wr%2iKZ-w!mtI52qTeg9;lPR z2ZGZ;7agqJFayT8TG%kSOOdS6)%SsQZNv?)4QJMP$5^%Q?~lL5F>ScFYzCN?B ziMht)rnh7A4JZri{n%X?dI4_w~fNMx*~BC6Rc{%&DUziH>6 zLVr&w)ObY%vcQeliNpF+U;&v&4h6%7Q4q-Z!-NN|=gxk1NbJ3Gycl(WpAcY8x!^Da zugCcT{mvjppm+p2SD^rdID{+EQu-xNjsnZVVPgJ!NwX8qjPk7kR2YOn<{KOvo>?!u z-w_Yg%ziUkkUURMOO5aK`~gTIw>w>y$t1WUYf{1>Y9@0L~SFKCjQV~GTo zEm#c}4|IB2RFS!V=8$KARAy9r=D8<_;;G768UlA)40s#*n1Goy)d6$T`b2&Q@ z$n>L4ATTK5Lz)Gi#nlj+MJ*w0%-~Bwo5&vl>-16q=N>f!k4eZLavVr4ZYuDsi(-%_ z2%kCaBFBOjZl!__0%}H({ooyxSWsOVIMvkoN`208jzvUtcM!dU!HIg)O{uI>q_$y= zgG`EAHA!2fP3Yo)cCTVn)LDyz5tx!<)Jd3D5nx|ikQ7nfJPr+;s&eIqBS=EaC;NtN z6!iMo8-h$GnRGgLmlCT?v8I0zdKvMvc8<3G=z_2ftHpBUO(_n`f^6hTpsR8~kEP5O zXNPNUV%*qC6S>qKvOB+Bs98zX?W;{>lFtzS<=139hI*q4QYTsgo-l_gafi2Y3+ zi~1v@iuD45+Sr(7>Jj1Tn}(b4PzH2DB+w|Gk}3Pp)x;B;qdBu^j-z?THU(+BW0lG} zyki&Z5WK%C2n%+9tajOiT?E!5L`PPAAP z9oe!74l2qkdLo+-2tzowPkZ3RvLGPbV=;U_+oKY1L@aKO4!+3WeGD!qh;Apot$@RG zq9DXJvV|~t%BXmrUm|H92N?2=#wH@)iK8iFMhaU@(rz&^d!&fMiKfNvrhve2iav*l zKN_c$k=2x$sw=JA#3E@)gcP#jtCmG(jxm$wI*}KE-R@Db&3>Fh46Df#OW;aY$Zpt< z(8!MJ3#Ug7Y|7dPnk}YgRd1WI=%VMr>(WTrv-f~&38aI-s|EqL4Xt;PJ+gn6mY+EN zI~Ba(tRf1@+jmFYi}g_+_ZZyCbP^A%z0n2*HWxo?Kb<6jkMhJ z8;FOX##V7)BALfYYUHH>zr#Lm)C|?;+POB}-MR@>?R$CS?d{Bp^Se>YPp>xJpY~dh zv&&$b*PkFZ!TY=~>gIKQN`0^`4?g~Bv7c=ChEUCM=jfzq9Jknfb)QrHnz@dLgO4L0 zF_yd}n2?|RobaWfWvExAsByV?eE#EV{L+Vm5b>Vj{nZyC+<&r1^+NQkjQ@iFbNxM; zsjsq>Vf@1i(dNj)>1%QPz;OK_iatVfbR2k_i|M40~+F3QY$_` ziHASuhwicb!<4|~14@Pp^*)B_4=&8LsrCNE($9JMV$7wwQle6OTJ>=`oy{Rg?H}_d)FJ( zt=rFAbr`ko-i6PywX0h{u8wJlJgydLiaZvZW@EQ&<+LVS5OH1<%T^YJ$g-(j_b6-q zW?$E7x|Lh5vTW=y`;$w0M|O=Bl=S|Lp7T>CmnMU;5vZ zjelTm#KZl!zfD_R4}vtSkAH7#>2mX7#UZvn4UCn1=29|Q`satsZ0P@>Pku_*n=JWE zodQ7o==yKA^k1zIPeOW8eoi@?>xUW?CFxXIle>8FgR9g3bHtm*S|IwgMG_>l>3;pM zg@#Wwa;$~@omiWC^?FJa%w|>I14FW5b1Ic)MJU$#r#{8}XJqG7{zbLzr2QQv(Nkq%YTu?D^a{zNT-F8vFV% z?|Heauo>j@TBMS@kQt_pn-Qtipy;;u%d(=;^}ao2e22KrgyAkJn`-k7az5jARS6x2 z5V4+oC&7b;zM{maTeXsHONnup(z+XOi|G5AQunWeb4&USSoDL2ud;-=N1jlZ>RIX% z;~rT)j`cdu-}84$J@Rq)heBMxJumdW?kx^=%mGzD$4lh1`58`1uqvKYUjCe|MhRI? zNY>TcBB1E4Y_D;2{Y0-7>wcuAqeEXRP-Sgy)x0&mLB3(Z@N0N@SOKQF^Qly9a@$(B zDAp|tKsqlGsG9t;ZdU0)MYL^>UpY7sq5#*@h0rc8jmq?}DE3Xpz)Yv6o;!bA#Q|gm z4GI5v45@8zhms6b!|YwLs1PEvbDjs5myW*RKr?Xdw}wxvWIFIJ;4&Ci#e%8toNIxQ zPDQ%KSHQ1#c?3tS-ss%XL7#9EVrMI$sy}cja*%>mO5-pVjvSyyAcHAuW;2asW{aT8 zIY0uqE@S|%!Ek_!0N^q+0k|9huKGd;ryl}d096>kg#|;|)>s_P%%(w=aiCCWAO*{Y z!Du`f5=4!F0`sfc#Tb~GO@Jx`dA~4{Bo1AK^+shHe-w9`SKR_GFqS>no4yYV1lL~R zSx5UP7@M1)P+%++_QyaZas90)fFuea$=h9b92!E|_=GvnKEeqb{8`NLrdI=~@-G~s zutt`=HhCBTtBllEJ+V8zoA32HyU3|KQ>MtSJ8IF~r8;_#^dZB);{=bD=f{p7Z=Sb9 z)C;Sr9Q1OtacY&H0?;oYXaYc-_?iHT1mL)>8onbU^;Q=DIW@(tY*_vBYSzKVI#R8# zDLT@-UF!!a9W7|EDQTV%Aic;|V5SUe@jr8p>Ll}JhVvlFMY&l;T`U>9gL_a_IsWhO zSo-q#C1d5)p(P{GpI-9m0TKnut1R)?=VJnQ(K>H^Rqry8X9V33^F$NedX6F>g^aGU z_b`ij@5uZLtLT_fGEq$wTldw;2RznCQi3pu#Ro{CEF#3dN@yFzFjthK#ITBEd1#?` zGT7-tCz6V%VN@>pXrX!$LN@>-oazB!+`*Bg59~E4Dh$q%Oy};NW-&dCIlt??A3z|` zhb`HhOVk7X;tBYAz(OowKnJa#20fK$y90VtLIzST1jZtAa*ADDX_eUI79Tjl--ZW|pu=_}jYzb}1xF_DhPLIF8x0Vao#E<{f~wWmx37c| z18WwLCMMQ*AJ{3dGGqMhTJF{=+Q~u)gU2wX27jKs&WD#n44s4L?{9mwfiywAnH=1Pb+6{q2^%__<+gms$WqkZj*ja3FsBvzs1U zNa9iY-|Q9kXiNV-FG5rZN010xNyfdiR>l}@T*`v*R@ePy#TOwczWxniJbSd^Ny&_c z@LAtdl#ySx$j!C(;evnRf?*50?YphihO=GS7=3vSd~mUS6TyJi?Yk+5gdEw5NA~IW zP(-@vt2l*^rk38iWv*a4Q}Mwo@C$cPU4C!?Ej+cwv^SCW;n%#({}!xu-=aF)B6nbO z64TjD+MR(QNxax*hv9VR&56xPWVao+H`jJLJi0he9@trWL%zq2T*juh^*$Ew1&4Tc zad<#Vdk~70!1S6g9}ettYZf?|#lGi!NowIJ&ogl}c&3aU%Fj4){!4D{sNg$s@cUX5 zCz8LR|71^k<)A<kv6Vm_ai-SS!KGaj#aIF5v~$#Rs9+gbu+fw-OLo zr$yjEoShF+zYznn#b^28x9+eO(d{3+|5YsmRP=TL6>|J+e|n0u0ykaOrgE9q{U=g* zP13zFaY~C3lj0ll&6qOXdtTJ!LVOxtTCWVA^qC*uaw8!or-g4ONArTNFY)A`f=APX zIjQHTinLFT{uZL$I}TcaGi@O^u+o%2$wBjNW552v^gu)E8ND*?Q)AHd%sPB!}zyy|fM=L0X?8uGB@{ID#Cqh5 z3~@lcwK@K$-n?;us);$wy)ijjlxE|pPWr{{0lvneMPIcYf824~u!<#jJbkvlgwd3k zgm~7p{H2Gn!pq9QII)4Hd^n2lDV0fvgK=X2fp$_%B!g~vWRyY}G`k!&ZExy$#>;{e z#W2h?%_p^Al7@N5fO4kUZDRA}6{dAIdC?IYRgQ1unr7^bd8KTfh}1fMQ1jN+kPr?1 zr2<=tOcek@2OvuTr1l(upyZ=ar@j+OO!i3AmTXIu(40{xDtRITC`JD$C;upU0Lndp zk}E-5a$tmDDonEuAiW|0NDlumQ<*9}BGoj!WZ2Yy*~9W$-6{@1p5vV2y#WD0@gOQ75|12eO|p8^sH{ zO*vFVJ+jE}pjduT1%N04Ad(`n0aI)Qh&IlfehM}NL`ncr5{Au3qBcM@hhbB05e*P+ z0z_WMjgjT0CQsMr_UDsT|2Aa&zPj5r+w`U|`i_8{&}!~CS6<6Rt()6>?Q%a>-Yj2l z7Z2>VxpY1n+WiV~{XARRwf(x+Rn!;SwlBHaSkqgr645a2<_Pffru_4!W)S4!PDXFy zo+z0w%G=5CKRB~*RFC|5;_S^SznKXMH9(5{y7Odr@^bKV@~03e%KD`Zw>s9iN(>l8$$mq?L?upJa%7 zo|2}QnwFjZAvxtZInKZ!O~XAlE3L}NqRObi z2y8?&01>O`fwdr!01Ncrr8mX-;U)ocwk1J;{yQz$$;2==}65#u2rD`-ijLJ-`&BidS!Lv5MeEb5}c2>Gn}0(q1G|Ps;R7-8Pi0FMTa5^2pEp zn||?L9O}>1ArB2km6Da6BN%hg_>9uXh?oO=Q`ubo1tJvsC~Y7s$@UaSDUR-abh`L% zCKwchy&R7#tyybvXbYdpB8?x$6Cu6UIe|`M+ znLohteC3LXP>7dI-occni-?erf`lYZyK)LupKnVZ$$#J$YbTCcTw6xJt zN6%x=JDSSAn!#sSUFVWycaI&_*4g-lKYM`9l;>zZQn9_jw>4xdBbzjGEv6|%jpQa? zAoUq&f{sLjt^`vW&^accf=;DGmJ+2v3<9q5q6ktnf#~iqWOUbVy9%g^v@&$wb@tGMDVaDC9ZV(W6@(zhwvd9|!D9D(1@lvbcg_y=v*ir>4ELQ8_Gnk?xrOy9CCzgy@@1^}lIbb>t zOCrMCZQ?yX9!EaH6_ z=dO{MuBc_FxN0;VYHm$!8Z^8pG96QO7$y0o##auVX>{{(ls7GOLqW;*k%@heKeZnM zteayg$qqF!n}o+-f6fMs~|6ejDa=vP-~iZX}(A+sz#-8rfo| zQpuMM+@~aD?DJ^_Dq*a37+Z_U(qfFUQlnljW%B}ZGSU>xqU?mc6fMA-7J~v7ZmF`D zf@maQ+`SMy(>d&ndSbr;5cq+zxVtcIx! z#TS7oY&m9qV_Xr~%YqqX9PY$QvZBQl#9>;7anxKu7-nR!R5hk2i+FzTa^F7rN-hL< zOMiK)Q7DAqLo}*P2)IW^Xk^qIwNe(H-Ydh|Osz%|Ez3c-Cmx+w_zWJkSL4#R#K%$BFjY85a?&dn=stX$1Bf^fg=zzZ${4@f{XJu;oH zN8mt9z}3$;g#pxjq$v!c@L*FIMPY%2!2xpc(UyP?4mJhT!J(#LIyl@EM(a8}Bm*Q& zMBs>pqwfeTk}xtvj+a^jI)1n*4B+%fX!^*|L>L~GK^~4q;W4`3(*vV8JqnN861KdL zku)%DE;GQdSF;WL_jii&1C*aS~$*f*`3ui;V58;v4SVoyaUSB>>l$r zt&VlW=QNpM8V9@$KCj7MG%q3Z+F2nurb%B7fdqU3A3kHaUc)LB9loeZ{}|rNl_Y#g zld(*Frjo7Y$N41`f-mFL$!sYfgRf|mDcpt8`klS-RZVtQCmTkof{YDh!g5O+1w1%k z(`0a}vZqqLv*J~n7diuQ{MnZ;guT!)2y>Fs%OmA1gT4ls5oD;Z+^pJ`HF0=oc?Xuh6;# z=Mn>n^>y@Xqn_KnMNbfxkGV)r{>dge`DdFXvQd(xljP{Ki{$9*-+G2fd|^2EM;dF& z$KHcFH&^yXrH0;ueHwc6Ss@>Hdwbqu@-QmFl7HaLgt2oBzm9M z4teE8cP%@1c=>IcB(TxEM4fp#{Zn`H-?2$fzh#raMoHpMlJhUQNY1})ldPPvNwkfo z3!Ld%{h5p893LreB&+M$;ST2xpZ>Y~u)gQ$eQ(+!hc=oQ;mXS?cTxVH)(tcZvopI zDgyBADSDv{1+B=upfzJgeb9=r9pxrLD7Oznxm~oQd^Zrvw+7qNEzyp0X%fms%P19T zJIXg0p?tRy%6C~i$~Ow3eAn>WY&HkVIVF^{%`s4R+flxgdBt>Fvn}1u?I@pZW}{P2 zD4%jSiqaZ0YWti7b`Eup4>vc z;A=PIbIXgN6HO?eU^nUof4dpCb#_S&pTnjG??$~4SYt--K0^6L$eExQpd0lP<~+$$}b8x>IJ>sj2jrE)Y=%e znOmqA!tG}Kh9#6=w~X4%Ez}EB5+_3iSmFRxkR?7%3Q+P!tqoHl)^WWnopoGBD$F`AXBDv+=vb*J zOI$N5X7SNJs<;&yopdEo>WEq=TqRiI&Z#6yzNpn}RML{DC#p`CxM!&@l>AYvGpcTu zxXY;?_Q`!rDVDfXsa})r9O*ZI*|B6= zaT94-^)m@oTnii}kPko$93hZ9K?@w<>C{_VU>d==)hDHI|Dl6(DGgsn0XTIGYc&8T z&b;_ul#2JI3pCo&t0#Fb{gewG81n}gmxNDU)27*FY5eN)HqD5o38*XDG^3U#sCFdv zDCU}e=EfMP?QPaWmUT#7)uqQNZ4P@~rP}NVEc>wPYt!^wnuxl#Q;(TxAe_Odx~|Q9 z&@zvytJ^fgmL{&Qyj0k zYPTv}t#7y07s(B|=~094YiDg9*(o*rzIJvthD5KrWo^hNA^O;)Zd)6&S)Cm6W>wsw zZ?V@6aWAK2mq^oeoo%1)7#|$000{d000aC002W! zRXJj5baO6uWM;*cL9W6u3`F<;G)*0kX|B^I5*v^};`~gD*s$VT7FM%J<3w-LCNqzI zyPvO@R{j7}bUuo;9o@wQ3pO2AZAL-oJ22SaP^_{x&~>$mBg$D{uW6V$nZPEcO%vO1 z>A+srCUXwoIJJ_V!Cqe9K8e1XS|wU)9$gw+8h!uoVtIU?`XW0#iO-WKIr|^)lb^Hy zqqKpRBPS@0C&haV5J<@F=a^Xi7d_PXJ^Wbb_b7<-eh)p;{XP6h|M&A_62Q-o$^gHD zs1)!kh{^$vg4iT*C^$;dS>Vy}kp}%bcr_^Gq;O;cr)EJJq1m5jkvsMkoJPP)P*Qnm%Dcdu3fNY(D_z|DZjPwyllt4yl z55VUL$-T5VDn&31N!3!{7ExRb08)1#|8m<359pt)PG~vr?-j}#e(Q(a^g%wk3<$y)EVplTt zo-CnQACmt38-xcw1rt;#WoG5%=Q!70;_DKAaarVylv+`$aSjYsaljA0ZAqqASzz>v zq?R0YOF|2%EeE(OpnZEIW3yIVDdLdxPM6%!Q#obE>_e`OG<6-;-n{VBx_+OjhR7;> z#x*Q)v2@yY#o1|^{}NhLrAGjBJby`7++|)IA{~55YJsn(#+xFubb6g1!o} zsWndRNS`_k$|xXOBJ3q>`nEo3}F;G3v~%u2SXD`}d`=-(O;$d%;;?X>8?s`0`> zk&IsyV?MsgT>IUA207+{AKx6+KSThUtx$q64QY`VykED2!5<@}S$f6W)?jFr70GZBSf_Zln^!J1rL_5?Rx;WkV?ZY~RJ)Ex(jp!P2*bQDW}k_G ziS$c7`nJ5T8Zn{TfUqU`+taJ>ADC~rO}@)-Jn1Una=&kxIfA*?u^f7S9aE)QxX5tL z8hO%lb*OUVO=lYTp%jUiZb~a)Bu@(sYNKidWK#Y+)7>Gh4XV?Xws6Q2Vh_mdd2j%6 zEpj>S9eFmX2K$;kk=1plY4%`|k$joj!1@ZDf`**-!$0!uI1SK@txlQ@XaG|)7;VWU zxSlIFRXzwZ!zFI6_lb-%)M(8v30Yr!2`y1ssqweUnUXwNra#LkM~wq zGi!ZTPmsLIvNGR1gM!$bKTk`MD;3b^yW%(Se->I#HA9_#A}s=j$-(o+KdfL$Nwv}b zE?#iLgK`uAZ92<_^W%RgVehc6cE1P$@tuMD=~H-;s9{)ODU>zeDk-o3J%kFE7{6SH zew~wg33FQ^wZSsuG2z$7B=)FFpCfXae|64>X1aI-di>^4aO!*?6QQ9{>70x`>F+whD=XwLJgRiZV z0INtnkxkq|V`og3ng*4($Y^#0P{JwubALNMsW4-Qdq@ladsQlzq`)6j66}U6H7G(g?rqLnm5b^MlB#dgv5ONR_oe*dBu*x_E4dJeH7x-*kLDJPQi z&P~H2XY71{!&6)jU(XuGrU#mH7Tr!`qm}k0^|~|-CEP0_S1LDL`WMye!KN!#PVpNY zyA-kurbF;ZW%lv{<&vpmEH{FX)=G~ue|LHUaR2BM6*Q2giBHg%q z%E~>ML|Y%XPOXjT75^*g-I4ozT-7qJLI~%|jL7`eeMjRPw-vkBeqcx?$5;^>%yGFF z!mcaTlsSGOxK|s^tfSW=Xe*cI(w+E!~xRo2ghxC`@OUyKxKKQ|@iFf*@`vL->ET6b*+J0gC zZHP{Ges5@BtnLfRTD+;_K&t8%1-}lN4fg|w6xQ{wCghD<>6-S+wvojjTo9#H2VbUC zGvEX;KHgJSo$DPoV~@EK$AU{5ppdbQ!`f(d&6G3qw|6iYR2QPl6b9o$4hkN8DAH+r zpMDG$j$W{gt)ex1zjU}kcCY?W!I~2Kc#)2_WMG*Hr8S>t`^lBeD}Auw=Q7q;2{!Yw zqB@IiG%m84!{`>DqgvIx0gUfXFiN8$8(UeSOcGB0{qj+SxMod;j8Xn@2$k_ z3y-?jp{3MxeQ#tMH=Dp6gOz?o+RI7-e(Hy=u%+?fXBer70@d z>e|C`WjX5z3<#4C%{Zikc-o?l1vF0dRp;=ilyk4b)wf_13Xp_SDa!EP2S-Tn@)Glc zuQIx$0rPM9UdlVqxMp?dcawJuRb==4k|8b}$d(ErA#y{r-N3W50g%DFpxODXaD>{p zUp%6SZ2bh&vIwURm&#sh%i#Y_0F-&9)TAIy?hs&1O2sW=dg|PJ>=;K>1{ZQh5%5ME z;C?tj$$-F>fVWF4HL#T1{1_=aH{f*eiiezJkWE^Dt#Ez4Zh|1*tl!)zHiXCSkh0m9 zbIISi8tSSGHbeieC8FrT+``4+%pFrSdWjsDHLg?AfU*_1iA(5s6aH zD8294ZGdamO*C=-pNX~k=h9MQTJ}>fY@N*3&KD+1UI0krbsmiP`u`bo)3tbKMWR-p zkV{ABgaDH8fQ|dw))|nnsTUIBT}Ykd`NY&_3B#BeX{_4wPOyi_8Vwwr$CBq6ZHb8c z>!>8XYKF1+`X4afm!Zi7vR`oS;Z!UK&Qp%SJv&57gSTI+kQn~!Y2g*Hbb9sUadt!C3Q z!5r7Iyk7MCP)cv^g!2r*m#jZhgl}D6<%=Ink_K4Zh}kJlmjHlkmCk!+RUUx!C#tPW z^rr6Fv%%4J`kV9mzXaxbK$<1v-ki%cD4<{yNzxRuRJ~*D)7pW45x6KW+A{|On)vE$b{I(ag&c&;Q3XGa~?auzLyyGDy z8`T+jte4;ldyI^zxLZ*%MF@Dnwp}=$_m-EJ#s*5 z{OAWPzGaI0mpQrS0LzWR5Fi}2+O-B8cqrvFx$lt=kMSEHwM+5Q#SXIlwK_l+>)Q-Z z?k>cQ>INFC93Fq_6B2Q+MxyPM$-6`Aiv66Lw>OIQ`&~jq?}iMCE(kNYm-GD3?|B3 zV5pxM6(h-s*=FCRt^{is%l^69=vh4BA&KwL9AvgnGJ?KrwyC(3I?9CS^kybiRb?FGPMk?E+Aq)*~F+r2xb>#d@w7oN%0 z!8=K&jRNF_37zRlO1!O>&1KYeqVTtRrmlsyp&t40EtF>f>8Y>#?=@+z5N{i0E*n*H zQyx{dbH#$=yho*Is}+USD6g8FZijBp*M7VJ$k!|@a_BRA(~oK_j$NFG>ulfEv;|tm zVuN_ z4BOyJvN)!b@;PJY)?QEvZQQ`|Q)GQUAPC2fZ)Xu(DS8SvgAP^pR^~OOy+lYdyPX$n zoj2JiUDC8(%7^>%$}xGY0t!_YX1eR;U+7fE6=zSRc-JLtqZ7=9!@G(N)%6N|Ccn-CF>d$`1_d22aCqqR|DX@pWsFC@ zG9FrV!Ah$*GOZpnfrK3(6(om-w;!7K64*+zl8PJJDR+>57&VLVBCm|MIZ(H)R`B1J z3eVlH6-k-|MjkYHQB)R4);EPTb{rr>8PdC8N>v*9rWkXwc{-m}C+;t=E zWU@Fy62nYtv_@OEzQ&ulS5tsN6cDV}o^Q!v4#_{DK7i}sLnCLJox;7H>v@e1mIMrl zs>9=T@AGlEB$_`jY1Y19Dzt+;m*V zZ`wDOU54->K>pw1f|sw(0E72l|GOJgTHPD_($O|(kX8t75#10HiE8ziXHy0qL9ryo z-|x+C)VgoKVlmNv${{_;gD!-Q*M6m$8;IKmrbiXd#?*U`;LSDnezh5-th?z5j zJpeY)Mbq6T)J882#gpw_zmWLmc0e>5!207Ti@5|zd4;5aY2Q8NXCAeqM4f=a<5wYA zRQOho^7Zcl}?77Y#%V|c`%E6sIh6GSOn|m{U`(Uh<+E_3!vJwBg;T)A)tyHFg8tm6+ z=t=vQA1&o~9%XhoXIwv1yrjYr}H)2-p)54rj+MOTo^t^Jru z5XI_EBIa&94#oyWii$`CjlpJYs@&f&m+PchM_HUuVW_&uP!Us%FBOl$^{VLq^+IGy z5HC?warTYAT4=oDp1*Kuq(@MW3>jzdC*otJWG#LrQeW60QKy&+foVio@Ua>h7MonjqdDu;iZh#o>wepN=GpMx>6 zs&|{|x{v{MmLd7Lma`j2r6=KnoyPU7B7fc>(w^>Ff+)vyn+97d9pwI=Z7CpXxiAc- zF{TBzjWpyWo-CP<02MNmibV)=JOqKYLOJSfLXqmT6PJ}eBx1?hfV5%vWyY9|(pzCG zP)d4g^>v=tMQ|uMDDnCZ-z!0ZOpFi6>n5=_O)e(|$FRqRT~d%WGChksp|`IF8psfu ztn4OSN5b|}!S8$6J~&wl9csi=`V14XpDkAEWMvme9dI8s+J)(Z60HD zbdC=M2b85o=DiE1$jk6ouJ2BKIlo+C%Rz$dB@1X9(E?yBhvf!!iA1g+NYVIk=N+uz}brCu}2k0xpn!yd(=eH z>fN0$J_}JAm=n&M8Q_M=tJk1Z6GW@N#tj_H5WgriI6ec_XazH2cSYJzozSo>s7(5} zIE18RJ>BtW9l_K5R^)w}BV2e2FX70008R=66UUV+ubWe08_C=L1|t3Gz#(Acn%ZLe zU5=+=V}2igVzBbXy3IZsC5e*(=j_hir_tE-layBb3N)e^3y~@;L)t8TR@$gin{Qv5~2(v9mJPrNcJP;>@@gEVJJ>2-YbwT@5l`>>Wkt?W%bI|_nmcZ@P zZfYxBK&)9sT^6dgD@QXXkP`azUS+SvX#s;{0$~DxwQ4#Wuq~v`w(xh}LzR7)j+6d5 zQ2`1YGNvYc2gkX!yQP3dtb74$BaKktZSM;&9IixJC%>D(M zJTIL21HOKGTYj4#(9l(1)RhRM@!~kVyn5P(T6wVVg@ZR_46V}@#IMz)nks^Bh-{%% zz*jTQ@53(RT1S?FBv)fcu#hqR-?R5fO=;@Yc#?Wa>Y5|fS2a;BkCrYp+=SDbWmt{n; zaU%~$RT(|5knl#%5f`uc(`sgwuvyIW4Nxkd6iGt6DvA4{-z_XhReY5FmFU*NVWH5mr689fT-l%h{J1CRLSwz&HYupfJ&Ljx9?A$^^~z>=?O2C-Ca4*sDx(Q!5i z;gDKn{iA^az76)RidIKiWy3^THaHtwjOrP^2z26^51tyP&xuS)(OxerigHKYofYN3QH9lg2=da@ z;ab`}Py}^MuB`o74?@d&A6!7PV)G3Pw##M)RS4TTp_Mkd&WQZ*4P$&+><~lJ0N)>? z2{{yi<}AssRD>et(3^(bI-MFKDN@%P#$|Kg|B9SXmEVBt;0Kp$ zEqcfKo;jGg&P78>3uMt7?&c{#8=a8~DVKM3$mc&sjq!A}P54P-SU;6F(%U*YOCH)FQM9%o^B>V zn<`ma!8+e64AyG*3p&V{NW3-pNqHD7C~@J%s!wXI8Pvq#G0TKm>%6~Z3sOWWn-!#v zQ{1+Wx*eT&w6fj{2b*}^)QCPX&GuMaAZyBwI$56@#&0y1H?CN_WnWx_WmIW9P6pUg-jBoA4n;I8 z^cx<$O|aAO6|xH7IgpUH^wiKiE; z7mK@b^x}cxJbl;fTyx!!)6;16=bw89k zprOGOv0}bF>(8aq{|3mN1szff+kjK#a`IYTljYmbRDDI(gKJ4$W2euW<2ng06TxEw z*D25+3VY4mIlUl#dCG&@n6;eE6dt34mwCZj%zxlJccUub*2FNL62F!*zK?u)ZKs?` zv*pSA8S%8iZ`Ig-^w0~*R=Im^Wuw;sx7vZ}i`ZE58+k0*DM%}$@qhypwbooqBi1zh z${|n1$cFtZvPL49PP2W1$#dACl+=JwXItXg4VQ`Hi*~hhPI7plZ~A-CZ)GE%JS)~& zfs{L%*E}z?Ggx|JMjha>(8J8Ex|ZEfIzXW_u;==lYW!k`O zu(r=p0g3ORv0p0_-PpJV{SJwGK`B@a|HJw(dIiGDXj0bckKW__Org>s`r}lVM?Z59cn?O}m3?q!jk)iade3gdFN|8{%9p@@i1G}E;ElW}y|sC&>~A$m zV0j}@NKyAsMX1}XufR2Y{(p-5!7>T{?&$GDOLRksfQ=F+>QV&Uh_5Q~LFSuALcWO| zY7XVoMe6xQg4I0YLPeGTT({f00aZXpPDn|%1a48|Nza=0?La;1-$ipXU^$-@kdiqy zpRN0N4?(m-WrWTA#TIXaC;?E0&dsi_1yw_p2{>J>JqKo|$`yQzoG@qCD*!sS@Asgn z4Frci_yh-q2i^kBzP>~Z(L?JRXlad_8Zx+UvhBMO1BS7`{PHO13cX+OZ0{VQ8yiF{ zrX1W2{*1mN{VS2ZjcASFGHv7f!kO0oG!JcvxAIg zmQu}~|A@_WRRLFiwW|ldGOE4_r#MDo;}gUO21=9cIft&Z?!&wO2w)`|>{A;2@#-J2 zbEc`Ks~E%H8}u3ewoY_aBt|U1sH}#+q9EYN^(+ymcB-auV)blcDVB}o1`VT%BgQe7 zXv1HH7)$E4$~k>?Rc91^-WUmfn3trEm+jI>>z@D4pQNQgKzyY|>ryhN;Ckb1${SAY zobSfDF>!$(qL`BS9?sMctpHx-co>`^?Pfm1cWKddw;r_M18TZIshE9W3DNH<fwQ?b!&pe04aGO@x4l1j_9VE7JGTI61}dvjH9v>)XwZK4fjt#}i9g22(o^khVYe zkZ*+agr)CLuz#O%pI#HOfRLzWnfq&`WHRy4&P znP!RKnf9o|>HOlJIV#$HuF|w&;|z(xM-rq9V`q`@*3|V7XtxsA$qOTPGG9^lDO~+& z#2NRdq}0*7s(c?k-ri4W9o9?nN)-mldC(sz>KNa+T@r*|JLdP}XNTf|L(qO__=SlS zGg4eVWDC@bl$Jb`;o32m&Ze@=;8RTlBE-;GU0-~VT<;Y4fH>#@6w1)sfE|!$Wv^PB ztR^L;3{kV(aUw_vE;1>!$_w!)swrdj!+UqDn??kC++(JyO9o zBK_lsrH21!?2|Wjvrw`m;d~=EMlhc#b)u$+wrIs%$F$xio1+iJYJ3)x)*8D@)$+&| zBAvHqUBy;(-20V|8r5SmW)`)?^nw|9!t1lj`tWfwaYs^Q$iSQHAhGQMfU@1_rXzZ8fqvS&Q$*+_=A1mIIpka{hLgn+w8lm5S zYxbFW>^ns$zKXf0db_U?~`h(FOY(q;Z<@@W{6<^(yVvND4oZ@~5a(oOLUR3-f^Yy1HJDkjD zX%vn+U|Xod^BH{T#i_Zl-|isZ6&a602pzU|1X4th!VgdFpys*b-I5Ie%AYWnETC?0 z#LN0Ai%&8_Wu?W~n>Ui*u~Ou<(y%R7M|wTzT0f5%RC62*{D;RI)}l)(Og6;7A70TA z51_;9*X*|k23AcOpmRi7{@jx_h!yg;lnKX|xnyYdK>7U%=$O~M(Q|QfFFAk=_S&t% zRZKAJ#J<+r0Zq$A^U(tfJeXCsz{j_^(k7mK8XLrOSdK(xZY*zxEWq(`^NUy`Z>=&w zEIbmZNwSZs2+QE%St#TAGw+e}4VALeWLM+wqKP>8)3d+{SC|#A+5a-Zf-KqvrZZ)x zsTw)&9mi0yKZ_`n&yniAl-RbdVHB&fw zoU(oIh8T#yd;ipqu$Jz+qq)27q}vyBhC?j81*9p=on`a>Y?WdBJzZTrbyx7+Xs*K8 zDBWc8IB`onC%w)A)pIhxknN+7zD@0dp|rS6;G{xUV64A3EM`dF8GfGpl1i`SRFwPh zY=`Off|Tgr0kl7UkS*(AqSH?2wRSoknvmaJJ8wLTP38c162*H+^46q zy3CV)L`hfL!D3m{{1@i2WBe^>FhQy3JI#f~8cx=*B2WNSAt5dYY(R@#Vc?w~m!cbb z9l7H98jfceIUPv7w=1!5q_Ikv`&Ii=vEc_UW(P4>(wNUE!zjpLYCHNRdzfm<0-G@s z8&D{cRGJ5623pB};lAq)%%CU7AeJx(> zK{B(-gMocE?Cyk6joQ9m1Ui?cA02dLe^gsabd^%q2#(n?2~1{U?gYH(_yL=f6iH3c zOWjbs=a<|M@Y81{j?IJMq#?J3g-IbeY!x5`t=XqGXv0|&sWRd3_TkTlN2()rv$O9K zBn7iQ&y>_W+aa2GVKQF_BPT}y*mr5bxs>O?9EIM#ZZ1>Jh#oFsO`Ifkg~-a9Mev1u z5`U;Hevq?WfX`YE;)kbSH!e6ijORcIq`<%jCmPJY2Qp^x7TWt5K!kZYet@DBgO_Yi zIVvQq%E0?SE4*#x>OIk zF9+LR3ME&vMXwlxML+0mdx>|LUV*>{g9m-2Ego)2sbZ=?g*7Qh2e*10f-41?wP|1` z1NkZ0^VgDATcqS0!6cF#&?D+oqX;jTsg|}e6)u6KF}Ab`8VC_zxtHFo!q1*X4C=Zz z46$dgQ?f2cLw-1_h3woDs0gRU8s>N``IDn7EK6@U;Z>gBb6B3eH{9ly{{<7Q;R9xz0B#_8ku)GvuS z118Rhw)5HlbPtJPevLusQJJb=)|zgJ^Fgo5$St$c#=}q$(hi#HxJ00!wt+S5n0dj; z1a}c&UK;Y3{B(wXlusaN2d6!H&{DboQk$>9@e%{Q`$%a=70gyLR&~(;9>UU zqrjtpRj9=B%PSd#JUS=JjZC=$8SH|T9r!EzFJWLZsZ$CQEYC@__Vb9z0ZUN)gc&?+ zVV2G*v`=|doGB^2y`_rQx?(dzDxT&i7Pm5dUi3>`VbzO~9-kV%R5zhud)SSwhx++4Ig>0xOM!gTw@ zXghSV8vlAPE7W<@+QVq8Fu3a_yjM&eZoC2~dNfQA`|O6|A^aXh@6PHyFeC&UUEExg zXnX&Qm^D6;hMaQ{ZyAf`OM(x#EOc-)>@rYmuS0E<BW$QDM98<%v!Vr>%0j*d3_f)w?mOKBB(c5qWPJ|AP}6l)PSv3MMJY?;=dNMMGUVPG z>Crz$r$T2g^=dO9YOK11+o}khzJs`n&xpmZ(h_rTytDo3!>lB2uuq;^DQ+=$-HI zLF81dEZp!Q@`g zNRv1*J`{!_Eezdmd65qDy(93Pa{b&xY15Cn&iMoV-5?`sh!XqmL0fXVD{!x{fgVc`^cjnT+0eTACAo7c<~f7xKnfE^Z`Y_Oe%q5JelK-*Povk}lA?>g)E z%g8I$IQRb8W-99EHVlZUD6)Fex`Bv(L^fhTUehZ|hvg0DIOLIAfjAr{z7OW!bt4@L!-mNSA@^NQP67w$wvL#Il492g{M?B{bv0FMwig;~W=3Niyy!@qc&ckBJMqYOfB)FTS(u1gDtK?kXH+f7?Du-&PNLMLaUANeL_TK z_4ZS)BmLW@5`GHL)&3;P_a2!3+scC0AALl%6Zl|^1YT?q_OyY;=BRcNd8bGPkjBiEBRe|6x&q>upa`Q0SV@eN=LHtK&7r5|rN;8pXDx;2 z+qlbkbWIs|B?WoIp1(kB9G&u»I(cDF-RMk3KEctGT?n84F&@q;K9`qbYqKyFjEgbUXYoCO z9IWl=ujxqHYypUze%Dd=i5A}~OI=w|-8E&tBUwX5#mpgETzIH>lvSdlGeDW{xGCY> zE_uwoVA7vjl^keeWu19ARO=tW2iLwciIANv4Pj)>)?kn=G{e{pBik5-kX_b6k&z`r ziV+bK*_Y_bzKkVVlS^dHn%}tH`_!G^d!F;W=bY#LJm2Sh&-6K*rho0GE@jf z@dJCCY2aQt6&>$pEH!raP3-%F!@=F0`>A(6En_lxOhcyGm=crx2e{NLm?Qs+5{TkW ztA-+d4i6pfL^3i)bWP6R)YBLDg35F#2jRjtu3Fb@IK8_uBCx;YFEK(T_`Y+c^>XdI zHE{zL^N*Q-;?j=$@A%wMi=_l>KAE@Po@%@ZX!TluIa817>}!IoJ(Q;|ZUT0EDAQVB zh4I1ahs+}}CvWV+^KAvh;4HJ1wYvKvD(Sgo7FsjOTyW;dfO^WsG4blKz8UAo8&nAw z)_0t6U5;oyH+s73R2Pcl%tIPn^@ z;7<|%oQy^r%J$K`{u|PrGtf#N$HF?qa5QG=<+OWfw?C^wc{%e0Etx9hM?Ys`-WbJq zXGD&pDFc(TNTC;?iTyyWfOK+GHT0QzeomsKZSOp-<$dbf^IT}PG__Rl ziT2i^kd{*2)K;2xAqVf?wT6mGC@?s(VS}CCb@$R}3t|%?~WvTJ{`e%wzj5CemZrsa0NS zs361PxM|IORR{Q0d-X@1i5?C5bKIP})p!Yukug)EN)qwKXp@o?9aLi;6kuX|e*W3L zi@mS?#^=&waGLxvs~_+wIql(~aNYpXcF7q<-ax*|T~qb-ZsEMmFZ-{E&(W3|Hk8?( z{L>7Ue&I2X=-P#r^Hdv=LK~h0D@s%re{_s+(r6d!5qr-=e9$=$m_V-P$#JoB)^1vQ z5Bj4ln{eTf@|T@4Q{%m3f8Gfj`Ho0WNCRhV1On-% z56=^J5X-3gso|&<{5`=%|1$~xgIrM(Rj|qvV3-i|6_3)zcTMo!673WtB>&Ph*#Vp2Yy1_jOC{{pGl^kN$;kG%Hd+WwkD!tL zb+QJARDnTe1`WXC+^JU#jiFXm(D{U#XH={?v`y-kW_2|cCwU(ea{c%V%yYk#zHxUZPhVyd z&2+pEsI9{`xA3Yxe^2q%tt|sDT3@;2mn8gkq zq&iGG8?Efw@InV&fWC49;Mn@9h(%9Ecqgck4yTpSKQ7GTUderSyqTsm#90_ISMb-5 z^(RM+QrmeN8?x(X2)Jd_}PbCvwL=ohdXpv&~iA6 z!et_vso29%Wxl1`PfvE1tvgl|zOConfalJOQ3JsQ^{-A(`hH@RrP_czk;HAUA3$h7l4${3n?2 z4ncp#2Ic{EQv6XCAk*U0#7kbpI+WE>I=bC(FrwY)5tHZC5tv~{_HBbYdy%n08LX@_ zo#Uu}Fwuvqh!e!@LECjY`&Gr>;X`;YEyJ4@q;0WXft2MMxNfE4W**jxnVGMP+gsxy zm>vpBoRm>x4RA;IRf)WIvoHGvHq**PQ)-*Y=>g=7Wx?GNNhYCAvZ4iRj1j+q1>Oqz zmZ_h9^g+*SGg-X_F@u$1fnjVX_IsP^T<+P)ujR5S^wWstEmfhH#}COY)1zBAeLy-q zlG2+>ok~wG(n+~Wja!KD3kF_&i=s`4?uKEP^uqfu;VLs$E)O=9%h?H6iN^R>l$UdA zrY@#XSUM{K?uWNQJ>3-jS~(Q6M7r(H9Y;ozy_ry`v^+_Y=Iu?95!=g56H-DU&fU`1 z3+=oONqnGqS9?TOApQ+4l=arUDb#~7S-}o`D7aJmh@EDwk+9m1;Hvx#Lw~>@Iu%2x zo0$#Sm>Xf*(w}nb+G1?uR5pz=nYt)3H^u5Bu%UQ?Y6L=MQgX+7L zmg<+1-gVhb22JpBE(a^{sv8b+O?RiLeRM*u{9)kqQjJ^LJ4eM_7?KH*LfH2mtnu1ipm}dJvQZJJkB(DvsW5?mwyyYT|VGr zg66xtDCuG>fi@44d|3Qff%zJ6`MpwsWR?vaROx}&so6+_LZUtI zPfJaB)$b_LR7XmZn$do8rzBeax1fJ}(nwiS3BxJ-^Henreq)JB22zsLSMV#UiQ#W# zfEOu9I^=(a)QS5A{&NE$g-OT4FZd==DfsWD`3EMB3=;!Ns?#>K#D#^}GYS&`01yBo x=Z-e>kkfTF_A-V$D;nwqf_1H~TR=Q?{bVgMzOFJBrkAbUuHDg+lf4a5`ybsDd3OK+ literal 8046 zcmZ{p1yCJJx3)L#nvD~J1>Ly2ySw{FHtrU5Bf$y5-4fj0JxCxx(BK|if?MEn{_oa3 z=j5w@rn-7+YM$!p)!nt;dY7sK96S&J03ZXjBK?*1&=I11VE}+gH~;|Y~|Ss+B+io+fQxcl^5wVi2tz(kDye^yN8@c8?$`6KPqHC{MiWt_1WcVawz05h zn@lGP8Tk!uMq0LH7cSdvqZ)FbE_7<-KfWcm?V$_J#Kks-YY>~xb-$21>~SZ97M1+e z<3RHRF629dhJ}?0kK$V^`u&%@4RM~x%Us5#PHRs5N*uxx-LD+e1`B`YFj6cc5w#?CkIpA^_0D1OTAFERTe$7N0r9-Hq82Y_+3r;IzpL z3^=W>@&3`*k1l6Qr&*p{^ud$8pvQSXj+0o5g76FZoD!)K7F%svT$W(XZOmu z`Z}JGz*3|J%5+lsc$7~bg!vtLk~j|5t`jDspeaZlP9LpAM^sC+Q~F`q`=qaaF7GlZ zry|_|b_XsFR~r&gk<6eu?m2I_2cPF&FI5g$-%Z`x!FSKY5QVd8Jk-C?Z->1pWMiA{ z07W(w2{_-`MX^|K`}knM9TK!~wY9;r`eE^*soI+8yuZ&AVITaRpC4a#Z*OM+g9xrH z3ltX#%7Hsc=#)eog?WXf*3w&5)_k>C%(x>lpP{WYr)d}XwD+#b}?YZ zyUZ0ixn)EB9pU)%R@L$s%%tpNU(`;_EUF`7lsClOys+!G2yKbAgUlf-h){vfVysy1 zLj)vJ=tNI&FX|mkd!f3J|1y{KRwpn;0`vNv;{rBmhVyNkG2lK=P=c(Xbma*wm==@n zp**PSO;Jdjq^1GBCb*XYGg#qBUL;q`;WN?>Y9 z>}o%X*n4kRz}FpJT&}y&Swv>=oBfOV8A38ca&8tllOJPJNfj`?7k=y)m}D*lLu zUB^6vqn;bb)Aj`}-!?f0!MQ6~gX&VHV~z6hT0$c>1F}mq(>nU3?WdWhqgkp(aGjtV zw;Rrvrs&VF+wS-{DUeQ0Nfmt;LgE_3^R^t}G}x5DWqFd00dyiGN~L{>6CoFLB_nx> zh}yPQy5NLx%ZjARGndhK7MC$Dnt}5ysSHcE$dWR@mul}2NDfwHh`R)^#%8&H=nf~A z%kxdos5FKTmb;|l+G^$ya}jVg&TC9}7&2g#hCJgta^{dQ5%65iyA^V?^2u-2&kQO0 z0!t6%_IvfPCqU9zt-TzK$zlk%^y|i?VJxwv*bXKE@MzvZD{KDRz8#fRTGE67V!$^-P{{ zn;K2ZyHznI9ufy0*anBPav98W8wMplBNU7a3RI$+B^^+8!q2C6eCYeGH|(~Zo-Sj4+rk>|_jxX=^f z%(2DN_YL(mCJ&>hahw7E8_MM|Z{y`iqi|Av15*YjAo#qF;={Am32GjG6KIhCJM+I3?)yZ3d;}`!7}`K)iu zX)$1)@HEMi!h*@-2mTZ-dxWq6ZS#yGngxCpAyKdX0N0 z{QYwAF^~S6RXK$MHbuz~#UcgEujyC{*k306z=VmRR-$8~Lo`PQh0*gw^k=%-@9ezQ z9KZJ66kQ$@lr(_s2NIn?r~^KP(Pzi|MI1UX>A#%|BE+b5Jfnyh{j>^Rgg_NyToE^PkBLVX>(Vz#>26?1Sjv;C$Vhf+n7SUmmEkg#%q)%>Sj!VS^k^SH%7TJm(dXx76m5u84ezHbvk9HuZm+M74o2w zv5ERRd64}0Fi1g*l~apVl@-REybm!}&6{va_5&K=-=oVBUOq{@NS%h4_OD#(3N}-U z7gOjL#SU9yuz4TR0-On&3eowDN+y^RZpaCNFbSnNcq-y^FVqMu%>`~?ojLh>B~p54 zZgk$Qc0{}~8CtB^{m!fH+bnhQ$O;wS_bqXbW_aX>PLJ5%)g^%Y0X+fQnxKe><63!3-JX1_vh1c_NRgj)YH8q}FgRB#Os$Ti+m<^j1WF@ga+M4(9Kg$OIOYAn(6bEg7}hH!U1fCCLOeg~})}V&iU3l;M_T zn8=2qmda^HB8Sppqg)ZFBP57!s?Z}hh}^>Lt_p0`@+8oyZSt*ojSE%3J6Rst$|!g| zW)KkWZfk0La<5wOXV|R(Il8-j{!;mcBRX@X-F0pu_F`B2jnTqaJerGXJ~sy@+I6cF zL$CUGCnG24LJ#6m1*8LkUsuc?N1TdUE9i!B)|5A!qQlRJ*LIKsyyvP065cUbcP&or z<7dmgAbE$?S7{P#XTA8E~+f-;YegZ@n31UaanFwKRJNjA6%KwkHYh1>{!6 z!iTY?oO8}1@n`+$SI+@~>YPcM`bNow=Ik-9>)_YegX?Oru8dKk`OX6Oq~yW_>c8y&cj(?)KfZ91ih8_xtl?oZM+gzP^~775)@nD#)kY)*OQ;)^Yu*F-mx&Zn z!yOwcToDI%27JT^7b+SRk7)HELL+jWis}9VZg{&i&dF=DM?P=qnl{Eu;2p7AxbPm{^B~6F=fpMEO55DcwMXXfFkRVCe zxLP{g?5}iwS^I`I?HJRLRD+y&oR(C!5dn`2@Iqm|^Bd(Po{mI6*7~!^pb>?iywhj< z=R1AOXt|`|bN=NCuZjbGt@;JfywNi4>#=n|-$-`4YfOu3LIvO!zMVs{as5}IJZJ|_ zI7vd)szJtkbV{|iw~|XGih5Bm?KKD<%g3Vz8*D3h9QGtG%r$IELF-L{_B$*_EF0s- zO~?)vBc&__x|JMGqmhkJY{ql1 z(GYLqbia40cp3C|T@1g{YcW|KR+#CNRY;!%=q>d;q}djq-#_gwQ~fM1Mj6+u^}4-| zl{$RfGa`Hk_n(X$D7r~g-{G?orfPXXwKdaav}WmFDt+IpFosn*k5{*tuW&E9c!!4l zf-3YDqJQ}ICVfJHUUwg+B48E?Va9I`X&RaAq8A;?1yh9D*SqTrcwO-jB*1$JcVgO) z#1V%YU!Mku1!i4%UuHvqUEp(QL5%&E-<$jAQAi{%h^4!Hv|yQW@gWomVNUDsRd5bHI>ppBGDD@J zy%~8tZt=|^(|R`gmFE?Yxbi*CF8f(pjKv!Wl>A}stXo;cAp|HSb-Rm>m6140geXHy zr`l)he(!6%i+u_pxwhfxxKJB(jo zSF(@)Y?W#^MO`34O7MHYVYFXA2K1 zhu9=XSd;O7#n6Z+f~8wUG_^L*o>-8ehTcrbb-&BWWsyT9g+;Mj%?POlaWJ7Bmk+_@ zES<#gtxulV)Q`{Fxck-Mhp_CxYXqO?6`ngp25BJNliu(^g#4+CR#$Hr58s4e2o17t zw5*dzfJ@I(7+UJP)v9jhspmJVvg;UlY~DK=yrTNcqagT!38E_(mZ6o37)IUn1XD}I zc0E3FXmTNyE+0b(s9?p)bqj$}M!XG3#X7vnw(3B`qgmrxZkb|khomP+(T+U6ad$Vm zWPYTU`I9!7Ho~kgW1fksuUY1z4J?Th##t>OjjqPK^>&nU)Ox<1PrUOM^z~VxEtv{Z zjR)#zxYot=9|<6GF?{{KgBbmTY*W81d6cbjH%79|m&oYe#^^K$bmq>B!EVlkr%VCy zsI?+Yoi+mn#%u|gNND)7893K5J_jX0 zLKW`*zVRh7+|&jOl6ang*!8Y^b^JkU6a`zl`HSuq9ybN2z2K*>F;GWqQ{9ey*~Vqj zT`ih+v-11D(>hbH1{<8pI(o@Fn1Z*x!fA12LJ z6!h?IvHm?hdOn1L*Dtml;Y&mNr;74+gds%Qz16k%)@I|C5+{GT*f@AC{_4qjSXmQf z5$%2Ug^(kkUQ35$1VTcqN;hBkMs4jJ$xH`Ug08#wNu?f8;n%XYBw>q0tZW`IAc>wc zgm%U>c+?X3PckMsl zrfED5?K7XurSP)6h0TE|vn5_A5PnY<3@_zp<8DWgWGo)0yxA*xg^6=NB-An-)GU?< z7@V|P3FV+?9PQfM<6!u$S*q%{M!-o|&d|G)fl{v`YJwN9_)S;XK7y@sRJ=GDc1I^c zdv-YT=Wvh8imJ=|qgCcRKa=9L?21D(NQZIy)z;Ao%G7lEwC;LIr>Gn%I)(VNJ(2Y` z|H@i}kUsrS?gmSH7mmA$FH>9k$9$@fsE41B<4zCYj}B@}H55jXA(vN_Vnbx05La5^ zN@x^o3+)!EJ3cOw$#9ty)Uup*60iRS&{oJ;n4wi|nte*s1yaXRF>tuV<)yjmVMpgLBc?d8EQV-Mr^ZL!{6pE3?Bq`ID;8F2ZS z>LX~;YxpGKJ@sxoxdkR)3Pm$@8bd@Bk7J;ha@<)5fc_0Q$<8MsYmNJf;2}7>II4PVc9j-gk(cF1 z`Xo=$_w%lR#8jK-pbn|E6QwQ)sxv&s#q)Fuj(ehGi*R4Qk5qaPLjKK!8L10o9 zwW){5@XfN27!>zGNr3}>eEwIL$l5(@KaA`%3S{k-wkk~f=g&cbtJ#62pItx)VoiCl z`#(00A)3tU#cnn#j(0!l)*cto#bA_%aqfIZr3!9EK3UD9`{$bZj{1fbA7i}3II&o4 ze7*}?Dx2|ry&b7}e(*Pq%V7qK(J~ zAhl{or;77Qp3bnb2aV+IjDs-?M5(XQ}(R1}0erFB{KbCX#ZsGH~$?%>sG?RCvPfBgp)?^NU{|vXq`3qGa#> zK^}@}*Ul5`DQv;%@?vhu&RwS%WF)hKJ*m{XxH6~^^s640MVVh1;o{NaI#VYCx!lFt zy|%R#tavpHznwo32NOHgu{I)%nXWqHpR(2Tz}$eMsWL^0K{4XcluW6bYxj9((y;jn z#BW7c!Zk!Z-S|YbHh1+Z_7JW(=RL6y#j_WulAJ0nNidr=$#jP1kjSqHOb9ZFzKv8i z*yVcafSt%p?J57)m52nhhNk-X>oIqdLsbZ6co+BXP@3)3V`!OVm)=oNT`ujBa`&nm z7-w6fV>C7^#-7P%p-iG8R0Dr*SkFRuOvmk~a!IMyV`N)uQO$zrJ8P_*K+a-}0;Ac+ zO)#Z*vf^Zx|2o?~WwHx%{LH7p`qyJfs21R+un><|x&}KXs2w>7q~Q_F$9XcSTHBoU zjHyMLUDuy)*2BvP!y&UUO{5!Ms57DmimshBTp!6Y@MbJJ*F>m4>4!(9wta6gKbx)h z#=Rp0miAyZI$LjP``lZmGi6eOE2lBdh-#ecNm{w9AF|RY!LkB&b{<_x>Pd`}g-*yH zD-F4dadOFmM}|o;h?UtXta~|J-udmTZj&~XwwnmCiAc2thjxK}dNpB^sGTvqHYGrG zI$BoXhRc)#-wR=<&irQ$cj2 z9xAXXU#E}TTb&j&EZ7%n(2Y*Y@MS0?91nbly6mDd>S~l0{fm#M@I>$@$~DuH^OP6$ zE27)N{9u~)Ta3WdJKvL6_ZEg8IiLJn@11aeYEKYq+f;fQT|f$ax=!P?@}UW(lOhTZ zV>W8{cWKY_w(6i+mLvIe2(-kX`kK zR#fq~ccluiY`Nu`dWT!d7z`c~LG~ zxQ3;+l4`P^z)e634TduB=5S~`LWaq|gVOsIH1O*s81XMb!Ts-`*nsUF;?xxSS%G0| z7mO!`P$q4#{kQ27DO7|Z%`+xyha+Y*IXOr0?;9)s62@E4#M86vL`&6Hmvi1MC>vKTtvFi^KxFI|89yO%nK=)jZkgp0NVjA;Y)@*xsU)My8B_FOAH4Est zC+@l&_$(H2r{HHd+=^NCSXqNYF5taBFavTM;NRwjN%dGyrC)SEch>X65bVcOJBu`5 zDQNl1uVsI5m-%jo48&_WodwEmt4Ybb*NGD?WQVz?f_27;n3%dFLAo(@1Qx{FG^H{E z%SCeqGdwpbHH%P~VVQ5~Q*eui_TvFBW+ejlM()@DeRRQ}AC;|63{jFX)eo z-Cv*n2g-fv{#n2Kll;>i{hM@nQMdm`{^gbaiT-JA{)PT^H3c936MZo{|0MtP>Hfq0 zMe3sdW6=NS+WpD?DZc+=|1;I@mmT$gKl~!a|0Ms^wSSMA^Xea@x8tASpGW!cA-9SD z2LJb9{|W!O<^P87Nd60cIRL5(2rs2q008CX#(vou#IFGWW`Gn2#8cMOTSZZaPsK}t kS5I7<)s>T7!&6cYV&lOjuF37=F73su%ByWD#~~&3KO*vR@&Et; diff --git a/lab2CA.runs/synth_1/CPU9bits.tcl b/lab2CA.runs/synth_1/CPU9bits.tcl index 9896a6c..623234b 100644 --- a/lab2CA.runs/synth_1/CPU9bits.tcl +++ b/lab2CA.runs/synth_1/CPU9bits.tcl @@ -17,7 +17,6 @@ proc create_report { reportName command } { send_msg_id runtcl-5 warning "$msg" } } -set_param synth.incrementalSynthesisCache C:/Users/willi/AppData/Roaming/Xilinx/Vivado/.Xil/Vivado-28060-WM-G75VW/incrSyn set_msg_config -id {Synth 8-256} -limit 10000 set_msg_config -id {Synth 8-638} -limit 10000 create_project -in_memory -part xc7k160tifbg484-2L diff --git a/lab2CA.runs/synth_1/CPU9bits.vds b/lab2CA.runs/synth_1/CPU9bits.vds index 7b930ab..a64412c 100644 --- a/lab2CA.runs/synth_1/CPU9bits.vds +++ b/lab2CA.runs/synth_1/CPU9bits.vds @@ -2,8 +2,8 @@ # Vivado v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Fri Mar 29 17:10:12 2019 -# Process ID: 21792 +# Start of session at: Sat Mar 30 15:52:45 2019 +# Process ID: 9028 # Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1 # Command line: vivado.exe -log CPU9bits.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source CPU9bits.tcl # Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.vds @@ -15,9 +15,9 @@ Starting synth_design Attempting to get a license for feature 'Synthesis' and/or device 'xc7k160ti' INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7k160ti' INFO: Launching helper process for spawning children vivado processes -INFO: Helper process launched with PID 15728 +INFO: Helper process launched with PID 18388 --------------------------------------------------------------------------------- -Starting Synthesize : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 364.047 ; gain = 101.191 +Starting Synthesize : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 364.258 ; gain = 100.730 --------------------------------------------------------------------------------- INFO: [Synth 8-6157] synthesizing module 'CPU9bits' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3] INFO: [Synth 8-6157] synthesizing module 'instructionMemory' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v:3] @@ -26,76 +26,76 @@ INFO: [Synth 8-6157] synthesizing module 'dataMemory' [C:/REPOSITORIES/Education INFO: [Synth 8-6155] done synthesizing module 'dataMemory' (2#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:3] INFO: [Synth 8-6157] synthesizing module 'RegFile' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v:3] INFO: [Synth 8-6157] synthesizing module 'decoder' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:261] -INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:268] +INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:266] INFO: [Synth 8-6155] done synthesizing module 'decoder' (3#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:261] -INFO: [Synth 8-6157] synthesizing module 'register' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:777] -INFO: [Synth 8-6155] done synthesizing module 'register' (4#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:777] -INFO: [Synth 8-6157] synthesizing module 'mux_4_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:407] -INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:412] -INFO: [Synth 8-6155] done synthesizing module 'mux_4_1' (5#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:407] +INFO: [Synth 8-6157] synthesizing module 'register' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:766] +INFO: [Synth 8-6155] done synthesizing module 'register' (4#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:766] +INFO: [Synth 8-6157] synthesizing module 'mux_4_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:396] +INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:401] +INFO: [Synth 8-6155] done synthesizing module 'mux_4_1' (5#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:396] INFO: [Synth 8-6155] done synthesizing module 'RegFile' (6#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v:3] INFO: [Synth 8-6157] synthesizing module 'FetchUnit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3] INFO: [Synth 8-6157] synthesizing module 'add_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:56] INFO: [Synth 8-6157] synthesizing module 'add_1bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3] INFO: [Synth 8-6155] done synthesizing module 'add_1bit' (7#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3] INFO: [Synth 8-6155] done synthesizing module 'add_9bit' (8#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:56] -INFO: [Synth 8-6157] synthesizing module 'mux_2_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:336] -INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:342] -INFO: [Synth 8-6155] done synthesizing module 'mux_2_1' (9#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:336] +INFO: [Synth 8-6157] synthesizing module 'mux_2_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:325] +INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:331] +INFO: [Synth 8-6155] done synthesizing module 'mux_2_1' (9#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:325] INFO: [Synth 8-6155] done synthesizing module 'FetchUnit' (10#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3] INFO: [Synth 8-6157] synthesizing module 'ALU' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:3] -INFO: [Synth 8-6157] synthesizing module 'sub_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1311] -INFO: [Synth 8-6157] synthesizing module 'twos_compliment_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1376] -INFO: [Synth 8-6157] synthesizing module 'not_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:687] -INFO: [Synth 8-6155] done synthesizing module 'not_9bit' (11#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:687] -INFO: [Synth 8-6155] done synthesizing module 'twos_compliment_9bit' (12#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1376] -INFO: [Synth 8-6155] done synthesizing module 'sub_9bit' (13#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1311] -INFO: [Synth 8-6157] synthesizing module 'or_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:724] -INFO: [Synth 8-6155] done synthesizing module 'or_9bit' (14#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:724] -INFO: [Synth 8-6157] synthesizing module 'nor_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:643] -INFO: [Synth 8-6155] done synthesizing module 'nor_9bit' (15#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:643] +INFO: [Synth 8-6157] synthesizing module 'sub_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1300] +INFO: [Synth 8-6157] synthesizing module 'twos_compliment_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1365] +INFO: [Synth 8-6157] synthesizing module 'not_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:676] +INFO: [Synth 8-6155] done synthesizing module 'not_9bit' (11#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:676] +INFO: [Synth 8-6155] done synthesizing module 'twos_compliment_9bit' (12#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1365] +INFO: [Synth 8-6155] done synthesizing module 'sub_9bit' (13#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1300] +INFO: [Synth 8-6157] synthesizing module 'or_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:713] +INFO: [Synth 8-6155] done synthesizing module 'or_9bit' (14#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:713] +INFO: [Synth 8-6157] synthesizing module 'nor_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:632] +INFO: [Synth 8-6155] done synthesizing module 'nor_9bit' (15#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:632] INFO: [Synth 8-6157] synthesizing module 'and_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:175] INFO: [Synth 8-6155] done synthesizing module 'and_9bit' (16#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:175] -INFO: [Synth 8-6157] synthesizing module 'shift_left' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:853] -INFO: [Synth 8-6155] done synthesizing module 'shift_left' (17#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:853] -INFO: [Synth 8-6157] synthesizing module 'shift_right_logical' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:927] -INFO: [Synth 8-6155] done synthesizing module 'shift_right_logical' (18#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:927] -INFO: [Synth 8-6157] synthesizing module 'shift_right_arithmetic' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:890] -INFO: [Synth 8-6155] done synthesizing module 'shift_right_arithmetic' (19#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:890] -INFO: [Synth 8-6157] synthesizing module 'less_than' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:320] -INFO: [Synth 8-6155] done synthesizing module 'less_than' (20#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:320] -INFO: [Synth 8-6157] synthesizing module 'BEQ' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1425] -INFO: [Synth 8-6155] done synthesizing module 'BEQ' (21#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1425] -INFO: [Synth 8-6157] synthesizing module 'mux_16_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:535] -INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:541] -INFO: [Synth 8-6155] done synthesizing module 'mux_16_1' (22#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:535] +INFO: [Synth 8-6157] synthesizing module 'shift_left' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:842] +INFO: [Synth 8-6155] done synthesizing module 'shift_left' (17#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:842] +INFO: [Synth 8-6157] synthesizing module 'shift_right_logical' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:916] +INFO: [Synth 8-6155] done synthesizing module 'shift_right_logical' (18#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:916] +INFO: [Synth 8-6157] synthesizing module 'shift_right_arithmetic' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:879] +INFO: [Synth 8-6155] done synthesizing module 'shift_right_arithmetic' (19#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:879] +INFO: [Synth 8-6157] synthesizing module 'less_than' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:309] +INFO: [Synth 8-6155] done synthesizing module 'less_than' (20#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:309] +INFO: [Synth 8-6157] synthesizing module 'BEQ' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1414] +INFO: [Synth 8-6155] done synthesizing module 'BEQ' (21#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1414] +INFO: [Synth 8-6157] synthesizing module 'mux_16_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:524] +INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:530] +INFO: [Synth 8-6155] done synthesizing module 'mux_16_1' (22#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:524] INFO: [Synth 8-6155] done synthesizing module 'ALU' (23#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:3] INFO: [Synth 8-6157] synthesizing module 'ControlUnit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3] -INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:12] +INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:13] INFO: [Synth 8-6155] done synthesizing module 'ControlUnit' (24#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3] -INFO: [Synth 8-6157] synthesizing module 'sign_extend_3bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:996] -INFO: [Synth 8-6155] done synthesizing module 'sign_extend_3bit' (25#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:996] -INFO: [Synth 8-6157] synthesizing module 'bit1_mux_2_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:350] -INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:356] -INFO: [Synth 8-6155] done synthesizing module 'bit1_mux_2_1' (26#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:350] +INFO: [Synth 8-6157] synthesizing module 'sign_extend_3bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:985] +INFO: [Synth 8-6155] done synthesizing module 'sign_extend_3bit' (25#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:985] +INFO: [Synth 8-6157] synthesizing module 'bit1_mux_2_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:339] +INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:345] +INFO: [Synth 8-6155] done synthesizing module 'bit1_mux_2_1' (26#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:339] INFO: [Synth 8-6155] done synthesizing module 'CPU9bits' (27#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3] --------------------------------------------------------------------------------- -Finished Synthesize : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 420.727 ; gain = 157.871 +Finished Synthesize : Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 420.883 ; gain = 157.355 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 420.727 ; gain = 157.871 +Finished Constraint Validation : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 420.883 ; gain = 157.355 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xc7k160tifbg484-2L --------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 420.727 ; gain = 157.871 +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 420.883 ; gain = 157.355 INFO: [Device 21-403] Loading part xc7k160tifbg484-2L --------------------------------------------------------------------------------- INFO: [Synth 8-5544] ROM "memory" won't be mapped to Block RAM because address size (3) smaller than threshold (5) --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 420.727 ; gain = 157.871 +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 420.883 ; gain = 157.355 --------------------------------------------------------------------------------- INFO: [Synth 8-223] decloning instance 'SE1' (sign_extend_3bit) to 'SE3' @@ -117,14 +117,14 @@ Detailed RTL Component Info : 4K Bit RAMs := 1 +---Muxes : 7 Input 9 Bit Muxes := 1 - 4 Input 9 Bit Muxes := 4 + 4 Input 9 Bit Muxes := 5 2 Input 9 Bit Muxes := 8 - 2 Input 4 Bit Muxes := 2 4 Input 4 Bit Muxes := 2 16 Input 4 Bit Muxes := 1 2 Input 3 Bit Muxes := 2 16 Input 3 Bit Muxes := 1 16 Input 2 Bit Muxes := 1 + 8 Input 2 Bit Muxes := 1 16 Input 1 Bit Muxes := 7 2 Input 1 Bit Muxes := 1 --------------------------------------------------------------------------------- @@ -134,6 +134,11 @@ Finished RTL Component Statistics Start RTL Hierarchical Component Statistics --------------------------------------------------------------------------------- Hierarchical RTL Component report +Module CPU9bits +Detailed RTL Component Info : ++---Muxes : + 4 Input 9 Bit Muxes := 1 + 8 Input 2 Bit Muxes := 1 Module instructionMemory Detailed RTL Component Info : +---Muxes : @@ -147,7 +152,6 @@ Detailed RTL Component Info : Module decoder Detailed RTL Component Info : +---Muxes : - 2 Input 4 Bit Muxes := 1 4 Input 4 Bit Muxes := 1 Module register Detailed RTL Component Info : @@ -195,8 +199,24 @@ Start Cross Boundary and Area Optimization --------------------------------------------------------------------------------- Warning: Parallel synthesis criteria is not met --------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:10 ; elapsed = 00:00:11 . Memory (MB): peak = 599.770 ; gain = 336.914 +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 598.238 ; gain = 334.711 --------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start ROM, RAM, DSP and Shift Register Reporting +--------------------------------------------------------------------------------- + +Block RAM: Preliminary Mapping Report (see note below) ++------------+------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+ +|Module Name | RTL Object | PORT A (Depth x Width) | W | R | PORT B (Depth x Width) | W | R | Ports driving FF | RAMB18 | RAMB36 | ++------------+------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+ +|dataMemory: | memory_reg | 512 x 9(NO_CHANGE) | W | R | | | | Port A | 1 | 0 | ++------------+------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+ + +Note: The table above is a preliminary report that shows the Block RAMs at the current stage of the synthesis flow. Some Block RAMs may be reimplemented as non Block RAM primitives later in the synthesis flow. Multiple instantiated Block RAMs are reported only once. +--------------------------------------------------------------------------------- +Finished ROM, RAM, DSP and Shift Register Reporting +--------------------------------------------------------------------------------- +INFO: [Synth 8-6837] The timing for the instance i_1/dM/memory_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. Report RTL Partitions: +-+--------------+------------+----------+ @@ -208,7 +228,21 @@ No constraint files found. Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 599.770 ; gain = 336.914 +Finished Timing Optimization : Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 598.238 ; gain = 334.711 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start ROM, RAM, DSP and Shift Register Reporting +--------------------------------------------------------------------------------- + +Block RAM: Final Mapping Report ++------------+------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+ +|Module Name | RTL Object | PORT A (Depth x Width) | W | R | PORT B (Depth x Width) | W | R | Ports driving FF | RAMB18 | RAMB36 | ++------------+------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+ +|dataMemory: | memory_reg | 512 x 9(NO_CHANGE) | W | R | | | | Port A | 1 | 0 | ++------------+------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+ + +--------------------------------------------------------------------------------- +Finished ROM, RAM, DSP and Shift Register Reporting --------------------------------------------------------------------------------- Report RTL Partitions: @@ -219,8 +253,9 @@ Report RTL Partitions: --------------------------------------------------------------------------------- Start Technology Mapping --------------------------------------------------------------------------------- +INFO: [Synth 8-6837] The timing for the instance dM/memory_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. --------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 599.770 ; gain = 336.914 +Finished Technology Mapping : Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 598.238 ; gain = 334.711 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -244,7 +279,7 @@ Start Final Netlist Cleanup Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 599.770 ; gain = 336.914 +Finished IO Insertion : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711 --------------------------------------------------------------------------------- Report Check Netlist: @@ -257,7 +292,7 @@ Report Check Netlist: Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 599.770 ; gain = 336.914 +Finished Renaming Generated Instances : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -269,25 +304,25 @@ Report RTL Partitions: Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 599.770 ; gain = 336.914 +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 599.770 ; gain = 336.914 +Finished Renaming Generated Ports : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 599.770 ; gain = 336.914 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 599.770 ; gain = 336.914 +Finished Renaming Generated Nets : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report @@ -300,46 +335,55 @@ Report BlackBoxes: +-+--------------+----------+ Report Cell Usage: -+------+-----+------+ -| |Cell |Count | -+------+-----+------+ -|1 |BUFG | 1| -|2 |LUT2 | 1| -|3 |LUT3 | 2| -|4 |LUT4 | 1| -|5 |FDRE | 3| -|6 |IBUF | 2| -|7 |OBUF | 1| -+------+-----+------+ ++------+---------+------+ +| |Cell |Count | ++------+---------+------+ +|1 |BUFG | 1| +|2 |LUT2 | 4| +|3 |LUT3 | 3| +|4 |LUT4 | 27| +|5 |LUT5 | 10| +|6 |LUT6 | 37| +|7 |RAMB18E1 | 1| +|8 |FDRE | 21| +|9 |IBUF | 2| +|10 |OBUF | 10| ++------+---------+------+ Report Instance Areas: -+------+---------+----------+------+ -| |Instance |Module |Cells | -+------+---------+----------+------+ -|1 |top | | 11| -|2 | FetchU |FetchUnit | 7| -|3 | PC |register | 7| -+------+---------+----------+------+ ++------+---------+-----------+------+ +| |Instance |Module |Cells | ++------+---------+-----------+------+ +|1 |top | | 116| +|2 | FetchU |FetchUnit | 31| +|3 | PC |register_1 | 31| +|4 | RF |RegFile | 71| +|5 | r0 |register | 42| +|6 | r1 |register_0 | 29| +|7 | dM |dataMemory | 1| ++------+---------+-----------+------+ --------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 599.770 ; gain = 336.914 +Finished Writing Synthesis Report : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711 --------------------------------------------------------------------------------- Synthesis finished with 0 errors, 0 critical warnings and 0 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 599.770 ; gain = 336.914 -Synthesis Optimization Complete : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 599.770 ; gain = 336.914 +Synthesis Optimization Runtime : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711 +Synthesis Optimization Complete : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711 INFO: [Project 1-571] Translating synthesized netlist +INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 683.395 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 684.082 ; gain = 0.000 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. INFO: [Common 17-83] Releasing license: Synthesis -69 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +73 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. synth_design completed successfully -synth_design: Time (s): cpu = 00:00:17 ; elapsed = 00:00:21 . Memory (MB): peak = 683.395 ; gain = 433.676 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 683.395 ; gain = 0.000 +synth_design: Time (s): cpu = 00:00:22 ; elapsed = 00:00:26 . Memory (MB): peak = 684.082 ; gain = 433.695 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 684.082 ; gain = 0.000 WARNING: [Constraints 18-5210] No constraints selected for write. Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. INFO: [Common 17-1381] The checkpoint 'C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.dcp' has been generated. INFO: [runtcl-4] Executing : report_utilization -file CPU9bits_utilization_synth.rpt -pb CPU9bits_utilization_synth.pb -INFO: [Common 17-206] Exiting Vivado at Fri Mar 29 17:10:43 2019... +INFO: [Common 17-206] Exiting Vivado at Sat Mar 30 15:53:22 2019... diff --git a/lab2CA.runs/synth_1/CPU9bits_utilization_synth.pb b/lab2CA.runs/synth_1/CPU9bits_utilization_synth.pb index cc5951344f5154e4bafa666c57105db05e653813..0e56dc691e9a43f25e74e35505252f7307b33505 100644 GIT binary patch delta 96 zcmZ3;w2*0ndDsfaxx5TKZXQp0RaR))ycJ?#nB=rqn1Sb*d#lLIg2}eMk_FXjtU@RPAP`tlh-kDFBp|9@+o^ delta 96 zcmZ3;w2*0nd6hT-TLt-U=}=FgWZLX5cyI-YNnT>6HYEFx-`7*umkx rR0_miD-TrS@K>Ipq0jlK!lFHG_GeW=;&as)8WuS}RRggn_D%r+7`Yo& diff --git a/lab2CA.runs/synth_1/CPU9bits_utilization_synth.rpt b/lab2CA.runs/synth_1/CPU9bits_utilization_synth.rpt index 9e9e859..2b9cc8b 100644 --- a/lab2CA.runs/synth_1/CPU9bits_utilization_synth.rpt +++ b/lab2CA.runs/synth_1/CPU9bits_utilization_synth.rpt @@ -1,7 +1,7 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ----------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Fri Mar 29 17:10:43 2019 +| Date : Sat Mar 30 15:53:22 2019 | Host : WM-G75VW running 64-bit major release (build 9200) | Command : report_utilization -file CPU9bits_utilization_synth.rpt -pb CPU9bits_utilization_synth.pb | Design : CPU9bits @@ -30,11 +30,11 @@ Table of Contents +-------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-------------------------+------+-------+-----------+-------+ -| Slice LUTs* | 2 | 0 | 101400 | <0.01 | -| LUT as Logic | 2 | 0 | 101400 | <0.01 | +| Slice LUTs* | 73 | 0 | 101400 | 0.07 | +| LUT as Logic | 73 | 0 | 101400 | 0.07 | | LUT as Memory | 0 | 0 | 35000 | 0.00 | -| Slice Registers | 3 | 0 | 202800 | <0.01 | -| Register as Flip Flop | 3 | 0 | 202800 | <0.01 | +| Slice Registers | 21 | 0 | 202800 | 0.01 | +| Register as Flip Flop | 21 | 0 | 202800 | 0.01 | | Register as Latch | 0 | 0 | 202800 | 0.00 | | F7 Muxes | 0 | 0 | 50700 | 0.00 | | F8 Muxes | 0 | 0 | 25350 | 0.00 | @@ -57,20 +57,21 @@ Table of Contents | 0 | Yes | - | Set | | 0 | Yes | - | Reset | | 0 | Yes | Set | - | -| 3 | Yes | Reset | - | +| 21 | Yes | Reset | - | +-------+--------------+-------------+--------------+ 2. Memory --------- -+----------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+----------------+------+-------+-----------+-------+ -| Block RAM Tile | 0 | 0 | 325 | 0.00 | -| RAMB36/FIFO* | 0 | 0 | 325 | 0.00 | -| RAMB18 | 0 | 0 | 650 | 0.00 | -+----------------+------+-------+-----------+-------+ ++-------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------------+------+-------+-----------+-------+ +| Block RAM Tile | 0.5 | 0 | 325 | 0.15 | +| RAMB36/FIFO* | 0 | 0 | 325 | 0.00 | +| RAMB18 | 1 | 0 | 650 | 0.15 | +| RAMB18E1 only | 1 | | | | ++-------------------+------+-------+-----------+-------+ * Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 @@ -90,7 +91,7 @@ Table of Contents +-----------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-----------------------------+------+-------+-----------+-------+ -| Bonded IOB | 3 | 0 | 285 | 1.05 | +| Bonded IOB | 12 | 0 | 285 | 4.21 | | Bonded IPADs | 0 | 0 | 14 | 0.00 | | Bonded OPADs | 0 | 0 | 8 | 0.00 | | PHY_CONTROL | 0 | 0 | 8 | 0.00 | @@ -151,12 +152,15 @@ Table of Contents +----------+------+---------------------+ | Ref Name | Used | Functional Category | +----------+------+---------------------+ -| FDRE | 3 | Flop & Latch | -| LUT3 | 2 | LUT | +| LUT6 | 37 | LUT | +| LUT4 | 27 | LUT | +| FDRE | 21 | Flop & Latch | +| OBUF | 10 | IO | +| LUT5 | 10 | LUT | +| LUT2 | 4 | LUT | +| LUT3 | 3 | LUT | | IBUF | 2 | IO | -| OBUF | 1 | IO | -| LUT4 | 1 | LUT | -| LUT2 | 1 | LUT | +| RAMB18E1 | 1 | Block Memory | | BUFG | 1 | Clock | +----------+------+---------------------+ diff --git a/lab2CA.runs/synth_1/gen_run.xml b/lab2CA.runs/synth_1/gen_run.xml index 81c742a..6b9dffe 100644 --- a/lab2CA.runs/synth_1/gen_run.xml +++ b/lab2CA.runs/synth_1/gen_run.xml @@ -1,5 +1,5 @@ - + diff --git a/lab2CA.runs/synth_1/vivado.jou b/lab2CA.runs/synth_1/vivado.jou index 8a85c6e..1cb2f8d 100644 --- a/lab2CA.runs/synth_1/vivado.jou +++ b/lab2CA.runs/synth_1/vivado.jou @@ -2,8 +2,8 @@ # Vivado v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Fri Mar 29 17:10:12 2019 -# Process ID: 21792 +# Start of session at: Sat Mar 30 15:52:45 2019 +# Process ID: 9028 # Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1 # Command line: vivado.exe -log CPU9bits.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source CPU9bits.tcl # Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.vds diff --git a/lab2CA.runs/synth_1/vivado.pb b/lab2CA.runs/synth_1/vivado.pb index 37d60531e3512131f5256c7c926b9baaf779302b..81e985f66ffa9891b2212f9cf165835b7700589d 100644 GIT binary patch delta 5127 zcmd^DYfK#170wI>!w4IL0fUXr#WrDi?6NbnPaHSpVH_MUtQXuQZY4784!h&-?rdfT zY{3Z`ycA=Q@;PTzII9MLUzb$c^m8BmrtjB||Hbrq@<3~g+-`$2^F5&U% zvWo?xpG>vQZ2Mk;%gGZ>Gg$6n=ySlCZ&hqBW(Bu}d7Bj}1jvW*_N{F=RQEQSBtY-> zo&whCBtU!~U1vR12VZXZ2+uY+@MOzpv2E8M@k5OcbMI~!m}IGayV1rFwMComwK?&0 z-QxvbUNo;Zg&39>>D=X39$#$X>6}Lt$TH?+OB2I_OqRdg`uPIZWj(*Yy_q30;e6fs zH=Max);CPq}Nr66@KoJ;W-%$@n%i438I@>}HpL7ihb( z#{8(`UHtnd2ML@TqHl$~!aQ{s*d$Px`G>um3m9TP*^LT(>aIQJaOVb=_0iCn&vmym zL?&kbz2{G?NY|d(c99{^sE&EPw-sFU6O4K2o+lYBAF6^4e)IH}8kgX7_taO)WiA}JX`gE3_kp36~1H)IWjl&Tp@G78F#Oey|kTEjm$RB}M( z*hYO*X-lC#ku+jLfb(-*aW(u!Le_zTnjBXWN>b7$P(VthKp$nOkWH6mlvE8FjmUBJ zSThjUSG~zB=X-|XzQW-0Cu;D<@eO$I$zq&3P*m7zx7%BB`^oBMqa7?fYg^?poq;aimk~q{O5^g#7GmK4+S7<+NC7#aY7-r>dV)&B znjD3Jn-{=8zpZ~@xci>Y{{8!!5xJH;rNf(VX$m61Ab1L~qE`*Qrx9-r)5w_-vYzJM zinZ=(shw;#y;?bvB2(|O%Tr{Q<-X4LLmqXYp_q&eX#{py8d1k(r07UOshk|tXci+Q zCK*VNsmF8>Wt2@=fE)BlcudpeBw!3FDkF82KBO5gPwBuq3JL2#gDRv^j&eepfLunJ ztRzx#IRON61W7t1d2$H`_P~iSlyO-{afuu)cwZ4KEczw(z*yW+fCuKmjHICeID)zw z7Ec!#tC7XXxr7Nd8J~b#SaxIs|J@tTz&9E0F@_v^u~$hddJME~_uNmt!*ai3XuQe! zj-pXjqh46XhGo>KBijvekjO(g5zQ!}L}Lbtl|D9NS5tRKHCn0OmDX?8h8Y*3LgoZ?u5)LRh>bMdi21$_!L^&@L*{7HsPm#!ji9`hy zzzFzpaGMIZu5)?(VoRYa=@Yup$dxO@e0wC&zI2KNytAybsF3bGez3o?$Y=NXM6bCJ z>SoRN#-Cx0Jm5_t$KG`by-Tyiv9FxWZ692&fIDYyAE-^p$_AR2D4>1t9Tn_ z*S1)4vm~FQl8aUd^ndhXS;@msMX5zqnKHXP{I^$TNlIjjN0#otEFP2Qg)cR-_}YmI z{OH5)OuhAgQ$8BF4a-Z%`iMM=?=0JtQ$9+q@)5fuRd~D*&judH@2C6LSVGKeCu&RZ z)&5G-#NWE-Ge7?7FV?LQdhLXlHGlWy8D@37NxEgc@L&br@qCfV;IFMs=cnvisZ-yo zFsq)0Hh)zwv!<9kp8qmi(Yw4;aBy4kzh-N2{Tyw4iZaE&$Na`5$0cp~C ztU|Xl51rK%rMnLI?k99udeN3|Rl!>8;NhW+M8__=YCgP}#b+cs7FWc(viOWd#)5Z6 zygQ4}NMy`ASHyd=_>4rxqQ##tEM5z5HOKq-mBQk)V7)z|eU?P-0#D7zN9(sxeGqOU z;g9o2o2MOWdLRRXeip8bcdP6%e$3d4zdzev5nBGD?cnZ&%&Nm%=4|<<4$al!c>bwd zX#I1pu7n!L^PV($P3NNyekTJ$A_N9N-kVKJ=VVE+8=OrqHdVvC<^3&mvl$bjK}Bi! zBbis#ZO+LgjU1z_?y%EZSMXC^M;?DO`Grs<0Ggn? z^ooXDtH-FnBws8udx57@kKp%T3a(ympeoGbzn=Nw8j!Fvc-z^)8j(Lc+xr=rvv)$j zHZe(>Q)rwIL(8rtkZgTfz&8kV+|d2Ur7-=}Abl&#dt25gWh1WW_$gaW^^rosZ|A+% zd*u{!$0n9L$_e5R$tkSr#G%!{592A%HeMx0p>R2Z_Jmlf`^FQ zHg3JgXR(l(4_+i_@% delta 2190 zcmbVNT}&KR6wbX9uxx;`uw5uDbQ(aGU0CLS*rhb($F(ca?ye=V@F0y4N^NL_eGz#; zpNv09If){PRg|Q*rV(>lONueLu^Kgk+6SY?WYIKz;Gw}7TVIU#-dWlvUF_mK+&Od4 zcfRwTbMDOi^pfyr5-%7Ssjwb$ur*d(tQwk6(oC^Q96T{JEH;a>B>QAPY)*<~7AJS1 zMzB}yg|IygzvM{7k^3FOrU~mcgV2?~!AyHq9bC+j;f>u(f+jIn-E`T{We;1FX=utKEo&ZmH^UOEBSjQ7{#atk*;lRy6Kg^UAQ~k(m!0 z8YFA#$(W}GVNGRjvgYj-kj}TCXsAV4=iBh1^cPmR1aeJQD4A8hs{W1r5|c}MG#yc! zoYhOH+uHllpqdnkpvEG4*Ez1$U&(EzlWF-pa0RQ}#hRyUtOC~dLNaxL%AzhN>w0sM zfOub-3#JY1t#MGn7) zz`*sznj-m3<=!)(n?Bm<&B=G+i^n=48QMcB*lGC>n3u50+0kq2cKOboAvzvY*+9$<5=Z5WLlsPpzRaVh&<5JNF98Fo+Fwa{j%Yz~4N7 zekbtvFV5`%4wLgG$&y z#@hx?pN546_y1&(Etb~q;G@&Toi2}JAv(K{DHQQ=8YSu-geqFH6!<<~yq$3E4qV%Y zP`41l_UI!iLUoHUU4FxYhiDO*+J|x@Lko>l4_+ZfmwTl%X z9?41PMsXKZ#B0*I9uRpc?#kwklp#V&7{7jh`tj6YL@_uJd+KDjIBwmChhnKNn6znP zy2#YPSRcY|bQvER7#tdn4UABeH-|?)Ksfsze7h9R@a+TPN+J{JGmr0s+U2fHp+7GN z$*oUbM_H;*_3JSAsuNZdUUKczCWH+?pj;<3uXsp!We@#}n)JB{rV=@n{i7C#t<3h2 z(P#xy2Yb|1)n}Ln^c``)jYJ__|7`UxGg(a>#6`i8A+hVkh^Y8Q*$l{1fc|0kT@CyT D^{t|* diff --git a/lab2CA.srcs/sources_1/new/CPU9bits.v b/lab2CA.srcs/sources_1/new/CPU9bits.v index 8b6eae9..e933368 100644 --- a/lab2CA.srcs/sources_1/new/CPU9bits.v +++ b/lab2CA.srcs/sources_1/new/CPU9bits.v @@ -175,7 +175,7 @@ module CPU9bits( .switch(link) ); - always @ (instr, op0, op1) + always @ (instr, dataMemOut, AluOut, AddiOut) begin case(instr[8:5]) 4'b0001: // Load Byte diff --git a/lab2CA.xpr b/lab2CA.xpr index 3ecd428..26918b7 100644 --- a/lab2CA.xpr +++ b/lab2CA.xpr @@ -194,7 +194,7 @@ - + @@ -207,6 +207,7 @@ +