From 6550b485990f9ed87b01d93cf2dbeb83d4853b8f Mon Sep 17 00:00:00 2001 From: goochey Date: Wed, 20 Feb 2019 11:31:25 -0500 Subject: [PATCH] fetch unit test --- lab2CA.cache/wt/webtalk_pa.xml | 25 ++-- lab2CA.runs/impl_1/gen_run.xml | 29 +---- lab2CA.runs/synth_1/gen_run.xml | 3 - lab2CA.sim/sim_1/behav/xsim/fetchUnit_tb.tcl | 11 ++ .../sim_1/behav/xsim/fetchUnit_tb_vlog.prj | 10 ++ lab2CA.sim/sim_1/behav/xsim/webtalk.jou | 4 +- ...56.backup.jou => webtalk_11568.backup.jou} | 8 +- ...80.backup.jou => webtalk_11820.backup.jou} | 8 +- ...16.backup.jou => webtalk_11844.backup.jou} | 8 +- ...60.backup.jou => webtalk_13504.backup.jou} | 8 +- .../alu_tb_behav/webtalk/xsim_webtalk.tcl | 28 ++--- .../fetchUnit_tb_behav/Compile_Options.txt | 1 + .../fetchUnit_tb_behav/TempBreakPointFile.txt | 1 + .../xsim.dir/fetchUnit_tb_behav/obj/xsim_1.c | 119 ++++++++++++++++++ .../webtalk/usage_statistics_ext_xsim.xml | 44 +++++++ .../webtalk/xsim_webtalk.tcl | 42 +++++++ .../xsim/xsim.dir/fetchUnit_tb_behav/xsim.mem | Bin 0 -> 4619 bytes .../webtalk/usage_statistics_ext_xsim.xml | 10 +- .../regFile_tb_behav/webtalk/xsim_webtalk.tcl | 10 +- .../xsim/xsim.dir/regFile_tb_behav/xsim.mem | Bin 4296 -> 4317 bytes .../webtalk/xsim_webtalk.tcl | 28 ++--- lab2CA.sim/sim_1/behav/xsim/xvlog.pb | Bin 435 -> 2451 bytes lab2CA.sim/sim_1/impl/func/xsim/webtalk.jou | 4 +- .../impl/func/xsim/webtalk_940.backup.jou | 12 ++ .../webtalk/usage_statistics_ext_xsim.xml | 28 ++--- lab2CA.sim/sim_1/impl/timing/xsim/webtalk.jou | 4 +- .../impl/timing/xsim/webtalk_9128.backup.jou | 12 ++ .../alu_tb_time_impl/webtalk/xsim_webtalk.tcl | 28 ++--- .../webtalk/usage_statistics_ext_xsim.xml | 28 ++--- lab2CA.srcs/sources_1/new/BasicModules.v | 4 +- lab2CA.srcs/sources_1/new/FetchUnit.v | 69 +++++++++- lab2CA.srcs/sources_1/new/RegFile.v | 4 +- lab2CA.xpr | 21 ++-- 33 files changed, 429 insertions(+), 182 deletions(-) create mode 100644 lab2CA.sim/sim_1/behav/xsim/fetchUnit_tb.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/fetchUnit_tb_vlog.prj rename lab2CA.sim/sim_1/behav/xsim/{webtalk_11256.backup.jou => webtalk_11568.backup.jou} (72%) rename lab2CA.sim/sim_1/behav/xsim/{webtalk_14280.backup.jou => webtalk_11820.backup.jou} (73%) rename lab2CA.sim/sim_1/behav/xsim/{webtalk_12116.backup.jou => webtalk_11844.backup.jou} (72%) rename lab2CA.sim/sim_1/behav/xsim/{webtalk_5360.backup.jou => webtalk_13504.backup.jou} (73%) create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/Compile_Options.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/TempBreakPointFile.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/obj/xsim_1.c create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/xsim_webtalk.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/xsim.mem create mode 100644 lab2CA.sim/sim_1/impl/func/xsim/webtalk_940.backup.jou create mode 100644 lab2CA.sim/sim_1/impl/timing/xsim/webtalk_9128.backup.jou diff --git a/lab2CA.cache/wt/webtalk_pa.xml b/lab2CA.cache/wt/webtalk_pa.xml index 5991027..bcdd408 100644 --- a/lab2CA.cache/wt/webtalk_pa.xml +++ b/lab2CA.cache/wt/webtalk_pa.xml @@ -3,7 +3,7 @@ - +
@@ -26,22 +26,23 @@ This means code written to parse this file will need to be revisited each subseq - + + - + - + @@ -59,21 +60,22 @@ This means code written to parse this file will need to be revisited each subseq - + - + - + + - - + + @@ -86,6 +88,11 @@ This means code written to parse this file will need to be revisited each subseq + + + + +
diff --git a/lab2CA.runs/impl_1/gen_run.xml b/lab2CA.runs/impl_1/gen_run.xml index a0355da..83c53b2 100644 --- a/lab2CA.runs/impl_1/gen_run.xml +++ b/lab2CA.runs/impl_1/gen_run.xml @@ -1,69 +1,50 @@ - - - - - + + - - - - - - - - - - - + + - + - - - - - - - diff --git a/lab2CA.runs/synth_1/gen_run.xml b/lab2CA.runs/synth_1/gen_run.xml index e2dd59d..e197da6 100644 --- a/lab2CA.runs/synth_1/gen_run.xml +++ b/lab2CA.runs/synth_1/gen_run.xml @@ -1,14 +1,11 @@ - - - diff --git a/lab2CA.sim/sim_1/behav/xsim/fetchUnit_tb.tcl b/lab2CA.sim/sim_1/behav/xsim/fetchUnit_tb.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/fetchUnit_tb.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/lab2CA.sim/sim_1/behav/xsim/fetchUnit_tb_vlog.prj b/lab2CA.sim/sim_1/behav/xsim/fetchUnit_tb_vlog.prj new file mode 100644 index 0000000..6db8826 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/fetchUnit_tb_vlog.prj @@ -0,0 +1,10 @@ +# compile verilog/system verilog design source files +verilog xil_defaultlib \ +"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \ +"../../../../lab2CA.srcs/sources_1/new/FetchUnit.v" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/lab2CA.sim/sim_1/behav/xsim/webtalk.jou b/lab2CA.sim/sim_1/behav/xsim/webtalk.jou index 783e38b..d926d13 100644 --- a/lab2CA.sim/sim_1/behav/xsim/webtalk.jou +++ b/lab2CA.sim/sim_1/behav/xsim/webtalk.jou @@ -2,8 +2,8 @@ # Webtalk v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sat Feb 16 17:37:48 2019 -# Process ID: 11820 +# Start of session at: Wed Feb 20 11:30:13 2019 +# Process ID: 10344 # Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim # Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/xsim_webtalk.tcl -notrace # Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log diff --git a/lab2CA.sim/sim_1/behav/xsim/webtalk_11256.backup.jou b/lab2CA.sim/sim_1/behav/xsim/webtalk_11568.backup.jou similarity index 72% rename from lab2CA.sim/sim_1/behav/xsim/webtalk_11256.backup.jou rename to lab2CA.sim/sim_1/behav/xsim/webtalk_11568.backup.jou index 0644290..9130792 100644 --- a/lab2CA.sim/sim_1/behav/xsim/webtalk_11256.backup.jou +++ b/lab2CA.sim/sim_1/behav/xsim/webtalk_11568.backup.jou @@ -2,11 +2,11 @@ # Webtalk v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sat Feb 16 16:26:27 2019 -# Process ID: 11256 +# Start of session at: Wed Feb 20 10:48:52 2019 +# Process ID: 11568 # Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim -# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/twos_compliment_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/xsim_webtalk.tcl -notrace # Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log # Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou #----------------------------------------------------------- -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/twos_compliment_tb_behav/webtalk/xsim_webtalk.tcl -notrace +source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/lab2CA.sim/sim_1/behav/xsim/webtalk_14280.backup.jou b/lab2CA.sim/sim_1/behav/xsim/webtalk_11820.backup.jou similarity index 73% rename from lab2CA.sim/sim_1/behav/xsim/webtalk_14280.backup.jou rename to lab2CA.sim/sim_1/behav/xsim/webtalk_11820.backup.jou index 0e82537..783e38b 100644 --- a/lab2CA.sim/sim_1/behav/xsim/webtalk_14280.backup.jou +++ b/lab2CA.sim/sim_1/behav/xsim/webtalk_11820.backup.jou @@ -2,11 +2,11 @@ # Webtalk v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sat Feb 16 16:49:53 2019 -# Process ID: 14280 +# Start of session at: Sat Feb 16 17:37:48 2019 +# Process ID: 11820 # Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim -# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/alu_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/xsim_webtalk.tcl -notrace # Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log # Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou #----------------------------------------------------------- -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/alu_tb_behav/webtalk/xsim_webtalk.tcl -notrace +source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/lab2CA.sim/sim_1/behav/xsim/webtalk_12116.backup.jou b/lab2CA.sim/sim_1/behav/xsim/webtalk_11844.backup.jou similarity index 72% rename from lab2CA.sim/sim_1/behav/xsim/webtalk_12116.backup.jou rename to lab2CA.sim/sim_1/behav/xsim/webtalk_11844.backup.jou index c42d9ad..bd6c6c5 100644 --- a/lab2CA.sim/sim_1/behav/xsim/webtalk_12116.backup.jou +++ b/lab2CA.sim/sim_1/behav/xsim/webtalk_11844.backup.jou @@ -2,11 +2,11 @@ # Webtalk v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sat Feb 16 16:27:58 2019 -# Process ID: 12116 +# Start of session at: Wed Feb 20 10:53:42 2019 +# Process ID: 11844 # Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim -# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/twos_compliment_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/xsim_webtalk.tcl -notrace # Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log # Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou #----------------------------------------------------------- -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/twos_compliment_tb_behav/webtalk/xsim_webtalk.tcl -notrace +source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/lab2CA.sim/sim_1/behav/xsim/webtalk_5360.backup.jou b/lab2CA.sim/sim_1/behav/xsim/webtalk_13504.backup.jou similarity index 73% rename from lab2CA.sim/sim_1/behav/xsim/webtalk_5360.backup.jou rename to lab2CA.sim/sim_1/behav/xsim/webtalk_13504.backup.jou index 9fdaf29..1a02c75 100644 --- a/lab2CA.sim/sim_1/behav/xsim/webtalk_5360.backup.jou +++ b/lab2CA.sim/sim_1/behav/xsim/webtalk_13504.backup.jou @@ -2,11 +2,11 @@ # Webtalk v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sat Feb 16 16:36:59 2019 -# Process ID: 5360 +# Start of session at: Wed Feb 20 11:27:03 2019 +# Process ID: 13504 # Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim -# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/alu_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/xsim_webtalk.tcl -notrace # Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log # Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou #----------------------------------------------------------- -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/alu_tb_behav/webtalk/xsim_webtalk.tcl -notrace +source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/alu_tb_behav/webtalk/xsim_webtalk.tcl b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/alu_tb_behav/webtalk/xsim_webtalk.tcl index 9584e84..d779418 100644 --- a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/alu_tb_behav/webtalk/xsim_webtalk.tcl +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/alu_tb_behav/webtalk/xsim_webtalk.tcl @@ -1,6 +1,6 @@ webtalk_init -webtalk_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/alu_tb_behav/webtalk/ webtalk_register_client -client project -webtalk_add_data -client project -key date_generated -value "Sat Feb 16 16:50:02 2019" -context "software_version_and_target_device" +webtalk_add_data -client project -key date_generated -value "Sat Feb 16 17:41:32 2019" -context "software_version_and_target_device" webtalk_add_data -client project -key product_version -value "XSIM v2018.3 (64-bit)" -context "software_version_and_target_device" webtalk_add_data -client project -key build_version -value "2405991" -context "software_version_and_target_device" webtalk_add_data -client project -key os_platform -value "WIN64" -context "software_version_and_target_device" @@ -14,7 +14,7 @@ webtalk_add_data -client project -key target_package -value "not_applicable" -co webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" webtalk_add_data -client project -key random_id -value "4e917e26-7591-4435-9135-15bd446b0238" -context "software_version_and_target_device" webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device" -webtalk_add_data -client project -key project_iteration -value "3" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_iteration -value "4" -context "software_version_and_target_device" webtalk_add_data -client project -key os_name -value "Microsoft Windows 8 or later , 64-bit" -context "user_environment" webtalk_add_data -client project -key os_release -value "major release (build 9200)" -context "user_environment" webtalk_add_data -client project -key cpu_name -value "Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" -context "user_environment" @@ -22,21 +22,11 @@ webtalk_add_data -client project -key cpu_speed -value "3492 MHz" -context "user webtalk_add_data -client project -key total_processors -value "1" -context "user_environment" webtalk_add_data -client project -key system_ram -value "34.000 GB" -context "user_environment" webtalk_register_client -client xsim -webtalk_add_data -client xsim -key File_Counter -value "3" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Command -value "xelab" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Vhdl2008 -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key GenDLL -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key SDFModeling -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key HWCosim -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key DPI_Used -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Debug -value "typical" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Simulation_Image_Code -value "71 KB" -context "xsim\\usage" -webtalk_add_data -client xsim -key Simulation_Image_Data -value "8 KB" -context "xsim\\usage" -webtalk_add_data -client xsim -key Total_Nets -value "0" -context "xsim\\usage" -webtalk_add_data -client xsim -key Total_Processes -value "113" -context "xsim\\usage" -webtalk_add_data -client xsim -key Total_Instances -value "78" -context "xsim\\usage" -webtalk_add_data -client xsim -key Xilinx_HDL_Libraries_Used -value "secureip unimacro_ver unisims_ver " -context "xsim\\usage" -webtalk_add_data -client xsim -key Compiler_Time -value "0.67_sec" -context "xsim\\usage" -webtalk_add_data -client xsim -key Compiler_Memory -value "38660_KB" -context "xsim\\usage" -webtalk_transmit -clientid 746518105 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/alu_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/alu_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/alu_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" +webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage" +webtalk_add_data -client xsim -key runtime -value "40 ns" -context "xsim\\usage" +webtalk_add_data -client xsim -key iteration -value "0" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Time -value "0.11_sec" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Memory -value "6192_KB" -context "xsim\\usage" +webtalk_transmit -clientid 378959043 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/alu_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/alu_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/alu_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" webtalk_terminate diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/Compile_Options.txt b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/Compile_Options.txt new file mode 100644 index 0000000..f23fa79 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/Compile_Options.txt @@ -0,0 +1 @@ +-wto "0a5803efda44405bb28bbf43ba22e808" --incr --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" --snapshot "fetchUnit_tb_behav" "xil_defaultlib.fetchUnit_tb" "xil_defaultlib.glbl" -log "elaborate.log" diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/TempBreakPointFile.txt b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/obj/xsim_1.c b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/obj/xsim_1.c new file mode 100644 index 0000000..212911b --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/obj/xsim_1.c @@ -0,0 +1,119 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +extern void execute_2(char*, char *); +extern void execute_3(char*, char *); +extern void execute_19(char*, char *); +extern void execute_47(char*, char *); +extern void execute_48(char*, char *); +extern void execute_49(char*, char *); +extern void execute_50(char*, char *); +extern void execute_42(char*, char *); +extern void execute_43(char*, char *); +extern void execute_44(char*, char *); +extern void execute_45(char*, char *); +extern void execute_46(char*, char *); +extern void execute_6(char*, char *); +extern void execute_24(char*, char *); +extern void execute_25(char*, char *); +extern void execute_18(char*, char *); +extern void execute_21(char*, char *); +extern void execute_22(char*, char *); +extern void execute_23(char*, char *); +extern void execute_51(char*, char *); +extern void execute_52(char*, char *); +extern void execute_53(char*, char *); +extern void execute_54(char*, char *); +extern void execute_55(char*, char *); +extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[25] = {(funcp)execute_2, (funcp)execute_3, (funcp)execute_19, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_6, (funcp)execute_24, (funcp)execute_25, (funcp)execute_18, (funcp)execute_21, (funcp)execute_22, (funcp)execute_23, (funcp)execute_51, (funcp)execute_52, (funcp)execute_53, (funcp)execute_54, (funcp)execute_55, (funcp)vlog_transfunc_eventcallback}; +const int NumRelocateId= 25; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/fetchUnit_tb_behav/xsim.reloc", (void **)funcTab, 25); + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/fetchUnit_tb_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/fetchUnit_tb_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/fetchUnit_tb_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/fetchUnit_tb_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/fetchUnit_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/usage_statistics_ext_xsim.xml b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..f9b3298 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/xsim_webtalk.tcl b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/xsim_webtalk.tcl new file mode 100644 index 0000000..051e4df --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/xsim_webtalk.tcl @@ -0,0 +1,42 @@ +webtalk_init -webtalk_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/ +webtalk_register_client -client project +webtalk_add_data -client project -key date_generated -value "Wed Feb 20 11:22:46 2019" -context "software_version_and_target_device" +webtalk_add_data -client project -key product_version -value "XSIM v2018.3 (64-bit)" -context "software_version_and_target_device" +webtalk_add_data -client project -key build_version -value "2405991" -context "software_version_and_target_device" +webtalk_add_data -client project -key os_platform -value "WIN64" -context "software_version_and_target_device" +webtalk_add_data -client project -key registration_id -value "174150793_174150794_210688225_140" -context "software_version_and_target_device" +webtalk_add_data -client project -key tool_flow -value "xsim_vivado" -context "software_version_and_target_device" +webtalk_add_data -client project -key beta -value "FALSE" -context "software_version_and_target_device" +webtalk_add_data -client project -key route_design -value "FALSE" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_family -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_device -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_package -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key random_id -value "4e917e26-7591-4435-9135-15bd446b0238" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_iteration -value "21" -context "software_version_and_target_device" +webtalk_add_data -client project -key os_name -value "Microsoft Windows 8 or later , 64-bit" -context "user_environment" +webtalk_add_data -client project -key os_release -value "major release (build 9200)" -context "user_environment" +webtalk_add_data -client project -key cpu_name -value "Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" -context "user_environment" +webtalk_add_data -client project -key cpu_speed -value "3492 MHz" -context "user_environment" +webtalk_add_data -client project -key total_processors -value "1" -context "user_environment" +webtalk_add_data -client project -key system_ram -value "34.000 GB" -context "user_environment" +webtalk_register_client -client xsim +webtalk_add_data -client xsim -key File_Counter -value "3" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key Command -value "xelab" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key Vhdl2008 -value "false" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key GenDLL -value "false" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key SDFModeling -value "false" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key HWCosim -value "false" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key DPI_Used -value "false" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key Debug -value "typical" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key Simulation_Image_Code -value "69 KB" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Image_Data -value "4 KB" -context "xsim\\usage" +webtalk_add_data -client xsim -key Total_Nets -value "0" -context "xsim\\usage" +webtalk_add_data -client xsim -key Total_Processes -value "45" -context "xsim\\usage" +webtalk_add_data -client xsim -key Total_Instances -value "15" -context "xsim\\usage" +webtalk_add_data -client xsim -key Xilinx_HDL_Libraries_Used -value "secureip unimacro_ver unisims_ver " -context "xsim\\usage" +webtalk_add_data -client xsim -key Compiler_Time -value "0.78_sec" -context "xsim\\usage" +webtalk_add_data -client xsim -key Compiler_Memory -value "41208_KB" -context "xsim\\usage" +webtalk_transmit -clientid 1004531601 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" +webtalk_terminate diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..31018178544b86d385e2fa252d6e8175877e55af GIT binary patch literal 4619 zcmeHIc|6nqA6JUL2`M6EIevsnDEC=O$dPj8N{*5o9hkArS!y|olB+p#BuAGk)<~Ie z*qob6Gw0Z1W477s_^tYWd;Gq?e}4b`{_OL3ypPxMejU%x>+yOC3H|evj`&G$`RieFiHv<1(1d8~4{`d8_RpGxcf1TSkgoH!|cUi$&{SUqWQv07|`(OQB za+{D4aKoZ^Shlo>OoXS>R`pNs)d)FBskLey?M&;kZy|AV838i4H93P`F&e!O#cEA^ z9sYIqwn&D0uYBPa#bTs8YAe@BsRkc4T*ay@)11^pXh7zy{!8>HJZ1m3 zscJ;MdXj|@D;Hvs<8aQ#ETTd+N|Ew5!S4lq!4#v~cx|}WqKT#lC#sT*7P$ve?S>Yi`T zwF8&Ieif|Tm$M=9f;w8Vut;Q;151x~y}~^3Yu5PEOcO(QeLSvSl#vd|!h!hbAD~&7evKW{2k5QjuW-F4BQY7MHxs za!d9P5s(v_YcMGGi{#xni^xDwKegkL;jMH%;~(#n^cyh>N^+#GIJQKzdCwVeLhd)u zv>M42*mm6;Zb34);$;emCStp4-O~MhfL_E6+l}VI3)$E&)}YvX_o{FNDy;1RXjo+} z1nw6#;BD+W8gE59(y~P+%!AaQ-eb!TBFZYRN`Rfn#m+3S&o9xP9wP4&IXE-CRF-MH zduaXeq!Hhk@0CAJOgB^iswP|)RD!HVm|8x=NaBW<8H(0;7LHJN_cXcJ_Ko>6eQI%| z2{(S7BP0R3s5A$zEtLQ8*Y!*cni@wHtpQONCe@Qe|vbbop zj?uh1Ewqm5V%r^O^E0Nr2OgK^K%VLryX?YXF`s*d&Iz? z{OK^c)hTwA=KS1k}8DT zwf8N#-bsd}r`NF6*a1>b-YG|0!GL5Tb#dqWX!;739^x1|Tr$!rqRb?&n5sZ{ex137 zVm|!n>G)Yq80RYDLZpDR@LEMWh9;{)$3)qVV`CQq4v$5%VTm!9tWt9H+3c3|6+`$6 zig|{V5c9nTYPB}-pFch!7d2(G-W|Z(+ep(C2w}yi8&Y-q^ir}e+s)n1mi_vH0Y{Lrauw4jjP4RRwU}W0OA^@i`kyUe5-0xY9 z%#$}Zzr2^&8bx{MTClwpzvRb2Vaz-F=*yimPH4?!BVg)X%or<{yYfFY7TECU07V;)q*+ z*v+VZm`LD)i_=@F7a2SeQ3SF7Y6^Khe83cj%3=0720~}5n*8QkM7VV0Q}j=ch0f5l zp<(hQ(stTwd*$gbA>6WV=9k9n?fMj4d9YRt81MAFMkQtaNMn|_Q&eGsl_U_$L1z$EA^x=t`$8yxPFL$NjjO@GnYOT+^^Geo0nz|8lXE8gwGkq= zMZj}1UTOD1h>KAd9Z(DF^E|$R(VHAcN)LHVY5yhR(uanK_wSTzt23 zGuQGt{ik{GS-67cxc8y6kwEa2q1dAn;es-epNPbvmz}PRmLpc5_`*2|*k^4KX4vI$ zb09PxvrU@Q!~7BZx@91a=sOTb#jdgtDRdAsJBUY$4M+4{6=#!a+eeA(`^LSw$2QgW zPBd(scd7P54kU`F3yNg5M9FN{6uQDZd%2-+iZ$G(QT_t6r};bJ(S7mmW`MdRd{aAA zyxy52?-K@*Wdt3R<5+3HWT~{YQI_!Jk(5 zlhlOmMDB0nK!XWmJ4rQ^@YPR?m2Iy4$a0*WaaQ&pmW7%QDe)37Zq#@LFGa&11x^ex zH+MXuNO3~CgahAtP<;jcI{{9Rl~ZNydqlKn zY0O=IlbCE8-R`*+wW2vJW2qOt^TL}XOaQzxtk>RRjH?_3&``Ep5wiT+tys_s%y0{y z*|MEX%PN}q7C{p|GNCM6oJ-rY^&KV0ZNvZy-%sDQH}mtb|0{ZO3jR;93G(X`=H~Cx z2Yx9qmtP}C6S>DGZT`cK??WZNn?oU;(3ovMWxWQjzGD*4N0AP}(OR8>wu>A+6l$-t zk;XLt{iP$%@@n@OoeLQ;2;CX>=Zk<_yF{)PbK^2EV`3gjiH5r*Kx8r3N7iUP4~f+b zPW_$l`%>(_f#7xzk7gS^ob;f}R2 zH;sCEFmW_2*mvX~%vWx*0raSf$sPyK^;oSj>Dw`(7A{H?jWIV8w74fjK|#c(uhYXz zs}ixcp+xH2*He{}P7f7hL6|412a48vt|b7=vZM9U9q)OQDYHjA`!^nBv}`6O4Xxg? z5A2#=<8Z;V$3b#`0+_H2hjtsB`vKk0<_9av`}X+o!vqK6Vn@PcQI9 zfa45tw{&|<;a6{pWT5eH%L>a!lLiNea`nwjNDA81jB`n^yqdH6U$*xwt6*Rob?&s! z&)G=ofHc3=BSibGSXY`0W4qO3P60y~Vqo*Jvf~k}V#dv=>R@=;5r{5vZZSj0RYb52 zsk6^ATUZtsqkKW$t%u#5@=n@pov5H*~Gndcv2o`GYprwE7jo>85>5r@G)z32L= zzcMzDQDs;vja`CC2PAkD)T9?Z3(lGA&z$$uCg3Mm)_O!4cbAIyJ<#kC(VF3JSgi0O F{Tm0lk#PV3 literal 0 HcmV?d00001 diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/usage_statistics_ext_xsim.xml b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/usage_statistics_ext_xsim.xml index 2c39c13..d8948fc 100644 --- a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/usage_statistics_ext_xsim.xml +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/usage_statistics_ext_xsim.xml @@ -1,14 +1,14 @@ - +
- + - + @@ -35,8 +35,8 @@
- - + +
diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/xsim_webtalk.tcl b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/xsim_webtalk.tcl index bb479d5..5396adb 100644 --- a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/xsim_webtalk.tcl +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/xsim_webtalk.tcl @@ -1,6 +1,6 @@ webtalk_init -webtalk_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/ webtalk_register_client -client project -webtalk_add_data -client project -key date_generated -value "Sat Feb 16 17:37:59 2019" -context "software_version_and_target_device" +webtalk_add_data -client project -key date_generated -value "Wed Feb 20 11:30:23 2019" -context "software_version_and_target_device" webtalk_add_data -client project -key product_version -value "XSIM v2018.3 (64-bit)" -context "software_version_and_target_device" webtalk_add_data -client project -key build_version -value "2405991" -context "software_version_and_target_device" webtalk_add_data -client project -key os_platform -value "WIN64" -context "software_version_and_target_device" @@ -14,7 +14,7 @@ webtalk_add_data -client project -key target_package -value "not_applicable" -co webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" webtalk_add_data -client project -key random_id -value "4e917e26-7591-4435-9135-15bd446b0238" -context "software_version_and_target_device" webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device" -webtalk_add_data -client project -key project_iteration -value "3" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_iteration -value "7" -context "software_version_and_target_device" webtalk_add_data -client project -key os_name -value "Microsoft Windows 8 or later , 64-bit" -context "user_environment" webtalk_add_data -client project -key os_release -value "major release (build 9200)" -context "user_environment" webtalk_add_data -client project -key cpu_name -value "Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" -context "user_environment" @@ -36,7 +36,7 @@ webtalk_add_data -client xsim -key Total_Nets -value "0" -context "xsim\\usage" webtalk_add_data -client xsim -key Total_Processes -value "37" -context "xsim\\usage" webtalk_add_data -client xsim -key Total_Instances -value "9" -context "xsim\\usage" webtalk_add_data -client xsim -key Xilinx_HDL_Libraries_Used -value "secureip unimacro_ver unisims_ver " -context "xsim\\usage" -webtalk_add_data -client xsim -key Compiler_Time -value "0.70_sec" -context "xsim\\usage" -webtalk_add_data -client xsim -key Compiler_Memory -value "38824_KB" -context "xsim\\usage" -webtalk_transmit -clientid 1475637981 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" +webtalk_add_data -client xsim -key Compiler_Time -value "0.65_sec" -context "xsim\\usage" +webtalk_add_data -client xsim -key Compiler_Memory -value "38732_KB" -context "xsim\\usage" +webtalk_transmit -clientid 3938710361 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" webtalk_terminate diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/xsim.mem index 56b8140400972e86f51a8246d7f6ec648b197307..453a28e645839b1cfad54f4b4038de3fe20a9232 100644 GIT binary patch delta 2818 zcmX|Bdpy(o8!ro?kf?NV>mW*Vt6aB8O3HNTI8mcil3~JP+P)!_vyyJ^AvAY#iR|W* zOB`qJLzw$y%5|IB*!k-GUcbMd@AvsU@Aq?gJyK-0sB11t zmUvC$ZR5WWc36Lu$#k$!2_5hxg%8>#C!XGY(LSW%nQGRJ*B=kHn*>;As>G_T>+XUZ zkKc%VES8<|r4CYeV za|67gBy*#acY=KZyAwa8s*_HC^3SANX*tR{k+z{$p`91;g_ZopmX42){EgF66|$q& zim;b@QH4%|D=99}=#U{F)zKCrOl830+NU~qfgZb5>}kjlJFhmgJpTL>w`c!oElo7L z9#|>-UAqnWN!>5IJ4cFNyG*s>X}+bQ8qE9`Hk7{?E*|3pbU9_L^um4)zowlfF%17W z#U`qGOz^Ag1gzxXjR#I1SA*qB@jokfqmQ>l`TiV~ir=~O4(Xj+do)~7i=ew9C^>x# zoO?ew{eQxFSQt80WOnKnI}@|)yK3a7oWL^&2~l z`R-eBCqSBBE?m3kleLN7v%txB!xdstGf#v5DCx%D&t>(bAX2sG>{;h3oor$%c@hf; zdoB24Ds6IpC)3Qr3)+d?>oA|n0qk;KoYHr~($%AoTb$mYF0erzS?ghmS4Mycn>5+m@>44!ZDPMnzTP80grA8c6rs1Xypfmy8o9HsKH`kaR)?PZKAwjD?? z_8>!|XZNZEMHU#(Ggtb3UE6Wn#;T|L|Jj@A^!evI*&qGu=1+^fx?qXO2ruc-LgPHVXW1p2_q3b;v4 zcQZr57_qW7lFIe3VYg0X&mT5UqDkE1NXd%OYZ+8tenub=%!f1?aX4PKAs&Cz5PXD; z9DyIEG%p1j*+$-`NsIO0t+H?V;qV!^wZFPShrsH0+7SzVV47L7YC0BfAbK?J^;oir zoGOOtt5~Q_WabDhLAR>Y!*uX3?kM#V$gQnNTk)#GgC9zp;AyAW^k1y7toH37=kXUk zzfxC>Z$gX6s+dJKXV|S8|sZvX)t*B7ZzAnbrcf745Ey2Vw7Z)upyQQ zmhmusT(D_qkr!5}14PW4!(soaT-{6!{jXljuGja(rbRH)quq_IyFh z#1{A8f&lM#kkt**cE~@Qf$0%BrWGSnwX1cV;T{o_vV*E1et?D~+gq`Ky<~iNC?cf0dgU4ev-wA9MyHs{m>`yQ{uOlL}Kf#{2%5Q^4wiZ z{_}tPpz*+EMqHATKy~dSWpkv9t)uSUqx{faN^%!pmXXEUBg`mK(bWSNtb|zk|Ash! zIo_Rr+YxFqv+k8w$a5%iK`ZGxJF#(bu|tZ7ijYr4^W@ z5q;S`ersq}SWwe-f1)=)iCf}flAGvJyU6uE&l;K@0Z(lS#z!jzXI;{36a6m>G2o8T z>=s?z(Hn#SJ?Fl!zRud$D^825E+U@WrYGbJuOypKwF`aV(tTfl7mi;?IeT(?Gk<>8hd5ffx0)zItc zr)j7>#z5ZdSgitExmk@-Gly`mr@6;ka@a{V>4eLY}M+zLL8kN<;449N;u+%i4 ztfSn}{02aOHfx8C@)JY(+iI<~2Xr9i%+iwmLQNW1$_u%cM}lz^F@cXiEZ`K(ozP5m z#Ri)0H}-NyY|?so*!8UhuU7jYbDz^-OYjdu^G&Ikh-DtL&@U9tiC1mlT}ASGsq>Hl zj!d!jV-E=i6uO8Snk#gJ>%R-NITQfmfDT~AlqkY?!5!-Pus6L>P%|Qwd+5yWGv@G# z705(Vx|rR%GPqnK??$gp?eS8CtAU~eFIbh`^qMyM?*WB1-9`9j9y%aF;6sn#ks%%} zqKJp8MQ@fw+ce)r_6tkecs;0F1^Jy76&Cp`!B1ePM>EPr-n>xYlJ<9tGdEUY3D2}l zg!SLs%m#Epy)yQF=>~A+otT0APmnc;ES3(NTEQe?0-r}L;7%R5f?kd4{?>9*(M=Ou zI?}Kw0GQ@Wy7X)TC87^%PVw&cJMJh?mAjiIElWdV4-7=#EU=O5`u1DZ-^ZvFYw#4) z+RZ6d$(ZFZK)Rk+j&iQ^ebKSe-*R$4NZ7%ex^!QvEQD)bCp2tkd6eI7!wK&%tPc$& zM0j%Zh{L&|N4>+66e^y5IrO19@1`G$akp6h=&drz`+UMF)482qRFfdXJ4s)=n~j=M zQce6?$)+X_s$Q~F-QICOy{3rK4tu*PNHH{`m2Ch>YDqU6X6Znaj-=Qbm{V@$qcCsV zj~kH4xAr&xmC}&(wb^hW^QJ+yE@uQ=o1O4y1B)}~Y_+b5#%CUF;oawy3D?+(yi%^9!W zhJX1D+l4GMFMU*;oiHb*@w#P1t?PSEM4nwxSl;6Sp5xqk1E(WRhKG}c9cYw@x}I13 zb2T#V<)w*9swF8lD*k4nNx*ykmpa&|{ULXBZTV9yJmYJPFwoOLcmI7oh8-c{I#Ey= b!!&pP``N+deU|ZbMV%*O%S$4~I#S8MySd=4 delta 2797 zcmXX`c|6nqA5Rh{R~bp)jglkPNC{!&EKCRKAigO_d`Xxw_6ebuBR>^#bzs6alKWOU zLUWCrb4AV>W@gOqv%cTIUhmKA{eC^4&&TWYdcH;!S`-R51b}wPu3Z?uMF&r>!}icx zTvWHA14Q(sR8?o6$Gd@~nktn0f?|NxrNrdd%TXWG3t}SEO{%;TAqwOtCV9KFFUZ@f z46K>jLhbl&FlgHrk_7H;XqQ$QyC^qRz2z}%{JDkY#2cek~l zAn!aG;xNthqw4Mq$tGX#IiHMV4GL?^OtGz(Muihjo^&mS-ENCQa_Vj3t6l~_cO0Lm z4yG1q0832FSUESqyQQycu%m38Vn}RAI!z`!9>Co}WRFJEicAo8j~1gMW!S%lNddUx z{!?8*)!cl+y4U;%kRw=X+0w3T^G3(d&I7Xrj)ab>%kdssndPXTNu>B&Nl(Q*X`*9? zsWltBVX=XvGwHfvY8{02f6_`ey^7hr&3Jz^c0m$f?}61y*ST0HF>|mVHx^vGGB@%@(9i)^zA*Mi zGF*Pbmn^%`U*U5K>&|O@ff>7(O0AC7y}%uNww-H`kTAWel6i{1t8!}Y7*HAarpi0d z+)=n+k`mT$v&6)vqK)hLUhPe5ewfe7nC3dphQ;h_Dv5*@m76>3*S?8{2X`Qi4iy5L z`>2_9Ultv*e91kfBmOBvLXEy16+ad`KMo(!{BHO%Oojj5{W0VxF(@59KXK|-^rng~ zxeR@Bzuss5LBkuw5roijEbzH$CsNw@LH%3$T4B#QtIa4CGr@nZXO3xkxg#I?6XNN| z+%taj?+u-yKiXV68Vb*D*m5%j@0Z01;&YtG5M7pA64F`kDh;^Q$nV0H{)JT2Q=j&+ zt5yaB7K&5>LzznLZH#mKYN$AIOPK&axLOvGg}VY~fXe{Gk5^`lM+l3@1Xb)=$h|op zrcr0d#)4^soDC!J3vM4$wp^cVqf)ztPerOvC*qx?U`ea)6hAB_l?SB=Y`j+$rEGgtwMlp#SUQGt)%DOh!FCL;CU))qG zhe+nNHmh{^JZyy31x4|U9M`G~P^GHA#<_+0ENqKEmV=puA%6$SuE3)RVIXVA=0_8j{}3UC&&@O4My}%@UkO|b)RVe5PE!1ap@?i_oy9s zLsr$Paq@Vc+W+}qUDxkq#m_)^N(4bc| zz_Z3PndY5+?pikZmTQ?&RRQ~ci+;!;o~2Tw3`n*kw14Y!p5{k~SPpw-B~JZ^LW)kc zow5gDyV4z1!#jXQcKTL&nLU8{>P@ zw!gK+R(bo3(N2{W(6(9EZ}<0+Yo`lM4ccYs`}$g|o|Xk1!ITTORJFA2^aGLD!NM$`22N7z(BYx_|*Gd*nrszdwvd?oIIqu$xMRS&pm%n)-igfg6 z-?K4Gefx-BQes)&3z;94y1SZS_G&mIaH{8lK0h-|Q@M2B{FKs#vYtJ&`BYMk1XcLz zm3*Xc{kv68ctE4m%TiFuNg8l2jtXLYeC&rP*X3)1ZQgvZ2s(BfD>P8tsM%C<*-`m+ zE(+bbXI5>IRI`61@C9C-(*yVGT0)M^dFCARMqeGJSr-x!4PW$fu=tNAC;r#U9H*Uc zS;sBRi5y(zzA;*V3!0bZ8M)vM+Q(+cyWZ#5jAID64vG&Cz6X|Ld}HP|V-g2LU&?Q! z)|oM%4Mz@$hYp+0>mDqi%nO$?RWZrU1@@U+`=Yg2Y58zx2V@A{OpRy#JH=hDpl|h@ z{M<7@jv1W~q7cf#etGVXgq@~@b0o@@OA?%c^{cj-7N))CvQJM-+?W4ezvHwQ1#}pk z-8itrQ3le2)*n|PU9IDFEQ~kwpZ%p;PM5%s(_SrZ2ibm0!*uK0@0v_ldFpK*D3K3zEDcLik?F=fV}iJ4wI}uF#mD+W?tgJ8ds9Vs}^n;CdV#(kAA7 zn1n%#?AQ2q3#J>>0(3bRGZ!IW=oMaP8pdT%PF=0n6H2f5^r?~o?t((xPUo+orB3mO zokOdkgfiM%aHPEoy6`TM=#9*g6)%vz_yR`mqN zS&y~y#+F40WeNYNl;S@pjA{klcV%?&JWucZf})?zY2JDrzRWoxXZKJ}@iScOQ*6`q z1g*``pw!{;6Mz9D!Wow`=~+1P=@pKfGKTG8Uuy_m_sDT1`L=nSHy0i>nON^ZzgO3( zVJ_b@culchNJ;x1q&Yc?S$ggw-gH89wX-OV;Ti|igpMxgpVraT(Rzi#t{HgFZTvKj zTzj0fE6qCSX7P+W*RtY^Dk3E}`Jx7=`d;4Mb-Q+)2EeJgcc}S!>UKp6*6)BzWaHG= zgnQkViV;G8=|ByydLY8y4uri-GQ3fh_}b-Xddw)p)NSI*F-ez_M~3bSid2LsygvUj^^jb=C>@4kx=jQQ8*42p2hxXcwZM;mg_y%z z;NPLuCJPozn3$Ee8Qgq?HQNT&oa^3Fa#dL)1D&{JDPHGUpdRE->-r*Y^$Q~Pbvb~I J9DI=|@qYz$$fE!N diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/webtalk/xsim_webtalk.tcl b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/webtalk/xsim_webtalk.tcl index 8736609..10e10eb 100644 --- a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/webtalk/xsim_webtalk.tcl +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/webtalk/xsim_webtalk.tcl @@ -1,6 +1,6 @@ webtalk_init -webtalk_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/webtalk/ webtalk_register_client -client project -webtalk_add_data -client project -key date_generated -value "Sat Feb 16 17:24:00 2019" -context "software_version_and_target_device" +webtalk_add_data -client project -key date_generated -value "Sat Feb 16 17:41:25 2019" -context "software_version_and_target_device" webtalk_add_data -client project -key product_version -value "XSIM v2018.3 (64-bit)" -context "software_version_and_target_device" webtalk_add_data -client project -key build_version -value "2405991" -context "software_version_and_target_device" webtalk_add_data -client project -key os_platform -value "WIN64" -context "software_version_and_target_device" @@ -14,7 +14,7 @@ webtalk_add_data -client project -key target_package -value "not_applicable" -co webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" webtalk_add_data -client project -key random_id -value "4e917e26-7591-4435-9135-15bd446b0238" -context "software_version_and_target_device" webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device" -webtalk_add_data -client project -key project_iteration -value "11" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_iteration -value "12" -context "software_version_and_target_device" webtalk_add_data -client project -key os_name -value "Microsoft Windows 8 or later , 64-bit" -context "user_environment" webtalk_add_data -client project -key os_release -value "major release (build 9200)" -context "user_environment" webtalk_add_data -client project -key cpu_name -value "Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" -context "user_environment" @@ -22,21 +22,11 @@ webtalk_add_data -client project -key cpu_speed -value "3492 MHz" -context "user webtalk_add_data -client project -key total_processors -value "1" -context "user_environment" webtalk_add_data -client project -key system_ram -value "34.000 GB" -context "user_environment" webtalk_register_client -client xsim -webtalk_add_data -client xsim -key File_Counter -value "2" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Command -value "xelab" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Vhdl2008 -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key GenDLL -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key SDFModeling -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key HWCosim -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key DPI_Used -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Debug -value "typical" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Simulation_Image_Code -value "67 KB" -context "xsim\\usage" -webtalk_add_data -client xsim -key Simulation_Image_Data -value "3 KB" -context "xsim\\usage" -webtalk_add_data -client xsim -key Total_Nets -value "0" -context "xsim\\usage" -webtalk_add_data -client xsim -key Total_Processes -value "18" -context "xsim\\usage" -webtalk_add_data -client xsim -key Total_Instances -value "3" -context "xsim\\usage" -webtalk_add_data -client xsim -key Xilinx_HDL_Libraries_Used -value "secureip unimacro_ver unisims_ver " -context "xsim\\usage" -webtalk_add_data -client xsim -key Compiler_Time -value "0.70_sec" -context "xsim\\usage" -webtalk_add_data -client xsim -key Compiler_Memory -value "37616_KB" -context "xsim\\usage" -webtalk_transmit -clientid 1179807410 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" +webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage" +webtalk_add_data -client xsim -key runtime -value "55 ns" -context "xsim\\usage" +webtalk_add_data -client xsim -key iteration -value "0" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Time -value "0.03_sec" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Memory -value "6080_KB" -context "xsim\\usage" +webtalk_transmit -clientid 2398396443 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" webtalk_terminate diff --git a/lab2CA.sim/sim_1/behav/xsim/xvlog.pb b/lab2CA.sim/sim_1/behav/xsim/xvlog.pb index a6f7e225b60a15cf33355d66213bbba76ecb76b7..11bc220bfafec50a0515e73b60a79de954d76026 100644 GIT binary patch literal 2451 zcmcJROHbo45XUJ{3WJ0y;KXAURpKEe2uXomxU8U*6I?(%FIM6>sfA-B+i44*V!z~G z_EW5RN{Ak8AU8)ze)FHne=-CADzLoIBX<8ldFCxCFQtrljV0CaDA&ScG?tOPH+SmQ zxzS*^xwSUgS2XVuNmGi$d8l2OBNJIzOGp|OOz*uO!Yepz?fK`5NaYhuSQPv1R=c_V zb94RJoXvIE+TTzTD_@C1VxmysbJFtWKeFvz;;LJh zs9QVeb~B(;xt-BvRa=sbDovza-^BJUxeU{)LsN~+?4USeh>_ItPmArNtia6sD3WF! z%P?-XR7LDyoy0zcRhZR1p%51N9iutnE*AY;=6pYc-=CZBrzATvd&akpcDIgsQDEol h8~cy-1Hg35V{%9jT1p1&4O0MZo_MDO3z^g_{{iC_(}(~7 delta 9 QcmbO%yqS6P1NO~~024j~s{jB1 diff --git a/lab2CA.sim/sim_1/impl/func/xsim/webtalk.jou b/lab2CA.sim/sim_1/impl/func/xsim/webtalk.jou index 81f53d6..b2b4f29 100644 --- a/lab2CA.sim/sim_1/impl/func/xsim/webtalk.jou +++ b/lab2CA.sim/sim_1/impl/func/xsim/webtalk.jou @@ -2,8 +2,8 @@ # Webtalk v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sat Feb 16 16:48:49 2019 -# Process ID: 940 +# Start of session at: Sat Feb 16 17:41:40 2019 +# Process ID: 1912 # Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/impl/func/xsim # Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/impl/func/xsim/xsim.dir/alu_tb_func_impl/webtalk/xsim_webtalk.tcl -notrace # Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/impl/func/xsim/webtalk.log diff --git a/lab2CA.sim/sim_1/impl/func/xsim/webtalk_940.backup.jou b/lab2CA.sim/sim_1/impl/func/xsim/webtalk_940.backup.jou new file mode 100644 index 0000000..81f53d6 --- /dev/null +++ b/lab2CA.sim/sim_1/impl/func/xsim/webtalk_940.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2018.3 (64-bit) +# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 +# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 +# Start of session at: Sat Feb 16 16:48:49 2019 +# Process ID: 940 +# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/impl/func/xsim +# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/impl/func/xsim/xsim.dir/alu_tb_func_impl/webtalk/xsim_webtalk.tcl -notrace +# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/impl/func/xsim/webtalk.log +# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/impl/func/xsim\webtalk.jou +#----------------------------------------------------------- +source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/impl/func/xsim/xsim.dir/alu_tb_func_impl/webtalk/xsim_webtalk.tcl -notrace diff --git a/lab2CA.sim/sim_1/impl/func/xsim/xsim.dir/alu_tb_func_impl/webtalk/usage_statistics_ext_xsim.xml b/lab2CA.sim/sim_1/impl/func/xsim/xsim.dir/alu_tb_func_impl/webtalk/usage_statistics_ext_xsim.xml index 9490939..d721d0c 100644 --- a/lab2CA.sim/sim_1/impl/func/xsim/xsim.dir/alu_tb_func_impl/webtalk/usage_statistics_ext_xsim.xml +++ b/lab2CA.sim/sim_1/impl/func/xsim/xsim.dir/alu_tb_func_impl/webtalk/usage_statistics_ext_xsim.xml @@ -1,14 +1,14 @@ - +
- + - + @@ -30,24 +30,14 @@
- - - - - - - - +
- - - - - - - - + + + + +
diff --git a/lab2CA.sim/sim_1/impl/timing/xsim/webtalk.jou b/lab2CA.sim/sim_1/impl/timing/xsim/webtalk.jou index e86cd13..c115ea6 100644 --- a/lab2CA.sim/sim_1/impl/timing/xsim/webtalk.jou +++ b/lab2CA.sim/sim_1/impl/timing/xsim/webtalk.jou @@ -2,8 +2,8 @@ # Webtalk v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sat Feb 16 17:29:42 2019 -# Process ID: 9128 +# Start of session at: Sat Feb 16 17:41:11 2019 +# Process ID: 2508 # Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/impl/timing/xsim # Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/impl/timing/xsim/xsim.dir/regFile_tb_time_impl/webtalk/xsim_webtalk.tcl -notrace # Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/impl/timing/xsim/webtalk.log diff --git a/lab2CA.sim/sim_1/impl/timing/xsim/webtalk_9128.backup.jou b/lab2CA.sim/sim_1/impl/timing/xsim/webtalk_9128.backup.jou new file mode 100644 index 0000000..e86cd13 --- /dev/null +++ b/lab2CA.sim/sim_1/impl/timing/xsim/webtalk_9128.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2018.3 (64-bit) +# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 +# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 +# Start of session at: Sat Feb 16 17:29:42 2019 +# Process ID: 9128 +# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/impl/timing/xsim +# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/impl/timing/xsim/xsim.dir/regFile_tb_time_impl/webtalk/xsim_webtalk.tcl -notrace +# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/impl/timing/xsim/webtalk.log +# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/impl/timing/xsim\webtalk.jou +#----------------------------------------------------------- +source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/impl/timing/xsim/xsim.dir/regFile_tb_time_impl/webtalk/xsim_webtalk.tcl -notrace diff --git a/lab2CA.sim/sim_1/impl/timing/xsim/xsim.dir/alu_tb_time_impl/webtalk/xsim_webtalk.tcl b/lab2CA.sim/sim_1/impl/timing/xsim/xsim.dir/alu_tb_time_impl/webtalk/xsim_webtalk.tcl index e5cac4d..6123cfe 100644 --- a/lab2CA.sim/sim_1/impl/timing/xsim/xsim.dir/alu_tb_time_impl/webtalk/xsim_webtalk.tcl +++ b/lab2CA.sim/sim_1/impl/timing/xsim/xsim.dir/alu_tb_time_impl/webtalk/xsim_webtalk.tcl @@ -1,6 +1,6 @@ webtalk_init -webtalk_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/impl/timing/xsim/xsim.dir/alu_tb_time_impl/webtalk/ webtalk_register_client -client project -webtalk_add_data -client project -key date_generated -value "Sat Feb 16 16:46:45 2019" -context "software_version_and_target_device" +webtalk_add_data -client project -key date_generated -value "Sat Feb 16 17:41:51 2019" -context "software_version_and_target_device" webtalk_add_data -client project -key product_version -value "XSIM v2018.3 (64-bit)" -context "software_version_and_target_device" webtalk_add_data -client project -key build_version -value "2405991" -context "software_version_and_target_device" webtalk_add_data -client project -key os_platform -value "WIN64" -context "software_version_and_target_device" @@ -14,7 +14,7 @@ webtalk_add_data -client project -key target_package -value "not_applicable" -co webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" webtalk_add_data -client project -key random_id -value "4e917e26-7591-4435-9135-15bd446b0238" -context "software_version_and_target_device" webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device" -webtalk_add_data -client project -key project_iteration -value "3" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_iteration -value "4" -context "software_version_and_target_device" webtalk_add_data -client project -key os_name -value "Microsoft Windows 8 or later , 64-bit" -context "user_environment" webtalk_add_data -client project -key os_release -value "major release (build 9200)" -context "user_environment" webtalk_add_data -client project -key cpu_name -value "Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" -context "user_environment" @@ -22,21 +22,11 @@ webtalk_add_data -client project -key cpu_speed -value "3492 MHz" -context "user webtalk_add_data -client project -key total_processors -value "1" -context "user_environment" webtalk_add_data -client project -key system_ram -value "34.000 GB" -context "user_environment" webtalk_register_client -client xsim -webtalk_add_data -client xsim -key File_Counter -value "3" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Command -value "xelab" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Vhdl2008 -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key GenDLL -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key SDFModeling -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key HWCosim -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key DPI_Used -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Debug -value "typical" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Simulation_Image_Code -value "71 KB" -context "xsim\\usage" -webtalk_add_data -client xsim -key Simulation_Image_Data -value "8 KB" -context "xsim\\usage" -webtalk_add_data -client xsim -key Total_Nets -value "0" -context "xsim\\usage" -webtalk_add_data -client xsim -key Total_Processes -value "113" -context "xsim\\usage" -webtalk_add_data -client xsim -key Total_Instances -value "78" -context "xsim\\usage" -webtalk_add_data -client xsim -key Xilinx_HDL_Libraries_Used -value "secureip simprims_ver " -context "xsim\\usage" -webtalk_add_data -client xsim -key Compiler_Time -value "0.69_sec" -context "xsim\\usage" -webtalk_add_data -client xsim -key Compiler_Memory -value "38776_KB" -context "xsim\\usage" -webtalk_transmit -clientid 1649025917 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/impl/timing/xsim/xsim.dir/alu_tb_time_impl/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/impl/timing/xsim/xsim.dir/alu_tb_time_impl/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/impl/timing/xsim/xsim.dir/alu_tb_time_impl/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" +webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage" +webtalk_add_data -client xsim -key runtime -value "40 ns" -context "xsim\\usage" +webtalk_add_data -client xsim -key iteration -value "0" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Time -value "0.06_sec" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Memory -value "6192_KB" -context "xsim\\usage" +webtalk_transmit -clientid 740551099 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/impl/timing/xsim/xsim.dir/alu_tb_time_impl/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/impl/timing/xsim/xsim.dir/alu_tb_time_impl/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/impl/timing/xsim/xsim.dir/alu_tb_time_impl/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" webtalk_terminate diff --git a/lab2CA.sim/sim_1/impl/timing/xsim/xsim.dir/regFile_tb_time_impl/webtalk/usage_statistics_ext_xsim.xml b/lab2CA.sim/sim_1/impl/timing/xsim/xsim.dir/regFile_tb_time_impl/webtalk/usage_statistics_ext_xsim.xml index cf4b9cf..52c8fdf 100644 --- a/lab2CA.sim/sim_1/impl/timing/xsim/xsim.dir/regFile_tb_time_impl/webtalk/usage_statistics_ext_xsim.xml +++ b/lab2CA.sim/sim_1/impl/timing/xsim/xsim.dir/regFile_tb_time_impl/webtalk/usage_statistics_ext_xsim.xml @@ -1,14 +1,14 @@ - +
- + - + @@ -30,24 +30,14 @@
- - - - - - - - +
- - - - - - - - + + + + +
diff --git a/lab2CA.srcs/sources_1/new/BasicModules.v b/lab2CA.srcs/sources_1/new/BasicModules.v index 6c65aa7..fefe221 100644 --- a/lab2CA.srcs/sources_1/new/BasicModules.v +++ b/lab2CA.srcs/sources_1/new/BasicModules.v @@ -315,8 +315,8 @@ module mux_2_1(input wire switch, always @(A,B,switch) begin case (switch) - 2'b00 : out = A; - 2'b01 : out = B; + 1'b0 : out = A; + 1'b1 : out = B; default : out = 9'bxxxxxxxxx; endcase end diff --git a/lab2CA.srcs/sources_1/new/FetchUnit.v b/lab2CA.srcs/sources_1/new/FetchUnit.v index 7749982..a344dee 100644 --- a/lab2CA.srcs/sources_1/new/FetchUnit.v +++ b/lab2CA.srcs/sources_1/new/FetchUnit.v @@ -1,6 +1,7 @@ `timescale 1ns / 1ps -module FetchUnit(input wire clk, reset, op_idx, +module FetchUnit(input wire clk, reset, + input wire [1:0] op_idx, input wire [8:0] AddrIn, output wire [8:0] AddrOut); @@ -16,8 +17,8 @@ module FetchUnit(input wire clk, reset, op_idx, //Adds 1 to the program counter add_9bit PCAdder( .A(progC_out), - .B(1'b1), - .Cin(1'b0), + .B(9'b000000001), + .Cin(9'b000000000), .Sum(AddrOut)); mux_2_1 PCmux( @@ -27,4 +28,64 @@ module FetchUnit(input wire clk, reset, op_idx, .switch(op_idx)); -endmodule \ No newline at end of file +endmodule + +//testbench +//module fetchUnit_tb(); +//reg [8:0] addr_in; +//reg opidx; +//reg reset; +//wire [8:0] addr_out; + +// reg clk; +// initial begin +// clk = 1'b0; +// end +// always begin +// #5 clk = ~clk; // Period to be determined +// end + +//FetchUnit fetchUnit0( +//.clk(clk), +//.reset(reset), +//.op_idx(opidx), +//.AddrIn(addr_in), +//.AddrOut(addr_out)); + + + +// initial begin +// reset = 0; +// opidx = 1'b1; +// addr_in = 0'b000000000; +// #5 +// reset = 1; +// #5 +// reset = 0; +// opidx = 1'b0; +// addr_in = 9'b000001111; +// #5 +// #5 +// addr_in = 9'b011000011; +// #5 +// #5 +// opidx = 1'b1; +// #5 +// #5 +// #5 +// #5 +// opidx = 1'b0; +// addr_in = 9'b000001111; +// #5 +// #5 +// addr_in = 9'b010010011; +// #5 +// opidx = 1'b1; +// #5 +// #5 +// #5 +// #5 +// #5 $finish; + +// end +//endmodule \ No newline at end of file diff --git a/lab2CA.srcs/sources_1/new/RegFile.v b/lab2CA.srcs/sources_1/new/RegFile.v index 20b1591..c61c879 100644 --- a/lab2CA.srcs/sources_1/new/RegFile.v +++ b/lab2CA.srcs/sources_1/new/RegFile.v @@ -99,8 +99,8 @@ RegFile regFile0( #5 reset = 0; w_idx = 2'b00; - op0_idx = 2'b00; - op1_idx = 2'b00; + op0_idx = 2'b10; + op1_idx = 2'b11; write_d = 9'b001111000; #5 reset = 0; diff --git a/lab2CA.xpr b/lab2CA.xpr index 1ef8b75..7a84404 100644 --- a/lab2CA.xpr +++ b/lab2CA.xpr @@ -31,7 +31,7 @@