From 1c44d8d964a23284d0ca14fddc2e1931d0523a7b Mon Sep 17 00:00:00 2001 From: WilliamMiceli Date: Sun, 24 Mar 2019 17:26:44 -0400 Subject: [PATCH 1/3] Added comments to insructions --- lab2CA.srcs/sources_1/new/instructionMemory.v | 109 ++++++++++-------- 1 file changed, 63 insertions(+), 46 deletions(-) diff --git a/lab2CA.srcs/sources_1/new/instructionMemory.v b/lab2CA.srcs/sources_1/new/instructionMemory.v index 2071903..6649ab1 100644 --- a/lab2CA.srcs/sources_1/new/instructionMemory.v +++ b/lab2CA.srcs/sources_1/new/instructionMemory.v @@ -76,52 +76,69 @@ module instructionMemory( // memory[39] <= 9'b000000000; - // Bubble Sort - memory[0] <= 9'b000000001; - memory[1] <= 9'b010000000; - memory[2] <= 9'b000100000; - memory[3] <= 9'b010001000; - memory[4] <= 9'b010010000; - memory[5] <= 9'b010011000; - memory[6] <= 9'b101001000; - memory[7] <= 9'b101001010; - memory[8] <= 9'b100100011; - memory[9] <= 9'b101001001; - memory[10] <= 9'b011001001; - memory[11] <= 9'b101001000; - memory[12] <= 9'b101001001; - memory[13] <= 9'b011101000; - memory[14] <= 9'b110001010; - memory[15] <= 9'b100100001; - memory[16] <= 9'b100110100; - memory[17] <= 9'b101001001; - memory[18] <= 9'b011001001; - memory[19] <= 9'b000110010; - memory[20] <= 9'b011001001; - memory[21] <= 9'b000111010; - memory[22] <= 9'b101011110; - memory[23] <= 9'b011111100; - memory[24] <= 9'b110011010; - memory[25] <= 9'b100100001; - memory[26] <= 9'b101110010; - memory[27] <= 9'b101001001; - memory[28] <= 9'b011001001; - memory[29] <= 9'b101011111; - memory[30] <= 9'b001011010; - memory[31] <= 9'b011001001; - memory[32] <= 9'b001010010; - memory[33] <= 9'b010001000; - memory[34] <= 9'b011001001; - memory[35] <= 9'b101001010; - memory[36] <= 9'b101111100; - memory[37] <= 9'b101001011; - memory[38] <= 9'b110001001; - memory[39] <= 9'b100100001; - memory[40] <= 9'b100100011; - memory[41] <= 9'b010001000; - memory[42] <= 9'b101001000; - memory[43] <= 9'b101111011; - memory[44] <= 9'b000000000; +// Bubble Sort + memory[0] <= 9'b000000001; // nop + // Setup + memory[1] <= 9'b010000000; // zero $a + memory[2] <= 9'b000100000; // lb $a, $a + memory[3] <= 9'b010001000; // zero $b + memory[4] <= 9'b010010000; // zero $c + memory[5] <= 9'b010011000; // zero $d + memory[6] <= 9'b101001000; // banks $b, $0 + memory[7] <= 9'b101001010; // banks $b, $1 + memory[8] <= 9'b100100011; // jf EndChk + // Increment current index to compare next pair of values + // Inc: + memory[9] <= 9'b101001001; // bankl $b, $0 + memory[10] <= 9'b011001001; // addi $b, 1 + memory[11] <= 9'b101001000; // banks $b, $0 + // Check if at the end of the array + // EndChk: + memory[12] <= 9'b101001001; // bankl $b, $0 + memory[13] <= 9'b011101000; // slt $b, $a + memory[14] <= 9'b110001010; // beq $b, JSC + memory[15] <= 9'b100100001; // jf LoadNext + // JSC: + memory[16] <= 9'b100110100; // jf SwapChk + // Load next values for comparison + // LoadNext: + memory[17] <= 9'b101001001; // bankl $b, $0 + memory[18] <= 9'b011001001; // addi $b, 1 + memory[19] <= 9'b000110010; // lb $c, $b + memory[20] <= 9'b011001001; // addi $b, 1 + memory[21] <= 9'b000111010; // lb $d, $b + // Compare loaded values to see if they need to be swapped + memory[22] <= 9'b101011110; // banks $d, $3 + memory[23] <= 9'b011111100; // slt $d, $c + memory[24] <= 9'b110011010; // beq $d, JI + memory[25] <= 9'b100100001; // jf Swap + // JI: + memory[26] <= 9'b101110010; // jb Inc + // Swap values in array + // Swap: + memory[27] <= 9'b101001001; // bankl $b, $0 + memory[28] <= 9'b011001001; // addi $b, 1 + memory[29] <= 9'b101011111; // bankl $d, $3 + memory[30] <= 9'b001011010; // sb $d, $b + memory[31] <= 9'b011001001; // addi $b, 1 + memory[32] <= 9'b001010010; // sb $c, $b + memory[33] <= 9'b010001000; // zero $b + memory[34] <= 9'b011001001; // addi $b, 1 + memory[35] <= 9'b101001010; // banks $b, $1 + memory[36] <= 9'b101111100; // jb Inc + // Check to see if any swaps have been made in the last iteration + // SwapChk: + memory[37] <= 9'b101001011; // bankl $b, $1 + memory[38] <= 9'b110001001; // beq $b, JE + memory[39] <= 9'b100100001; // jf Reset + // JE: + memory[40] <= 9'b100100011; // jf End + // Reset: + memory[41] <= 9'b010001000; // zero $b + memory[42] <= 9'b101001000; // banks $b, $0 + memory[43] <= 9'b101111011; // jb LoadNext + // End: + memory[44] <= 9'b000000000; // halt // Binary Search From efbd7b773b1701ac3553845eae735f93006e0945 Mon Sep 17 00:00:00 2001 From: "jose.rodriguezlabra" Date: Sun, 24 Mar 2019 17:30:27 -0400 Subject: [PATCH 2/3] Binary Search completed It is not fully tested, but it looks pretty good --- Bank_behav1.wcfg | 14 +++-- lab2CA.cache/wt/webtalk_pa.xml | 51 +++++++++--------- .../webtalk/xsim_webtalk.tcl | 28 ++++------ .../xsim/xsim.dir/CPU9bits_tb_behav/xsim.mem | Bin 19925 -> 19797 bytes lab2CA.srcs/sources_1/new/instructionMemory.v | 41 +++++++------- lab2CA.xpr | 2 +- 6 files changed, 67 insertions(+), 69 deletions(-) diff --git a/Bank_behav1.wcfg b/Bank_behav1.wcfg index 123f0ad..33afe34 100644 --- a/Bank_behav1.wcfg +++ b/Bank_behav1.wcfg @@ -11,9 +11,9 @@ - - - + + + @@ -39,6 +39,7 @@ address[8:0] address[8:0] + UNSIGNEDDECRADIX readData[8:0] @@ -64,18 +65,22 @@ r0_out[8:0] r0_out[8:0] + UNSIGNEDDECRADIX r1_out[8:0] r1_out[8:0] + UNSIGNEDDECRADIX r2_out[8:0] r2_out[8:0] + UNSIGNEDDECRADIX r3_out[8:0] r3_out[8:0] + UNSIGNEDDECRADIX enable @@ -100,6 +105,7 @@ r0_out[8:0] r0_out[8:0] + UNSIGNEDDECRADIX r1_out[8:0] @@ -108,6 +114,7 @@ r2_out[8:0] r2_out[8:0] + UNSIGNEDDECRADIX r3_out[8:0] @@ -120,6 +127,7 @@ AddrOut[8:0] AddrOut[8:0] + UNSIGNEDDECRADIX progC_out[8:0] diff --git a/lab2CA.cache/wt/webtalk_pa.xml b/lab2CA.cache/wt/webtalk_pa.xml index 98ed877..852d7f4 100644 --- a/lab2CA.cache/wt/webtalk_pa.xml +++ b/lab2CA.cache/wt/webtalk_pa.xml @@ -3,7 +3,7 @@ - +
@@ -17,7 +17,7 @@ This means code written to parse this file will need to be revisited each subseq - + @@ -34,8 +34,8 @@ This means code written to parse this file will need to be revisited each subseq - - + + @@ -46,7 +46,7 @@ This means code written to parse this file will need to be revisited each subseq - + @@ -54,39 +54,40 @@ This means code written to parse this file will need to be revisited each subseq - + - + + - + - - + + - + - + - + - + @@ -110,7 +111,7 @@ This means code written to parse this file will need to be revisited each subseq - + @@ -118,9 +119,9 @@ This means code written to parse this file will need to be revisited each subseq - + - + @@ -129,16 +130,16 @@ This means code written to parse this file will need to be revisited each subseq - + - + - + @@ -146,7 +147,7 @@ This means code written to parse this file will need to be revisited each subseq - + @@ -159,20 +160,20 @@ This means code written to parse this file will need to be revisited each subseq - + - + - + - +
diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/xsim_webtalk.tcl b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/xsim_webtalk.tcl index ce99532..7530080 100644 --- a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/xsim_webtalk.tcl +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/xsim_webtalk.tcl @@ -1,6 +1,6 @@ webtalk_init -webtalk_dir C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/ webtalk_register_client -client project -webtalk_add_data -client project -key date_generated -value "Sun Mar 24 16:34:26 2019" -context "software_version_and_target_device" +webtalk_add_data -client project -key date_generated -value "Sun Mar 24 17:29:22 2019" -context "software_version_and_target_device" webtalk_add_data -client project -key product_version -value "XSIM v2018.3 (64-bit)" -context "software_version_and_target_device" webtalk_add_data -client project -key build_version -value "2405991" -context "software_version_and_target_device" webtalk_add_data -client project -key os_platform -value "WIN64" -context "software_version_and_target_device" @@ -14,7 +14,7 @@ webtalk_add_data -client project -key target_package -value "not_applicable" -co webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" webtalk_add_data -client project -key random_id -value "17336daf-0d92-4f07-b4a4-ff1c52043edb" -context "software_version_and_target_device" webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device" -webtalk_add_data -client project -key project_iteration -value "82" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_iteration -value "98" -context "software_version_and_target_device" webtalk_add_data -client project -key os_name -value "Microsoft Windows 8 or later , 64-bit" -context "user_environment" webtalk_add_data -client project -key os_release -value "major release (build 9200)" -context "user_environment" webtalk_add_data -client project -key cpu_name -value "Intel(R) Core(TM) i5-3230M CPU @ 2.60GHz" -context "user_environment" @@ -22,21 +22,11 @@ webtalk_add_data -client project -key cpu_speed -value "2594 MHz" -context "user webtalk_add_data -client project -key total_processors -value "1" -context "user_environment" webtalk_add_data -client project -key system_ram -value "8.000 GB" -context "user_environment" webtalk_register_client -client xsim -webtalk_add_data -client xsim -key File_Counter -value "9" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Command -value "xelab" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Vhdl2008 -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key GenDLL -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key SDFModeling -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key HWCosim -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key DPI_Used -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Debug -value "typical" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Simulation_Image_Code -value "109 KB" -context "xsim\\usage" -webtalk_add_data -client xsim -key Simulation_Image_Data -value "19 KB" -context "xsim\\usage" -webtalk_add_data -client xsim -key Total_Nets -value "0" -context "xsim\\usage" -webtalk_add_data -client xsim -key Total_Processes -value "281" -context "xsim\\usage" -webtalk_add_data -client xsim -key Total_Instances -value "144" -context "xsim\\usage" -webtalk_add_data -client xsim -key Xilinx_HDL_Libraries_Used -value "secureip unimacro_ver unisims_ver " -context "xsim\\usage" -webtalk_add_data -client xsim -key Compiler_Time -value "1.19_sec" -context "xsim\\usage" -webtalk_add_data -client xsim -key Compiler_Memory -value "49056_KB" -context "xsim\\usage" -webtalk_transmit -clientid 4125363012 -regid "" -xml C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" +webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage" +webtalk_add_data -client xsim -key runtime -value "520 ns" -context "xsim\\usage" +webtalk_add_data -client xsim -key iteration -value "0" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Time -value "0.01_sec" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Memory -value "5832_KB" -context "xsim\\usage" +webtalk_transmit -clientid 567316716 -regid "" -xml C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" webtalk_terminate diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/xsim.mem index e0224a8ea2ee24f7f975d5187575a46556b7a54f..90fe9b6467017021f3cc028e56d88dec0dc2007d 100644 GIT binary patch literal 19797 zcmeIZc{r5)`#*elEAAF)6It&NsC%qUAp z3|WRSlXYS+%ot;449|6q?$7u4d5+(4JpVj@ejSJ7GS~G!&-b~$&e!|GAAkJ!*Yyv7 za&E}{zCLf-`TXCj{`b@WM&N%V@V^oG-w6Ew6@kp{?QQV;UwwQ3{o(iZkn|sa@Blvx z0N-o>$GZR5vj5MIali50p6`!8f_E)BdOQ%ZJ|U_uWjr7mm~l)m2*b{1lIL#xr@2=rlw~%4)5JN^hiJE>6Pube?>ziB^~y@qi~(Me98Uki_OFt zp3btTul|+1LMz-wwtU2F5H z`r|J0m`dC3bCT{bx9}zF2&)V2t9|a$b6O5WS)KQGN{KZ?i0s>UE{qN-O7xM^8OZTmCSgp!pdwGx z{8dllo@=+ANXhj*jqD7#b9kj4+$py@5I1^;^gP+qv!GPsn(LWw_iT}|K3nfDc#JW% z$(nAjdgAo043EonHf8Hhm;@9CUMKX)MlduHfnP(qaE->rZJC5qRQz6Ck4xZ#YW#kj z8;aJ7gQ0Q}cXXnATWF)s>=ji)S)uV?N3))>+q8drVC>DZ`eZbPjiow<5Y+y!t9=U> zzEL-eST!R7#kNNVQ28^`eAqcrmvf{q(>z5dLxz+7>|9M>tC=j87EN7}QdeAwA$35j{i?=!g4GvanVJX+zRq z)ov3u>ak4;4sKbtU*|gh%s+>v;d7|?6|4A;(FNujt$fHzw|CC%{%`>@ZQ(jwgos`V zs2L*ZXolAlw9rTrZ|` zEM=)peEn?O@jB|VX25Y$MQ)gWnsgXb`NlAd!f2=) zj<#!c^_(Oc#lp7dHCtcxY&iL&0XLS32c-2{*w;lXlevaBVd`|h)yZwh*iKVnK0kF%(UvMi!Cn&amt*vAH3_fBAcJ{s;m8>sqp+=Ol(`;_(;CxMT( z>0w|G51x&l7q+`@&INqG6^okvWdIwFYt@lJmeC$18^xpMOQJDmi zo<5*0F;5i}=?i^x`{vQT^a1vu?`@q8){TICAFy^aLg?m>_eBQO=>y2HJUbgcOoC8_ zs7s$Z)DV-P86wIc>iJvZ64QQ!lKVpFM5BOf{zXFt7(Ubpae|0`&s7>$mX_Z3P$ML;N*hscf%wFK9v(_r zdses=lweP8`0yfB+UYFRn=Mfmzp@cKC}()C;+~SZvR%Udx5q}p-|C` z9Mp1n^dqX08naO_APi@uyw)3*^(;?zE31RB&>&xa?wq6B!#}(RHTllILOMv#BZQaJ zsk;#q?YO5lpqWZoRgVL%zKz{~JF5=QhG@tY*hb_M^Nm+)%*ze4rV2=z0gStED3-SdowUig((M?VML36TI@Tic%6QVC`U9=1fg zY8X?y?Dp^z)+ombCdzNGuH;dL>&M>sL)Fvu@1?eime}MktUe4PYwdKJt!(2%D7}Ne zosNEyAf^z*aiK?k_S4PdyhH-q#^QyRisc;o6{w4WK64e&SfN%{gQTY3I#DRB+h7S)@N&zV6MtM{apB~KUO z4KI^N;yF5#b}eLG*~$wY(C$!dv_vOH<6G4^md<3pMQOdcVvM?2&AdT%Aj-(0UmWc>eb&Yj7VZ5M1OTbR%kx4LmWtDULmdCRuv#XHQ6lO7l=byV`g^Lw}+5 zY3~`;@Y&!6a^bI~1kkGf*^A_B17T$gH>e`bi&iwqnNY)WEXyb^lSqQ~nFpo2y5-OmLqpI68EQ>YzaAQta5)bHf8oHCL(wt-&XoUD&(lfbG1?;&4Y- zpFc(!2M?sp!B2AIHCn=?fd}O27Og|TgM#pJxCyw4^xdXOP=(1pZ)foH#}=)St6Ra* zkAt#T@Ug*dpX?Qz^gyeGpQ02&1?DZn(ZKC7nWo2}9Q7Ga6wnv+cqL(-2yS$G@`eFm zwWCav^(nwcg(LW7a9vN!*l}=O)d~~5&3qQLIR*MeJ~Anq0O)J92<8M~g#q{CL?JEt z?$SCaDfbj74oK4PPiFnd)O7+>pJ^%rAMBb$p8y`9E(f!~Xp|qpmxH%Uo~a9hJH@Yr zf;*M-?s50YOkPPVi@yR7HB#oBA5}@4JQ*|(w>XJDe{b~gNV~?2OW~1Ag(Y6o$4~hgs;2lGhCZImK8?_=JQyTD{D!V{{%Mqy z=EE&Q%Pn*_W6N2_))h0|U|p1~0-aLuEOgKMyTP0riGN)YcIPMDAegKVSPQob<^lbT ze1_sASYeVEtQxp+urL@Eh+r`xDzTCIC8~xMBdRsN3)T zH|VC*WB{mQ=@7o3mlHYU%4ty7vH)HV^qcQ5?;x;Nj3+(8aD{(L0?nWl@l zb%EZ2dqxX%ynxdj8#?)5o8{TDy)}Pc%qV%ufn~Yyz6-7~0nU!c#sRlTga}H`SPMCz z;+N13wUL0`)>&T}=Xw+eS8t0?uRp`0;=7EE%e$fU=fIR&x=3Dd$?I|fu&LWLKeCuE zbt2@#ccZfdEE`MmTL2x-TNLFiuv6n;w>&|_3cJ3B|9B;|Sw6G?+e-pqq+-I678Lb+ zA(K@dr!B~VX!>rnE=lOditTw3(J$A&HyyBaFAQy#kRa>IkrkB|LxCB>WYtK^Ed$nUMz+x(H*FNfW*)%`O(bA*9ZuYd{28N;B5 zmKenI9EI#7c$=@z2QPf^6Ta#*V|vsFo#{rSn3LL&dR0)Z5B%F>7oXn?rV}RHqf;ie zJ3>RgeCJ2scWQWb=#Ev%SpBokTgdVC(r>u^fMHTyKPF#wh^!EIlTAQf+dO*T`aE?+ zc8=G3Uprn-LD*h7FZ+Iv>b_IFGNQvD{ybfszvrY`pUNr8=P#oEyq&D#@lVotrD{f> ztllltffynG7i({gO%0=;bK}|$^ClSC8A|v2T-Q>F?tZNiZpr>g9Biy$tuL|C1)*@l zQgP6hX2Dk2LO5OClDr;=v~(D43Lp&=x~hWmYkbKg*IabH%PqJeRjXY}u$8spOCF7K z_6Ttq^siUYzfF=mEag!9`u*A#zaL+mJ%W03Me@Sg{eyoiOLyJ9mJ=<=%reXyG{2<# z;}NoArROMIWaMr1i6p1>qv$4+%ynzXn33Q3`X&9<*A4BuzrxCgxp>9Wbv8zJujU5;1s289DD?0Z~@q!w!)5w&}#hK(A3xxBd``VW#z*M`ol z*69_$?@gvP>4rq2r<;10h0V)gS11XjM+3H%X<=ffdUi+dw@~T^Eo>pZq$stY0&-1S zKR@h(7Ow2sRlpmZ*5bA&Q|)J(Oq2Z2%8L*oWHzZN(R%vOnweBeueEFZr5 z5Eoox(sb}+6F2Ma#Yo;uy!WrZ+uNOARCL}a4kzvTNzr^GGN$7`7vdveCZNw+1{U%O z_?X|)$aPRyWur|`Y=%`+l#=?jb!tsywg#;d3lTRM^A78>PBv8L>N%jId`{>@y)^8| zBCNG&*>B;U($H5iisln*IZ}B8`HKhN&#@rNwKliz0DGj?p;}8ny;oxaFO-3 z2UXRHAt`9ne|H!wIi<)%_b8rgqDw(Big;TH%25i&mrGu1d{9V7OMQ{O8N-}CQ9?ce z2_;h5#2mNhPWY3=2=au;k;RZZvt$Xb!k1TsQ$12VsotJj(K&=WL*6$A69t)4#RN*B zxYC+^E>k{%d04NRWYPT5O!v;OAoPN|`~uGbyXb&5hki5E1@Tf?Mi2AE2<2?W*zlQk z)^%9;uNSu}#B=_7GJ3=4)D0W2D>qW!G*>l9MIzLT5u7R|yj$tvf*gF%r(c>jaal1a zc0h%hX}{gjs*q>NdUK+zpAxSeQ0-AUV?Q;-Q>$<)Mw2 zWkl(A%FuqLwZ+GakMU4t==n>8e0VS9QqTf2GzdcmvdkiFed13;Ys;umD`LCpw+hg zD#QV)vX$jW1KhBp7J=PBPtavxR$xz5w2b`;^n_qVg{UmaL$3Y4!0f;SHRZl<|7e^T zsm^Ft;1zk8N>G$;RLA^{MR}4AeRk@-H)%(#2tF-2d=ip(HaYwb0eM)0u<$vXOnpkW z>ro%EEIg79G1NS?fv`F;N4EzHHz1P8f=#l_IZqF(>I^)t) zFp68WShhTjFQ4odRL0WLXx!AnnJmrLW;v`P=pVx<7n++eAv|toiqnSahT*{-QVq}uNZX(>00yVv8XE7Amd%y22rOXqegD% z>;Bo0hg}JwQ&LE4qYNzJ2Yi#nRF(`^Ox@Ixod(NEbT~2k^*t%yp8@RFn>8QGYH&Az zmi8?qvaU~#DZs3w^J0Y-i8US1TgA}(s*@*l=y%7fsORQtUuEQJ$ zZ&~MDyXQ)EEI(DjN|@b$04S7@;$MELzo_SD?JJ_`GMBHJHJ@_+T#z&!Aok0Tz&cWb zRqU!ixOY8wyoOy(WbGOXX+^m~6fix?)q(Dh6q4g9Ci5ITD@AgO{3P4y8yA5)PgZoN0X_RB)DAfwz9#oXM- z;BMS!c}dwGxm|ink)8V6zQBAtjjN~E_5lWJV8qTnMHdnd-6plfi&PL@AaqB?S{!z$ z<;%&>PH7G&{|>{5TU4cnxAv+7$U06~pzfuB$okY(jbKvPnbGXU_%njr8SW&*)V5qJ z3h#c`@_NpA&TNb4GMkckD@@I|X5arPc@NhqjCZa!IL-SZ7u_n5gkx$zmKtXE?HZ-BD`RSzK3asfx>fkIAtI`C zr|(8#x<#iic$ppfm%V`3Ot8EmbKII<&2*4>004eK z7yq9?+RbIH#+I$NYsh2+Yee&U|%+c%FX%6(&#&?sibI$1ohNHRsr z7mlw}lpX078cm$rUU+R|qUG?WAp8p^{BHvc+?x(FIf@Co50t5MVpG}kXSaTaJv|?! zdxvpEg3#-sO_=y%HS1(2+x!{gn=pF#AEhKNSgXA~&S&CUw}7Bia#tEl`gE-Ny25WaxT(~|V^{~kK7}j&l+g^8e>w1G> z9Biy$6x+Z3yG;&g>~og9IjtFwwmLg-YNu$%4gGmVd5Q+ic;;X2;-_{0mC`-fxmwqx zpwCC@*v#J4YWa3DufDwLnv1h5a!6W<;z+wzf|c&tN$LE7w<(>w;0UwJ7{6yb{n7>3 zC%>!MEfVqeL_5MLsXr&7s1Ta(LgIwsW;#VYa0#8ktj)x(u4C)0UeJS zi0kb(>wAHs=|sW3OR(hV3IK|BvJAbMq^@&srxJa|50jJgE)A;8E_P@*kh0weoU0fnf^PVpRIA3&7E^z1~9KYo#;n6f{^aQ(Z&Cgh*FuMCy!F& z(e7sx5p5t+l!>^|7L=JB#|=L`O^6u0OeY?r2|xY% z)>NV+?DIT?E*dpLA|^gf(t83oebUNZLlh1hvRQ8;aNsO{jo}QaGc-evc(hlq=%p%F zO8K@iXCS$xUmF|Bq^*O6zqT@S5${yS8p>L5EoOl&Ky-tP;jqQHr5DGgD%$Iv90;WX+DnT`Cw}{OX~Op(tI%SRir%t zIw6)w*80&>Ncbs9p!r&7wS%`88DE@KQ)y%rh&c9cw(uzcUWyBrrcyHAD%0oyO#zlBJF7j8sE6~jF@*R&fTy3 z&v0Y3Hzj0qX2jj)<%*D;Yt-(rkMA~LKaaLL#Z%5V!qr_p>7_;f^rGZKqQEt=D#E0j z|AS$mdq08Q+e^oubTX+Y+;(6N{&-w-j$)nxH@h+zz17e`rao-k7qy$!Y54u{_pXa_ zNnALc#j0DQYhGa!5(bzu?=@Hm;T0Qbz7qwRni-1gHkIGvcJS1N(96=T)Xjmb6qDO(z*X=Hu>hIfkZRQcA zs^f`E`%)k#I*I(_p(BxY6oq?eX1E%fsB`?Ry?4#;9wFR*B4I>cw8I}1kiE>_$9vj1 zUc`H07GlOV8FmhXF*#bJXRyjr0-S#XE6MT(NQzG!8c~<*4c1;Q_VAq?05gb3!2mS3 z|Hsnk`&S|hi#l*NloP-iBGzfF1SoEwe3QXq^F_cAbDt}fxN4zjsVtEXM>Nh6Mw~xa zSB`N;by)2XvHSmwt9EGeZ#-ikOy&eYV8YOJup;swV?BuuEwVeZ5RbEki=6_KZ(OX= zn4cU0WPdFhaMQ!960GiIh841&9s4h%5(qnMv;IHX(tUUGMDF`+Q|B%>nT0gPP|pg3 z1oe3#WZ?|26*B7o7S$gC9PG&-SU8Fb_xTT2B<|^+l1c>%_1v&DUF&H$@+$pX!!O8_ zT*MnmUqJwMxp2&JdQ`|P3p;-&uv4a29f;ca#}SU-8bb?yz{uB{er3)QIe8pt`Ctgm zsHb|%t{VmYV@IX7GH=%*Rp8gXyDzid|C&)z@ogG4g5@?Cqi`nU%N+ndCcF1~&ChUP zd@GuQ_mAgf%(rw%8sQdalfq$r4V?-H0rfv)fdDB>fWs$Pl=Z06I6wkuaAhv7hunqK zcpQsp+GI?lif)W|Yu7%`fNgQNFb@(8e-bWg-93hbuVwbw-K`h^X2f*Qx+Yqg!5#KS zW8a&HCzF!(4>}ZVciV0v^Q{3s^hsk_8dvn8VxM!m!uFS!^aoPZb7PUfd1dRenS7IuqQp51FNK%Ko{vJSCF#rq< zs>GIWAET9=d@<11x%$JK{?S{bb)n`NRdi9KXl^l8htO<_KdM*@) zE|i#Tjs*jaC0~+c1K{c-u(KG#MYatBBcPR89b-7Uz)KDo1gH_VEkEP3JpN73wPtnG z+t>YaLtbqIS>Kcx$p+L?oscZcnlebxG>oUwMdiC8z*6n`16xNypz_9gltO}}3BOM# z?5r4VovHob&^+;&?HNseLPg;r)t;-Wsj$dg1S1!>?miRDMQ4no6swr9!$hqS58L>* zmq5m?Pr9Mo!TEd8-xj`n@jqu19{!MdTTX{=IWEV}4BerxrhJu4Aro%a5V;@%B?_yI z?JZ!Im}np~W+VaDJDY7DxP>ab_};>E>+#7BpDp^!P4QDOK5I<4QBSH9GIqfQvaN2k z<<`mY@4gBi>~sniH@D4rtJkh)gx~7)$=rrZZA6%t;q07v&{8fnX5u~|q6?VFIx;RBYctZ9r=!kE3 z?=*Dq?+Nefklm3E?IGIwl;E@#9Xkd@v_Qv07hOnA>zRWb~3 zby{Y2%)d0;#KYf%Rtf7aV2h@8Ac>o3*3u>L%%y-@)CRbGbx8Sdiw?i+;E_rc{Yoe2 zjBY)`P8Qd&o3hTmw+peMJdDb00?6UG!(FVsy?gmL?V(=b%7Z5_V#evdO)KqeFTAC~ zXV(uhT*A6@(r!bZPSUFM#=YyEWh;8R=|}XRKP8W?Th5*F{-C*wTmFS&KnrW)S8YVg zOnO3qav$X_GP8Vtld=19(9y*Ju48|``umBl|C3s$)Em;aS%)MDm|~*6Uvmb)bS8&m zp7_xcolCaGPI#bqz|fJBUmnt&As`3+BG?l;t(X1jlNMZz!A#uV<^(p1i?_dEWm00LM-zSxD;c8Q#gEfU;$LAws?7Y5h!2S!BHjn}6P?ph*1ymH z^>oqh%~5b>sfR=j5i{CwA9=t*Q_uzwg+HL<-q9}YXMtl5aApT+c%l_1?l8{TS+BPP zpy81f^TPmd4waN#*jHjQ9ykHyTF+fSl0z~+!AN2EbV4&hK+G6_Kx4R4c-Ig2m-zI~ zm5_^6G&l^B)&*}<9(bXe*Kg_{?q#N%paoK0^iMyCnLHT)WKZ0`l=L@ok$yM#HM@gD zh!#FtA>Cps{P@;CcZe&bAJGE{6O5+gaa_##-&2T>p-{ELo7I4%&yRBv5r$=nz|q|h zLOwd=moP+xDFN^QNHua#-f>95oIQcd)hB9)#^epTrNAQ4f0ZyHqkztf!{0u@CeT7{ z@?e;oWqECbMsitxvCJW<^Sgo3GFP@Qx}kT0dKOd}_4dN`3mo$D)&!myPc!6THhZ$6 z7i(BQ(HN^4gA0RWpWQ#aGY6I>AYYP1wLO8e!*QB$<_-9Vq-oEPZ_>rYnN-+kURzA;O9uQY+g7I|6JqOgn-LgcCnjOz;Dc7jDDa}6+)8DEoe{)W^WKSz( z&2OqH70Ka@gbOv-|7C_*?%uQAf_Q9Ag(*<2W!5*=Zga#BaLw z#XHmXy~r)v)i{_I;SP;qw;Hhgx%a~C;29-=(|i&D9I>rz{>@|qis7#~Nuwci5vv;^ z;=mEjl0b`ghnEZy_j1~IHoXF>slj0dtHN%nm(6!heqJTmqwW9IzJv*LKbL@v|7cE= zA%u!_;J6|yfp<=)9yrz~O}78@9{`E5s6W7I{U8*I zy!sVnX@G9$Z7}g)HIjGBd+w07Xfy)z7#0Dq*AQz8=Tw!0F3oL)H|+P@HirUt=T0=V zDF+W3$R+6lXMOBNu)zY>So$<4Zz#d!cnvUZAdRQ~lI}=My7JdI)YkRJ5I#-~%(|Cg z)dBt@aNCj~ol&tBr!4myv;vBY?sqn{9(UY6vtXUpdFUVD4eNH1iG|177WJ4CK!OS3 z3)lmGK`5pqz=QUeK}@6wx5Q87w?2g@{Co;jQ??0HXNEGtp_iLs3kO%Xz6Ouxol+9A z-4a(`JKXe9nDYz7to<+t%d8G>`+Ffja_@cOiEr>Y^z82WtEc;i)=utz@tq=bakun+ z;Y;s4-CrJAx%j2S4rggUYbJInudrR9EZ1tazr4hLH~>}uBqgBM(mJN$hwK&8r_Ak% zFhtk(=EvmmH@&ZJxY$CxZ$6e*L-EBo_Y}e<(BI$%@5sRxPi|u;vR(5&TE%IVx-X883OC{QV zXA-A^5~gJ{zV5TI`uPU+XOQlpECDq&(dPZcDgoo=zrW6 zl0xacR#>K^rkSY3&6dpP)67!(Rbd+1IB+6j>sr2BX0PB{a*ETe|ChJO+_fa4{+3{b zQ_0$rvD<;rtnca?!0I#k6#<&geWVn~FJM(c3Vs>5sLSX~gBMx!*ZH`M-Zz<@HF*qW zZk@ax(V87m`D;*;f7{&iK)NX96dwZ7!|S@6?`oEq@Uw`w%-5BOkU~_{Lc~{u%bx5O z{$K(*_Y^cKp%t&+)|EYJuIt2Ml?~9}E#>Tg|xC4Sj6Ei?z=Q;6m4Izo8B+FOc=Z^=~zp zas{PNsR<_t)vL9asey=P<|w^#Pm zFep^RuYMQUbWW~(0uYinbts!Ge5_^YGXU=YF=ou?U9$opR8W5d5L$+{m#G0;?B~aM z0zwL=4kf^94{L`M;L>R7)0ntIG~yi>K86|slsUeu4*-SYV}w-zAptor47g0+M-{w# zBW5hDUKi^AvwFvE&10y~fKY&}7pTnsU41EdDXotKFS+&efK0iOPvb!nzz}w3a|!OP%moE4#?pyhx%lI5qLCZ1+GeoA%T8d%antT@yeEe1^p|kpNL{o z^SPI0+dVl-EXOs$1gW+2f+0ukI2;x=U&PYL+A@mLzD zgKXvS1$c-CG5{K@3nckixMShtu!@}|TgKRX0M6kH>Yc!?OkzBgK`HC;>)=OhjPRR9 zY?@F#pNv-q5E<6noh&e znOa|li=6tQ{Kze7<+HPx@FVx7l82C z+xkGj9F&<4N5}q{3|HVGrm$W#oCfZp#gFO&wO#co0kx&=)Hf!#-U^hu>SGD+ToN!3>SS#MLs#w!9`CeU7X z9*`nfISd2K*d45zIpekYu3C*j*=^`a7B!s&YLo}evs zS+82av_=!32~gND#sl1IjNjS?FbPpwKascrmN{al%(wG)`dWIYueVF;8K6`_OohwXZA3|ZQq(5s_IAt|m`Oyzj@$GYVbGsOejFIgb(wNbh%fG- znK(acI+5e{i=8@;+NpE))g8AFx7UJ}9p0&PLw*#CZwprRm{l`X2i|X%@yY^%6n5Pw z0(7?`CIc)mF>8l-@a`p_Fgh3{uZkV7SEiKfC?lZbr=LB1zNh2>4=)`t!n$<)EBs{g zY-A$Qpy$cY{Qa#g~;+^R@Q#?w6OAw`81zQ7j&Wz@C@ATzK}MCTryTm zyG-{JKS>IDQc8$&`L^<5ZYsa_TwqI^lW}%EGOSvYT((sfj>$J@wl7cE6gz_;wUG_q zC01Gsq_rM_OtFp45J8(?==*t9?~(j48(9(vlN&$19t`fTycFYE&>(XUl{uZYHGVG% z2m4fk^=P|&p*&XLZt$hx2L>bET}Npl&%e@_dDt-XJVF8=lNM68c6fbZ%GajFu!*3T zLa>?^5OVJuChob3^t_2Ika}3qVDeAm$@V&7Qcfk>H-Uc3axiQnYLnSyd^Xb7XSGGd zM8AU=R-FE8lV_9p&Y=0Tdo!e>04jj&ym&jbiE#fbxdF;RyxRv-@5XAuol{qf297(&PQXTuf%d@&<`-L;^C&RqtP(d1(Kj@z1Ce?cEx97scq~ zjO;`p7qM|-uI=rHJ+JOcLkQTL4E*|0P7pSZ1AFE}1r(!u`i-a94HXHO+~2QqN`NX` zggsb<#U-w1g}d1y?mOg<1WpHPCfM|@9%O}CAA1kMMI+gcNM#H7FrJK)R%K4q3|C-p zGXqwAQfvM?gMlQPA)*kAtY?uzA82oqnTs!(+H#L$TaTRcxl*+>#k_Vzju?!>>BlzB z8EkJdl$4GGCMIuO?`PBvzXK@@X8MC1Q!xTBdTm zCs_z|2gq)+`KT)-27m(KRqveyW!zY!^%te0%}{(1rq_qB^8S&@1`@g z4{KOG^H`3jd=ZqSr<5%2`K(f)%*_rdW|xZ_EFIP}_QVKW#h|*hOZseQ&Fc8?AzWU) za+nt7n{q00i3;3J?{1jRUaG`6G<^X6s`y|#eWrY{HK%UtldhtvFpc%3lkB_73R}Xp z$I?8qE_bL2!7H}+Sz;}}D5?x9uqm3(sEw>s#HyNDRh!&EWp@c`&G2O;PY^(74<3c0 zu5M30aBR|V{pj^&_;8p;$SLx2$;yk-x*n{SN@}MrQcSsN`#2(Oj}1{^ z_%hi(+a#FKB7JaqKJ7uE==?plniFYz9Ajwk7uHz8sd`sy!W1^FF0>7)Fb|z5446ZUu<*{tvF*hvj@kbF${eK z6G&5gPGlbv%Q(F5OKBrkH|`d!>m6Uh5ew%wtun|uVlS<3SFl#Y5(NsG4z)u&V3JIi zhskEnZYUPBRxjoqAM5o`-l)u+=2bUD^NEYGH+x7ee6fw^2nyLF4^ExKK%j-E8`c{+ z%~UEO(cDBPnXHkfq8~^`0u^eMdRws(Grdz)fW5=gg7ydzg$1(*jk(;(2D2jG!zFpS zHq>j)5b-3R*3$amD*W_VJCU{95-CAg2P+CCGL0kt#cl}RtZz4%=BO<21k>}j%mj~m z81|=Jl;o|c7eIH?QY5Wcs+4v4I`ZEj<7Mp(OIJPnPWd39?zd0Bnv6b67| zGxqg?nyIO0p<>8HEUHmoRBdAI2B+hhml1G?L9+s6YvWn*Dgs)(;FrGBm|!?hKYmuU z_w|K$BY{3}spu5HriG9Fr@S>jS74iCssLEZZgcZJ4IzXV0Kdu)Xodi=R64!Mgk>Te zwLhjw-fe<9-8AHz2>K156wEX1X~8;bM$=io|JEh0 zN->2rV%W*|pu=Z{NZf8D=^u<)BIULJxVc0?RsM5Ypu9XbEwEhn;&&h8?C14HD=;8VAEL#I$D;eVoCtnaDj5y94F<$8 zGl~(o`rWt<`Z?1-;d$c+(SxdM&twR37lYFJF6ofK1EB?~Y)8*>8cbY{fk2FmnbWJO zi=At!*80RQo&x{f9nlqj?KkVUfYV&mDzPiH77?btK@7NOBiEP^QJo+iOAwGeR|t$h z@sZBx{kfwDPzL0T_GHZ`2DVYuw!r!Z1*adj8*;Ccdk_1h8MNm7Sbh$m)f)Fu=@-Bg zcMGOUfkb5H4;bH746#!iDwuFuQG#f>wY(dbTdniU>QMk<{9}Jc8@|~G;szsp{mOde zaTxeRLBTTUvi>$b4ZB}BThUSb{XFF9lrC{RpIk{OHen(Cz&WF_MONG(C_h6tY(QSe z-WMXP=k;PIP^_H+M%jvgCL*Q$8U^Vvkji`jOq#oB-8ZttVEZ2vr*KT#$BPf1PB&~M zJ1!aB-C+fE?|LKJ?T!c}2g}JJ(NLstz9mpJQCY7RrSRUd#n>|B+Rh}KVG9fN*$a{f+C@Z#K8{yG7OkbWy`AeFQFJx z60BPa@9zV(=y97$tDzG>C~^TJ;2+;XoF)xSFpsD?hDZF{%!FVGo+kBh`0+5Pe$~>wqCQWJj_v@% z%T)uLh_wK~Ul-ji#G0lhGNYW%8QK;=9C(58atRj>YBfOr5Aae}g%imc=Yia;-=$iO05q8mZ+Q03Ec?Q`-l0dwMaf@72 zCeHTb?;S>El8IV!Mu+Cc+Kn1d$@=WzlfCa6M60=PV;q}4808+37A4L^cf$CcXpcc`@wfbmX-LC-P}Rrj#(IucoVmwyCDBgw|LPk}k>^h4DwIw`@AqTe=g z?e8$kb}l^pl{X+=kj@LdFuOvOLr=^|fVijW%d9FBmqkaV zK*0lOIp)VlN>?JTVZlwTWzj|I6QKBhHTRg5=<2(;1}F6|x!gV1e=% zT7{MxGutDwbeqS8ZMZF#A8Vv81$+92mz5zR5_%6w!7Xh1oO55(aJHJMxd_8cLJ|+1 zTkL5cEms*+LIOu1Ki_jM#jF%bkvp`Z{?I5M%B6UOpWmtG_$fMdihkvGi<4vK(zTj+ zy4Ks_pbjlqGjIyQl1??>m5bc5MaIJ*m@w9Qa!n6Bm~jGUD^`7U#Gs{*`8X0}=Rq*wAU_@`>>6RKC!w z3p~@u&O^_{S7G(l3)ih42*MI0z9=Y8VV{|B=NpzTg^Qwc@?7+A2>BlRoneldA@BXYPuS6k5 zNyVRr;nvSjye120qnuyB58mH?78uEFrT#C5={u<3w^v7)4ryoBzGswF{iD~cR7#MyV+*yO7r*{GKk?L^602FCa+ z6`uOaZ9;k$_@}Xd*pf7}qKH+3=WKa|Z)QhwrrQ@SzT1~6^GAn<^fj#;Zw5I;Z!CSb z33`1W({M*;X28rE_NC&r_zk8;x_6T#V)Jlb9*l-m?1h~Ej&xrx64RY$9$QEbG5(=@ z0irP+JoXiPak|WSJ{*gbNjy65Z(p>(Tz_+Yex313k!+H7p}JC07k4|`X9>CNW95d? zzDca3VCJs1dGn>xRo$$C)lZvs`Y?QFXyngFXW^%^N-Q;?52dD1Jl%{_P#udYGrUpl z!OiSr4Q;{P_Wo>26F_xl778@7^5pp`_vGV)b zi2KT=qJ|G`LTsA`<2yIJY}T&tAMv(YJ&r?JykyX;haSNw%0d^T1Jqq%b>?5?O^lfI#H`2L5(qWy;c`V#$S z=6%ZEDoRtydp$bu4tzc$uX>@V>g1SUW>|WLG2C2Ft!Msjy7{O|!BMe?nv=WSA|kkr z-{+J|jeYv~l!x~D$nXugxq020U(>hWYh?B8h8Ml9fc!Gz=@#_9l3!h9QF)gC+q?rJ zi4H<2b|LLr(NVK{P$;iz0kf=k}tadE>Hb6e?b=l2FG6~J=E(XxJ}9P9`Sw^T+o4f zCq=*c*r<`of*akJc{BI3%n^>pymWswcHQXj*j^#`2QN?$;OBx)5_b8@Ug2@;eIt6> z;h&<46zgFgVpclki^!qnD}Eqn?* zwvky=^kddBB2Rp8fkRSZM7B#U<@PDh4hY0uiS@Jxsg1x=wjN_rVtGPC)37AF;jtjg zejWLFkzeB-3Ny|NAF}fuFWbK!7$wvMJCR?e+-$!bbR=tirWN%|%oSN%`K!(^ERAoC Wrr;yR78;8LrH>4?;;{fi>OE^3erPj zp^6ZY5^0Il009Do5Rwr1_6BF}`}w}_INm?+&u2VzyVqXpTvs`-b6tDO?AY-?|GV<> z&#eKO-`5z-_Wl2y^}l!j8-f3g!2d?ze<0cW z4E$dCuX+EkY5&LDgx`7|ynn}zpg-pA?cGKDM8pJ+oWC%()R{^r07*mr#m`C9Xcf(^V-_Y9?=^U!s2 z%b$5?(DaMLQzILum=6XwJ0MEeZWUA9(*qjYs;cb!`A=0GYOXB74`_v1WK}94a$wox z-|pMJwlNWsX~pCijF<-$1YRL^$%Qj@kX7x$ZG?KWqQ)$eB#p>N=m-vUFDG&nob%~l z9qVkb8GOhwbRK2Rl_P_$z~qYrz8E^+F;L#WeRIUf`D@`s&7hZ#jkdUrSafiXK|q*L zy_x#uyDW|L^@-5|%o`r5;h^L<;$EOuxUPjcLA+>*HOo-e1Y&>ix*ZkqUggb4R( z&$(u_kmdpoHK6R)AdOI5bm2IruNGT9hcRIUM3Nf@7y;b~-%&RHK1teyvZq{n_@-tB zCdtk@`&LnB@~%sFawrX^)!VrCVk(z7RU@qZ!ld z1LfpX4NBED@6G0|oiB0c#dp>(;K!afY$i-KI8juW1-zVPw>ofLhe@H-7+ z$Z+;)@2scv-YSvsLn4UWS?-jfn?}RNgvyU*y+<_M zxN$hOb_S|U^~yjE%7_r^4<#d${8Ua3<9q+m)xOv1dIiZ(pUO~3^ z7lJ6&cK$j-PDE=4qyOra8sYG0B(g68xoXwpG=3Q!`|vzBu&oK;mv6*H$Y_vDr97>7 zK#>5WH{A_0FqFQ2x6|uQFwCIo0{N>-MHa~?I{fc znbB(A;zPavrZ{C?OubNpTF8ISP5BJ<+QN>yG4Q<}HG66N1-2Hofz>REr2nE6EDc`c zLeK&xCh%5Wc3n8h1eK2hT#7&08O_@y?Lf>smoP( ziUYZ2|3I`ylhH#>y{1sI8)}kBNK%>3M86rNOo#D?sg`-(_u+@`hls4ky75#cCB4-( zq=)$qhY<2!_UbNMF+(U44?H4Tpl=f6eXSy8>E~Ty}pFf+qh5g@QHjhqZ7W<`%QoF?RV=FIu{v3-h?da zMT#Q!V$39v>!UOb+EqB)^;HwgYCrl2O)JIaLfLAOgty+uZ+CN$vsk-)owVecOtv7Vlt&wBdrnOMp~Rv3Azv~(wICL0G- zUAC_=`))H{>Vl|4B{ux!SjL<~sU>O0~>545FyrN&J8#6C{QAyJoAMEQoq zWNM)~hJRqOqtzwJOI$Y;5l}LEf&IFFwtyl^Zkp1HruN-^e+-MQ zZV#6IQD{zIEK;V3H%3jkqFH~mya~Iv9GuO55>-D{Q>Lmli!3jfB9tdhON7#D3DRP+ z{dK6%e;6o5hAahGxsNoK3^OA$ z3};-qZ6DjTyFS=*F`K=UOOd_|*Sfzkh|-lRK}}=}3cvP*`|Te71NKSG`~UCq!j=z1 zV|(j`ZXPDK*f>$SJu0l|h!QpE!ZmARcROz^W5hF+figz7;gXFKLP$jZ72=(cu-73y zaL>gDdV4RqQ5x)5#Orj>>4)jq&7N0`vpwvhSyNiilbdhc-U)ZV*Z)O*I6a@Za_|jN z&|qIk^$VhAX;`KB4@};rVOL7S`4vx`992nqR*dP<#V(ma5T0G9zhjm+a^<~rNa7Bo z9%^8tzf(>bH&ChjLdeo?Ps58eJN$;rZA+u;4ZY0Y0{iko%o`AG23B4fO19E1mJP6Y zVy^u12C(BP^i}GGWoTdKls0mpbhg1{WuaaVG<8GX-a5POf^P}0MJY+-me;_wVq&O~ z7iLym>Opc#bA2_d_o+#$jl#7xj7YbTA2S;W;9@mrbTBUrSn@})Ds7gcFwFZFVX#*}r49aU5sR-i$gm~Tf9SjFBXh8g1q7`-gb+zsfZXQ%xt^+dZ z{)YzQdOdIXi*jVmFUKYAj8kE}yVqCc<>gVDx}P0dkS>s{I}d^~-ZDSQQJgi-#;gs% z60nnx;Rybn`%&HFRgf|Mo#wcGI9>3%skO1lWO5Gfq-rhn4kGxI4+pU{y>w5AD=!MQ z2Av1*rT2K9Z#=<8JU2==)Vup@CQQoiefDdtf=iHwm3(7a;xYdn&J z8b9f#oOIJP0VcOuBc&R)K-z=s!RzHn*z_<)sqqv0?IJM_n96>(XbkSQ(mqmc46H!d zG*dtbcEaLw5FuL6W#)Jps^kqm4*c6trSY-rGP{cd}hbO<-g2UD4 zg0jJlP=drqIp9XR=Yt%<0q1>QCZHR)z6>1%=UD_%z7p$Nf663)MmxAg+EXx`xP&`_)qsSJOSd3Q79eKfk1NqT!Rb zxd2Z5W?a1x(U^DPSl8c!B;^XR8QkA&9 zz%&r8BdQfZ2&qwCkZc|Yh_j%B07L@d_s~hq&iqNlaV}!Vm!n&OFbdKIbKxmSd=AbV zil_$ZO${6k0LjC)wI%zp?U6?7m&{JQ#bK#+G zmnWay>e;Ip#?m5eq$PkyDt{p0FYD}Y%rhPMUY|P>UspeohobIDn>?RFhc*_##`|Bv zx-p+8^Z+q%DzG@;$NrcwEHMKJ;fejuA)$bzq~~7Zy-uxmn&?iYf$H55{*p-fcN}(a z;=J@EC^cBqmqb%Qo!YPFMHMkbL~1pE0K%wA6k6FRaXj3`uidA9mET=31 z!)XLX5Vn|dMF}Zv_wV-lBEg$aF%GwD73kL;qDFl*`i}-3W&`|GoL0V1Ha~m;_>dtk}dEc)ld4!Z!SN39FSoHay zbl-xZvf%Kx{oirB73>R#fRp&q-M|WupXcr^;4RuZBbh9K0z1DPy%hZYkLWQ=>=+pMynNxX}_a48mJ`|;3$i<$h z3OI{(hZz7R92TsVEw=Mm2!Or3ry-soM)(EB@I z+x;=JvoQ-t#Ej}~{SJwB;qW#gdI!Jl$<4L+up!8PPfM*g8`m=xwT$z8&2m>szS}xb z`x4syGDh}{?NrPkgHm1hEFSGW%+M7!E|RWAzE?)(d?F-P`}}OqaG|f1>&{FHOnlr8 zUnTibQ(mVl|5AHATS!rO8D(UnM1C?b-84YU`(Bap>_vvVwt=#dK+8aI6o6L0!??)W z>kj*K^IGJe&;{?R4^r?3wNwY8l%AX~(#6^b$x88*3l;c{c&FYlT;IURn@io!GE@xT z;cV?tEan#P#n{5O=kW(EKi*5|+wY!$7Ys`OddCr-^NFk_s?n^w3oKRdynjXYK_n;yAl;3Q`wLBM-5V28f)rt+B&}()<2``em zyK8(Tgu_p-(+s|I%U${OshYGj=zB$W&{1Hi;pjwxLxTft7Z)}$e{fxeB-BP2Fejwrls*gG-(qoVD(_sgJJe4iz56pGUu2$Dul-aWK`G3TuI47DVl#jB@3GZ{qvX z(2Hb=!wlPFtkOl+ALGam)76cX-3e@ad6vS~03jAxfkA$%A?-H8J#a8+zA2AQaxl@E zl_Vp64Ph9Mc1x4EKG~q{x%zSavsM1-8<13-fm%!jIrB`;$lJ!=d+pH&EqhmA_bZ%P zVBG2UdP>=SbezBqA96bs;VTb?SHk4Z54z$fC*je&15>?UJbl~(%8B(EaC%h_rdFcVp~^yq^X_dQF3;ZHTZ`=!b3#c z;{<%RBZO;Kq*Zz+MS3Wp&@Uu?M9HLH6MGR~y&SN|8SkuC-9I2`9yvid02O>5i=SpV zfBK|JBrGHJm*!KqxR?9D@8nKp5_<2~mz)fxGm9RcAK;|F;ixq5=@E+_6;m=hfIoOf zu0#0nnnsl^);3AjNa-@RQ}Nv7;Jqnju`JRie~RY11z0gld!JKf0qu}s9kc5Hr#`%5 zT2=M22}^E=*TzDZ2~WkVB(b|c!P*>NvNO9Tp|wa?=i>RP-N-wuXU#<&8H_*+3otNK zF*!S4H}>9d&ySq{j&ei%IgUi}b8NP9W%4U^J6Gu*7nN*N9rzAG==b$^X1Z~`qV28! zN!IW05Vi>Ft!fU^&83T8Sp_b`fOIi+>^^EUh;c3 z`7csf&PH?Z(n3c5;=*#GZ(-5Lu^o-o>2OmkXW?95mv^)VYoJ6eJJOXwfqU7=kQob& zUF>j`dUKzCp*otCXEIb%*}4=GSlxYj_X7mv+(*ERqt3T3Cr>2Q2=;ttt!M4&raS7B z00Cj_D8-w!5ex$Y`Q@VT>yS=KE`O6&hc@2~$3o0cAE}y_Q=jr(s=EmzidK>j2SinYhF7Rj< z^mlsf%<+^QOOpH-p?uQ=TOAvA0L!k&tS0zGAi%amA9NG2bJO_9{)z8Gf(jcKW*7&&x@5{ zjTxHUS`{@Xc*NyTR`1PdR-e|D+R&77b;rj)r%A(bvx1F$-3Z%9-m;IF#QlQQfIxQ=Et|-3? zXO5LBFzjlt(3}#Wsh@?}(o#<~sVJ8_`5}eQw^i{w{E5n6A+<|-pCGRpUQ=WgVWtD} zc?vyl$kAq*s67NyiC%IS)s?EQ1uBg~)GRcGY!OrqdeYM7=l{HA3*CHYoFhyug8RsyO%N zQ`NB>S9Vh>(p{fbiI?IXc0Z&(9I{SEcp69z8!FtxA#_M4e?OlcdhUu%_`rog+?D9w z9pQDuGVF3nU-1v|S!E|AiFy6`c}&weZ2trc@L4uJL1&6 zbBl&lTRMwr>8o}vTg+#im)c-u(+1^i!|A4A+TKJ2qC zfC}bX)*sR)T2kNFQX!YnD02Y;z#B<6D`;zqSDh}9WL_j(*rtkCW9u<>lva^xRd`g=M0gK^bc+`i}YB8A>p&n z6CM9BvjWmFE3U{mQEINL-NFc4W8KU{P+0{iW7K$qs|>dgg~`Q*omc$`VYhWJ1_U;? z)Dbw`CDPl!zEV7BIb$brpBEfM=heHgWE02V%V*`jX<>MOJNW zJ=O`4`JC~2g*gQP7eflkM-Q(BmQ}%*SR1FcGYUGBw3xj!@L;syH??F?7dLhKPKH#j z?L++Xh;(dFJh_uXawC_F-VW9GLn&P9Cdg1HDNUU@gkOOl4W(n+DL-}eUWKfW*bovv z2z?SJ_+-RT_l>cSuW8Ibua!7xKN~PF;-WXyd21Xx7vjr5$$3yH;EdK^zAZkZ!%XwO z6_DS*_q_@U*$z`&LY!R&&ZVMv@9^IttEH=SrZ#_Y1}I#LYv%}lG1M0yu0_!Jk4IJ3 zB9rv>G%^RlcSrv-GFrLK(oMDVOP9GvbEL+#dGybK{Zs}sP!Le9+~kudPNse> zeZl9z2uj}dZ7_K@U_TJL^?~IkHb)=e_3x_w@b?4S+SVZz%i(iOeX{4;HS6xYFVVcY z$imM>XN0tg8MPWAG=q;2#>onx_V#6{`(Nygu~il;F(*1cQlV*-n&194v?yI_j%|pF zot=wGHP7x$XWV>sy6!q4OIvawR(0VVP#PKvAML8 zsJj=XqW=LdUlH0WL#Fos2iU#l*1y2br;hW#e*Lcc>wiw@!t?<{dVRodG_|MI{mC7> zWYGV{%oX^~ounv|3qk`ps7{zcDm(aFKyflafzMxR{-Z#+uWKhyp0nz8lt0}ka*k6J z?=Vo@x|CD%MauOP0viQk2Fh`XG~-7wEq^*Wr000u{&iFzO|O+$)6pH9upAQgIlU!I z!2sx2g4=J!z-w)>*httHlp9xC*pz-p+ zcMmg=Yw*VEFlBi38sbuts^l2kuJrrruMibCT0kvsH zZVx?uRmiURcU5xu7D&!t^eg#BOb*PZUwXaI5l~=QVg~}RQ;HW-Iodc-{OZ%>9T9@wI zxe4?wg#rm4Nd~ADQq{L|Wt#zb=LpJRF&#`9l1k&>?0n&9^mUCH1JLSY@K%3D_`vWd z6he4fLhSLM?q~fm4e!|9QK7nww$xAdGYC9N+l*a&??B<gNepp9b z>$qp-M4S%4ic93`oW2TlZkmJB4R{xXr_p^t?YS!d_VYE~N>Q0m_j9{y2y&HJO&d;|7Ns_38Q&B4$? z!}@o95egUK0>|Yt{%0-d7`XaDS@r#7${A9pLz}D2bD+Hp-&4DOZmVJuiT%=>M}2_C zVY{b>9!Qbi&KavQplErJ-9Jb75}9ykxv0GEg;Ukqr56#Q|2tS64ydiEfoHQ!;xIg#qj?J zGj5L_r@;mVH2=&U?ZRlP?mwL{bK|0+!yS=uMQflrmaxs9B z@2)s#>#>0nw~u?*#k4a&2~mZQO@uH^QaJ4?i~(Tx1M-BE0|BwQ)#YUrfDTE2dOXVi((TqrIITQ+-N^T zBkcE=iCD(n9SaaxQi-VuzYnPtOLg=CbjM(GJ<;`98EkfYZH+-N$qb(SaNG=78&9ny z_YT~p1>u3sq?hVm1_7TR&Z?qx^pnGcKM$Gp1m8>WnD)%CUpGRp1yST+c@W0OW>M##eY01?^fMZc3DowxL8wwK98^*qdt_pL zQ+Lkg+<^u6HTr$cJ2@+Xr2@@2xqoqI|3Yd`y6xW0anr;337!@Fd}N_IwIb-Xy}8^1 zY<%u|L{V=1fe6~&?8QolXO+@!**kWUhzJD|fT^WB)@#GPuelHGLlB3c6r-&(esC9b z`Usxey?`TEoWi6ZDGb8{1T_4Gk9d$k_u8ecgZOw^3|MY%s4>HPTC{ zU9RqJT@)*itN6>!i6C=Cld*(1a8BkJZ=aJ5)T^CYx%9m}a9t@E1XcjP)$R-21$QG0 zki7Of&G`S@SVR8ArdE(l5{&=*gp`*kk3HhO2^C;X43!-{N#3k~V7@Q)4Z%Eke`$1b zA9w`U!}?fo;WeF5?ciFqAIxu|a;7W4`y@o8$DVDL5zAi5*9Q6eK+)dm)z8x7{_o7tbHYLse20$z{(SM% z1g-`r0qVUKMXhujieyVYZAjzV$j}U(<_>llZj*XJVONqI44Bm|GVwOQy8${!bWyCC z0Na>X{x&G|pFDG6t?yA({lh#9Bs%l@>wtGV)ce>tXg*y#`a94N+i346N~FG_x8d}S z2fSYaeVY@OJx!%Xgz~WZo>H(zw9d^JOG+vY^6g!>Cf$UXsaFp3&Ez%mq0xaJ`?YwZEYK~u(?5Ws7+76)=y2W;OU`MLULTMf4! zY5l14GpEq4x2r_p`;KZWp+*<%I;FQ51!rn@%8dVhNgdPa%ZyOEy9WHE7wW1(*t)BP zu(rc^D)5@1`v4(s0`@GGcEyzRD|}!pgawzTuJ(ed%hq*8h?`@ZN48!OjyD7E5L4}- zR~6B}U!}_|8*Z&hMnR(;HuutLE$CIBlyUk-qm-ODnjZWoXmp=%Y1uzyog!(y`Hm_A z8=|V@K9*hzJh2{Zzyr=D0H^YISahSPg4d7V0uWGS|LdqT4x7w-QeswE%g$;dC@9JSp_7@{_yZk{x zEUmL{{Yyo1W<2frb~v;H73R;iuwRWclMAxGm?qr&*x3iY6mr`=jhKq)#m?2w z69A5~vI+OmE&e7E+z?n^c(#7%+<}u@vF&$es%q&w=o|gZiHrlwz3zx8Ft~4e_Q(PK z5z$MauR6S+EDNIU=EwVgnQ%dT!+)d%_JUd!3hQRUbpfrK>N@+k4wNb4T=%d08F5dn zohe&3La(}l-#l2T%GlZA^AwucmW{sVjIRLNa|6{UJtbmWA2Dh7O7WJz#p)eR0T=!D z{bi}GR~Zh;vbtxMt%Z1{rSj}j8gg_0S}fzxvF(35%;tvMUC(auvUTC_x?!|4PNU%P zAs3Zk)3LIl^aF7U3dsizy`NScH~jHdO7;&y)mIjcCj5=d2W*XGWV$3>`I`c74NKP9 z+DY^URML%Z*?S1SU3<8&38OMkZPKXOGvDvM`{*?F^?L(jlbz#-B(HD!d8znWK0d}i z!5LCM{sc0mX<3!b`Q0s>Aa2H_F}nLLUSt@ir$Lc%Bq}n-t3W#A(T2A_Hv6RWV18bbb6oyapQSOI#(KAj zl;`$_#3G{Cbpa0QXyGHaaMOH9EdJU~&Femyye7O^>}yu5k8dZA7Cg!oJSM!uvT+o3QFZT%Lxj7) zY_sdb_r6}+$p~zk*BeGD0po(zZQi|~I<5`70^_iZu_$nnT~p z$H4x&=Y4GXXoSckHL8Nuoxq~(yqZa1Cr|8{4q)lIp$4Fksv4q?jkLWeZIo{!2akgH-WF5ikFTi8_f*J?#abArO=&EOv zDF`Sm>q-7A4BPuDK;API*wMD6rVk90iO+fPV(n83x)M891R585Nb@s*M3?n!4+^s{ zcto)t)&a0IUu`>Fxb1M$w!>W84l4o!{_6M+yUc%Y&)!IJ*)yehDDB90QM||$6W@Y_RD&{25e@(AFE2-%7DCJH4%)2 zHoy1FZ1I~NwViIw?R4uGY^PhH zX`Yn{2C84)vjRXHdR3zi`Ul-6xc=LkXW$;1O{c&_e%6@xZE3E1y(w6-=<2CIV6%VeXR&h9gYIvl2u#Z{h{RZyM(YN*#^d_%5x^0~eS1tFSpXJ{Q5! zqfMqD7Vi3RRsL4CeYUebbZD!x^|!Olz{R;KgVQXxc^=v{5B~w~v6)|E3I=t5oUI3t z^^Jm><6zM2w`)6kyS7o=wavNZW4kej=2rJMm-Ea9EDycp(+)-vdWZn(YR-*eD{!%; zk01ys(&Vw33g&O4s3s8{@QSkqr)`wgoCPi4zwI_&t_&0^{rnnx-E}|M zYSr>hP|=zrykmB?^X%qjAb+yYO|>JAJ5EL=TN`#veEs~L5qUt?t6ly*u51Sd-q$$Y z@rC`yZ13RXH_dGgJZwtiYB^QcL8ugJdSC>PC@3MhIdLGekk>snhm^`nQv{?|e=Ik0 zh8_EYy_i%EO+lTN&8TZ7+!?DjUU!g3L^RaZhzc$7Q(;-l^^-6x)TQ98Ey}Nn%Ci)D zaKt{Fsi&_ZH#%GuS=C<37%8+!f_;;JZAA1gfT9SoiQaa?q>(-s$rGwy9Bgt5@Znb2 zYJ*X7<`GVrem5F#eo^8MT2V3D2;RunzsbyYT|al8caWuLJ6mBiOS@OczA(kI8cFSZ zVCzz!rIY;Vk~UF5@EX-4noSRGSld%EHl|!<%pZqm1gUq|CbQ`mJ$_P;a#-tXoVqoc z?@eln4BfL#d!{W(Nv-!)DV~-GalVYG@_wfMl4AM(v3O*ayvvyfR^OJ&Leh%yIyi`R zYt5Y-c9^TwrTH-$BIX+-1IlK;Gsaz(V$y?ma_WNCYa)%uIoJ!#5xV?uP@}W9-gPHe z4%y6vU7mc3O+t##&Yxu+OXi##npBR6e(sAIcMwomF46=b-e`d~=j2|KXe6_*rcq;Y zD>TzYWWE@cKsH^WjJ>Iv4mdz%oSD?D>|=2As=H8Vl7;vR!0Zp3&&SOhNd`^&`#9{B zb3;wRt*35$TM~aMs$e!L&tYM1B}CrxS+avqbpp`dY57Fx8fbZ{*&>Ce3P9I}wnZi| z*moyk96SQobv3*S!hQ=O$pnJ-D-;&oYdK?T(UD`&;o08JeC>{pl!iWWU8*o1N2T(7kdjkx9GgYN=B zX!;lXoayEq)>~s7p_fIkjYHbQdxaYK#yD6jrWh-hDtyGXtKZpXw&MJ(p9UN4j&78F z79A2A18H@{bp;0VjOONvQj>~YQeZDAZ1R@TK?s;cQY2YRr0)Z6di^|ivGIT@*r5!H)5YP=ghaGj!%Y7%F2cR5&#=T>!Q^mn| z!!aiDB(3Cc=b&K}la{eZ1}9Yhr>V5z#X^jpj?!sJjlx=CiSEJxAEmvCdq&qUbA*(; zmNlFf^3#2s!J+-B&KmJ{o~Sli-?+vOSo-ehY+X_@{nPjjo}O$?x4Q$B&HN7~ob%iv z-1vlE;1Q1%MVrA9<>Kh*P&eJe!IxnHACnk~9^8EV(CWBH-c^bYb_ORXF%2K}Qecd0 zL0Y}D2f-3vOW(*-#hs^J2FHKoOfha(Uu!g#y3>L)w=^ z^Ja7W&4z$l><#7tD*cAKQ3JZ61C7sbGj|Ht|J!Ut$0m!mMLkPKsI9qEUo!)CvIbBR~_CiMgUsuW4R)Y z&N-$M?8)tsPCoNBNVa8&)n6bZM+J&lL`464T>Sn_*>7fWto(IEmFFTQ^$2Id8@om$ zLs7eNEi$-b0vnl|%jJPs#zyMaCRwV6dD=~Lc0^Xa$%9wti7M$U*i2MvuF;vA$|5&X z;>i?kT?r^^L4NlAOx^QDo-G|p>HEk%_HXH zb-ytby!F3V`QHE7st%~ndbBs7o5N~HC-1e06aGUD|^Xgs;`9*`hB!7mU3 zA$eKRwS#b=>>RZt6Qnmj=e)Q6Z6b*JbD%lkKY3TQsnPdC2!$B@ojdO$OY)Fyano%Q zY)V5SBnJR9^`Q6x<{DfgANaRC>n*2)AK)M@UFdjc2?MA)k(&98BsUHU4Kf+=2%d~6 zSpO7wQbF%UEhJX-z)-bGygIdh1HnzZ=Q}!E7vw`OI(Skne=;_P9cQ~bL0ZQs6{$AA zLKS3m1n4+Ec_-5_I1_U`UxO5XiX?D~WD+X`5pU1(>Qk8E1lBtSs%2J^R%nR2?=s)3 zWEo@&fV6+4j68Ny{C;)+%-U3 z`x#<$FNA2?9lRXt(aTjknpR)u2ytCP@zbw) zB(|{IYe<^IvIA&`Wy>W=sXYB-E^jiHZJKc%;fd+kK2}p1URi+gTCy~TZc*qDZ4aQ} zEXm4OL8@rZa+GOIe8bp@@82BO*U1X5uQR>qTjw;Q5sg>sLC3e89+x?{M4$0g*uySW z`CW1wi8t!Br+zjn4{HvcqsGg8%oc%=zdlWZA*~Ln6IcWWyU`wG^nknBZ8&!GR-f}S z@V~V3xph(plUa18AfO9b?h`ys?0{;ah=$X)lmFC-X6NY7TN`D#F1F-850lGG&&%4x zqG$4P*O3V1^(&y#!1bNU<1~&^5yTqa4=PP*Z95u|?*5n3R+F$Hg?}m}Y+UT)V$*kn zux~AUcj@o*usgZ%2Iv4med60632&{$e|hE7@e70Wpc_IXkiTg z?Sjm#k+%ORdDys}O|3kj@<#P;;HP)PB=(XY1dlOJz$o#eZ4ECp*ZvJFEUI+k)McQcp@#ny z^ktZ_k@AcyfP7r)tDNt404Ow%A_xI72nJweQDk$SX$r)2Zk${UXl*q%BHQ%LznCHVP*v$8K7^|H7tf_77oLj_q;6VMrC-BUxe!UN{lIsuW3{%Iy7VsS zh$&C>POyVQ# zU>K&x?|N)XQqQtsx6Rvlcx2eh{p;AghRCp~V%5?Az3ZSoz+X@%8K zgx0h*DJ8($EuKkC3d(?A0l&##b$B##<91)#==CtIjn*I2`?L#nS!k`j~4p|A+SLcXAaj%vT7eHUkJZst_?&pT?SDENS%M-4{6XqLg)#vgvbcb2KdZM^j#=B;?EYC{7|TAEd^dnK`@e zbH;T=d&V{eAF>pjx~6CK>Aj|~bN!gR3H+{-0{+A2nxzHnr`|D>5;6U{dQXIbQ&jH& z)8haR7Ek&bu{Sipr%Jw4gGha7LsCd*B0&Y^q>zl4>d&r=o8c54L{W36`l(v5OZ#(O z$J38+`r-P^Y>OrK&L#F;R)9~ERhCBuqA&ffyn;9uX2u8KvWOOn?7uCE{aoR;<;$~m~2|L1MmXtxz_en{NdwER$m zYakqPMX8qcS&RAI`jX>B#9n)?(mu&)B z{9YM0;c3q7;hYEyni@1%e&}4Lx10?8;hPBjLEOv>`Lw(L-Lqs17Ae(>4SC;Er|+tV z!aS7Nus;i%SY={IgLS!NgIhbTCEjc-4qJ1UFz?Z<@n9Dyy@f`^&L)!w5PcGO3cJX_ z!DKa^CXbZ(X}iCKw-fhLyvqkE(s6=7(M0d8UDi_~f1~NWl*iLtLdqm>w??);KL=$#IUWNYdAm?Gs;!+CLfejB&Sgr-5`6TW;t? zPf(R9lk>p3(ExIlh|0QNt5|bzqYAFiAe`vA#)PLlaC*H4uJg~4X?dfUPWT)qanEKy zDh`YLqt{Gn@H~AE+9F*V8(JB`jSaon=Sk9H!wXR^5THd&x?$^0j?BSB! z&inWE<@3~C%Xad3>B(Et1{ga@{O$>++A6zV$oy7spS8XpaeZo+{Z3Bdqg{o&#DuG$ zc4C)yrYp|uvDn#g`OjT{RfN=W)eY7Qv^86qo@oeu&-t-oSgxIRSSTaIBB1hfTLVHk z;B=9BpN3Q)vd7z6{qqB{ozDLLRSX6!V6|8Coh!wy;fcScEtDLYk$K9B8hKDX;Go;0 zV}SZWH~+7%oozlLQ{y!2IroaHa+~Md-}CORRvK6LmivkJTZ-W6x{42v5LH`zb%RgK z{~Si$doH5HXy!(6j?uxKL(_;dz{kh^I8{>5AQ6035J7n+tEnOK)=CeSYIh10Xk&)}C4K`DlpiVQz3 z<_U!c1QoVi-sx~;>1pHTRDVp+%qh=Dl-X1Je-wollI~c_bx$OBpQIK^)wvh!yn1v+ z$^MsRY~5h9)$1~;!-wZg73Ss zxYH}bxbURUfsc%zr`(?M&(1#H@I=3P7xgxR621Wu@G46W3U3_J$JUzpDhd7^=_XNa zi^89}?;{R%6DabrAvLBNyUV9ba-%|m+xxjx$uzNJ`H$;<^@{X-jo_A{SFSuA{PjKc zAaN}=&xz$~LBlFy@&St0|r0Vy$Ivnf)=}Xdv)$6)^NAI<# zXC}w8bf#_W6odsH==w4Xn&Q} z>eLq3zq!q=ItY|V=ZyVFtD>4;X0Lm4?BLuBcUW`GUDeqGL#kbbA$@}*(2b~D$ToJ^ JNRx8N{{sW?9@78- diff --git a/lab2CA.srcs/sources_1/new/instructionMemory.v b/lab2CA.srcs/sources_1/new/instructionMemory.v index e6210eb..58c6ac6 100644 --- a/lab2CA.srcs/sources_1/new/instructionMemory.v +++ b/lab2CA.srcs/sources_1/new/instructionMemory.v @@ -77,39 +77,38 @@ module instructionMemory( // Binary Search - - memory[0] <= 9'b000000000; + memory[0] <= 9'b000000000; memory[1] <= 9'b000000000; memory[2] <= 9'b000000000; memory[3] <= 9'b000000000; memory[4] <= 9'b000000000; - memory[5] <= 9'b000000000; - memory[6] <= 9'b011001011; //addi R1, 3 (N = 3) + memory[5] <= 9'b011001011; //addi R1, 3 (N = 3) + memory[6] <= 9'b011001011; //addi R1, 3 (N = 3) memory[7] <= 9'b011001011; //addi R1, 3 (N = 3) memory[8] <= 9'b011001011; //addi R1, 3 (N = 3) memory[9] <= 9'b011001011; //addi R1, 3 (N = 3) - memory[10] <= 9'b011001011; //addi R1, 3 (N = 3) - memory[11] <= 9'b011010010; //addi R2, 2 (inputAddr = 2) - memory[12] <= 9'b000111110; //lb R3, R3 - memory[13] <= 9'b101011010; //banks R3, 1 - memory[14] <= 9'b011001011; //addi R1, 3 (N = 3) - memory[15] <= 9'b101000000; //loop: banks R0, 0 - memory[16] <= 9'b011100010; //slt R0, R1 - memory[17] <= 9'b110000001; //beq R0, Exit - memory[18] <= 9'b100100001; //j Skip0 - memory[19] <= 9'b100101110; //Exit: j Loose - memory[20] <= 9'b010101000; //Skip0: add R2, R0 - memory[21] <= 9'b010101010; //add R2, R1 - memory[22] <= 9'b111110000; //sll R2 + memory[10] <= 9'b011011010; //addi R3, 2 (inputAddr = 2) + memory[11] <= 9'b000111110; //lb R3, R3 + memory[12] <= 9'b101011010; //banks R3, 1 + memory[13] <= 9'b011001011; //addi R1, 3 (N = 3) + memory[14] <= 9'b101000000; //loop: banks R0, 0 + memory[15] <= 9'b011100010; //slt R0, R1 + memory[16] <= 9'b110000001; //beq R0, Exit + memory[17] <= 9'b100100001; //j Skip0 + memory[18] <= 9'b100101111; //Exit: j Loose + memory[19] <= 9'b101000001; //Skip0: bankl R0, 0 + memory[20] <= 9'b010110000; //add R2, R0 + memory[21] <= 9'b010110010; //add R2, R1 + memory[22] <= 9'b111110001; //srl R2 memory[23] <= 9'b101011011; //bankl R3,1 memory[24] <= 9'b010111100; //add R3, R2 memory[25] <= 9'b101001100; //banks R1, 2 memory[26] <= 9'b000100110; //lb R0, R3 memory[27] <= 9'b010001000; //zero R1 - memory[28] <= 9'b011001010; //addi R1, 1 (numAddr = 1) + memory[28] <= 9'b011001001; //addi R1, 1 (numAddr = 1) memory[29] <= 9'b000101010; //lb R1, R1 memory[30] <= 9'b100100001; //j SkipU - memory[31] <= 9'b101110001; //j TransLoop + memory[31] <= 9'b101110010; //j TransLoop memory[32] <= 9'b101010110; //SkipU: banks R2, 3 memory[33] <= 9'b100100001; //j SkipD memory[34] <= 9'b100110111; //j TransLoose @@ -122,10 +121,10 @@ module instructionMemory( memory[41] <= 9'b010001000; //Skip1: zero R1 memory[42] <= 9'b010101100; //add R1, R2 memory[43] <= 9'b011100010; //slt R0, R1 - memory[44] <= 9'b110001001; //beq R1, Go2 + memory[44] <= 9'b110000001; //beq R0, Go2 memory[45] <= 9'b100100110; //j Skip2 memory[46] <= 9'b010000000; //Go2: zero R0 - memory[47] <= 9'b011000010; //addi R0, 1 + memory[47] <= 9'b011000001; //addi R0, 1 memory[48] <= 9'b101001111; //bankl R1,3 memory[49] <= 9'b010100010; //add R0, R1 memory[50] <= 9'b101001101; //bankl R1,2 diff --git a/lab2CA.xpr b/lab2CA.xpr index 9a80179..64c236e 100644 --- a/lab2CA.xpr +++ b/lab2CA.xpr @@ -31,7 +31,7 @@ xVH9hs%Sa~FJkpy-NWlCh3`6pG0dlb2m*pqn!K9Mn9Kd- zPP&4qWD@_ZKwG+*@8&0-D&#upyR15@9=a);!?Fuo{1GSarT}H*GKr<{D@Kg)$>dIN zvJ?QcMs4=LEVSUPrJUldWp6R2Iz@gU{O8M1&muC@3>E~0=4-Kt_`hFH&qAJ#B_bHus}t>Pi$x+3WAB0vWAWzHEmams;$cgNiJOG1j`l8PJ+Q zpa^g+q_cxZJvJ{k7lJ22S$>ojOen=9kH?tlf4#RAnLdQ`DAyxUaY!AN*W*i=2@8+0 za1yIho8THsFAS%?XG31rM8k*%<(C5o{RU2NttE}r$XydC#-Qa+zKv)blKexQ9*?%d z)Tql$Sf+=zL5Bt=xGxKP4$?bRto?^^oUwHAyDq-^9ZpRdvonik&>`bP{o=rH4EW34 zwPd!Zq$p{9cdMF|2T7Y&&2v`r9eWGqxHj!2IHwi~`OHE#>~q;*ND8B@h5p*ZY~i7q zj1_6r#rhUN#Ab;j(-njpzJu*q074Y6$03`zy>kZlVrVYY4`dl8@Su#Ji5q&Ht7k(H+zKoj6 z>TZqNF@j8S4FcCn6J8}{h!UtIG(=xb79_GI#@mhy{b)#$)+A?oNE-$K>C!}YH(J_N zqc;%EQ9wS4qeH}-8SUBwT1v(gTII&-_Mndm6saZtCo19ocXieW?q8$#wduNfgq5n#(HW&ITbMm!*Un1S>63^NV|`%PWIh7PBJ&zO#^ z1ivX+N%b(8H4_Dt@PZn9`*-Cvh=g4TG`Be&00ENFL?}-c;JDt$;);G4Quj*~LjRZ= z``Jlnbo-WS;I@)%0fui>SQ%e!hvvpt!9v`r^&1sFLUjid97BBwR%PE@OlVUzjGc`3 z;+>i)>wX)xw;XjJ3*vOmZ$9pY3jJZMF{!>I5$hkiIS2ZRpN9nt{9wvsLV0EXz{wM!{E5*idW={$dxi1}mG@+mO`ORK zZwW4oscK0NWsJOlAboq^lk z5HHO~Q+SJY3$ zG7IWOoKH4dXP$vq-oAd1+d`u@%PhE>`r_epusq5*+u+ZqH#B# z`!RM4trIu$Ni1bJ6G=`ebvtE-HJZG|ORmAlO};;u@Z2Uf^|2{;8!?wUc;ryblzrJn zum9`mfP-pP3g-naKmO8kiQiF7$9vkUr?FlhykptF$Btbv+lTxH=`|CI-q-C$z=FRN z^v@>_$=%v`a+fz|WS~&ClPOGF`mG37|E*kj&vzzoTqsBE8RTFMrpPmPoI2W`_P?9D z?c(=vS{xe_v@U4st;w#BeYEwRX=;QtOvrCFu&RVrcuG9uV96?sN-PWZ=#6+A`}i=b z^1sPZ(RRdH7QUzwMhV{lSaR56^zMfXAOjyPz_t0FBK$TQMr)1Qr!C!O@dl;#Cgttz zZP!^ih0uOG#JG)rVU=uhdOF2A{?^NFrd&JV`Cyzwswjj$V;K}daZgE9TU&E=pWiZ~ zVKi%=wB$w2#<+pn8gwBYR8EYcM0;}4xFkf?W~+hQI#;`Mo_c9_5sDcY0FYRv5w6Ux z0|63o!_lDDH>JLqA0igR=nDz8cz^qj92}{!^{k1(@G|&|q|}YNbMUcmB5(1~$;ajM zcn{8NPUWy7t;qMHih}db{cU?Qj|O*!limR@J736db@?$yl7D8Au{QkI z2@USzr5>Q-r)`Jh&4v749vW+>wz`7}W zQKb|ut*iQbA!%((Q=3M3<(mj?v@&j}fud)MHfua#*E+W%RvxtW?zrU==Clfa8T{h0Kx&D!CF1y|;Z zo|pdN*PTWwT~4no3NDQq#p0WTb2n(=3v~a{E%rp3Pmd#zf_~^-~~8 zUgX4xIt%)jUhDn)@6K`=wyVdf=-Pmz$BcGRXqyK0azw`aX|$>S&C#7CYmj~AV(%wJh8*Fl0;CQ z*02Bu>bvKpd!{rvlJJW$Ytg|URe3=?v2@KV*8DqK*`mj_O75YuxuIe8tD8RlA(@99pFZ-;-QWqF(*6GCn>v6RUTvThY6E?nTajR+v1_vzSK_8i=+)d5Q&pV|O?M9s_ zQ`Cq9%D_PRZ>YUwM(!zxsLv4{o6DjfoFkxo3Um@*Q zM;hi8;wD-Wq_i8XiYdOz1%Gv|N3$wYRa=I`M?YKyQpsoV=>y6t@V2l3VPRnsnZI4S zKL0igyiRrdtoohB^1psu@ezDpZ~?dRwq8CQessQHK7BrLb!|Nz`Q2Au`P@f4_}y>$ z?7b2BJbmwe$=G_{{PG0|yexV&^BH6!9c?&v5l(eK{>|~;d+PG~r25ETdmnQA95272 z>wf$DeXnQG+(w28!QvpV)lM<>_D79^{&@)E1#@c z555g|Z`;gL(M#ELZN&+5BG`p^?;Ho`pxtjcm5AVX9wao--+=Vi5j(QAkHPPT16HKy z`8R)Xsvk=-b9kdk>qVU{U@PnDclf&VIY)fH)2;cQFJ(N`~Wy>}~_`+R;aJ}qOhuSuu!m0=<2j}b;1agtFh zVUrMJdED0c-r(fUiQ{NGtEwJ$@ZIU{>ql`||3|+HGUtsDdxjByvk$V4&=ebC#}olX(p&zH@?i;IAHfI$eSee>Bu+nQ~uLlKM{m(bpC18ACr zXZ3M8A~yF8b3xpCScJ{0z+4kVe6l0tx{JkeqfR}jQYSVzP zINHj#1J~mvo6`afBp~UvTPhM(Ca21gZu!T~>u$f*47^aOaK0~^Cl~zPj)6wond*5qoaKhULumj;+fkOPm7&D< zc}M_z^3Xj8Ve@{^T3FOr>fxdQcKC9q4Thzt?yhBM@6kyDsp_Cp=*q4(0sJSUiA0E0 zBW9#=687}cCnO?%J$)iZ%j)Q|M8E*uE#j{^ABmTH5wi{+PzUNVpAa*n!&Ov2<*sjmSkkp zM#Kn=*RRh8%29g(wtMMN$IcwV9+8w8j5R_Um-a+4ZtPP_dNrew7p$69xn+4bOG{c> zi+MsO%(~-o-ULHyXL#Zwbb%c3i6l7l@rtL(xosF7M$adoHuEFD@P+a)jp|^~K%CkQ zH^aXbkxyjwHrWMIR{RbJ@M5=BLtOdqw-uz3if+ldjHDm>|B91n#vZ9b=8z+`!IdHD z<^Ap5PNE`h$}w(h*>#!XgUv&b?B+*sAGcovs~#M+FLLo!haV2HO6Bqsa(?sdOMf0ma%Ft! z3Y!E=|P=S~o1;b|sc&>c! zx60;C-lZ~wRV6CsA_O2Y6%X)Q^aWiWXGi$*H{xEU7 zr-QvOe;2s*+WMGk#zlC3wJ3o4*#wnT^*LZLEa@$b4T~s%7v3Ec@BZCt_@58AM+y0nlGNwzcw&Ng0@bsW9DmCEepUtLkrGLOZs|`Dm0uvT58%vd*gdt7 z-#)D_$E1w5Ja5R9jY?aukZ&$CQlV#s6V}(@JDjA9a7|U*6^u|1(D{|0*(l!Cz5xMk zJ$qMiyjM=;0nES7%qv+~(dCFwi(i%%>$%P;wXF~X3Eirx?{K7*#o9;uNPCj5iCGcNgqW4|pJ0s2@r{u*LoY&ZeY0P9)3Uv&5wZ_Y}V> zlsC+y1gNaHZBYRxKn6#i%NW86}mM@Gc6H|_3S>F?=cQvRHO5xCOdOa-J}aS_kLw7dUB z{zN<*)9yxo?I|Md`Xb7-yE0#-khCl5e@HQDS7yYsGwts9*Pdch%uzG#4tK7PXYbdW zkY|95)9bz`0xZs4tl7)WD7MAJZsf^uDruwq2rmhq`15eEEn1!2h=a4wW-d{Zi=gk> zVCr0K>5Sj)F5l1#6_YO~De!)9x{yc!&F{S)OCZNrc0|DM2JU1y_}$g|)`4n&caW)w z2*~~MTzJ3tbtwLe%gJ!{08x9AcWT0w@~vLk4kN`@;eLD4`|V(L5s}L6c+xxTf%nVq z(k#;05yC6WflJ!$N^#Sb3jbTuH2*=p!ppge&m&Ea&)CuR?ve>gSkg4ffs5n|zP>lb z$DdoC0&hf`oK&e>CKdkw5S|>a~zwB7vzp;iwlInv3e<^{#~3 z1fST$_sM2V_|TV%JtCv<`C=lGSC%3o8Tq>1Zkx~coo=1aw~Y6v=I1Mcoo*mI#kq}Df3FTAl-5RJaP^A>@`%Ql>SI~h$xh_E-jeuyk+@f=dXO1`u>FX{^a&@#ii2d7wZ>{anP(h3B&-x_&mLp-um?j2m^hSls}eE zhKY)Zw&Vpqe~n##hkdbsy>Xb01yX)I>%ZPeoD4tB#R|j-jD;RG$bR_ws?n>oo2O~J zvP}VF7*tSXgK&EM4k(BMM{z;^Oo01$|K4FTdLGB-AHJS8ehxctBWrQ0@#}N0@e}4< zhL}g>45Ne2=uZxs-08kTbX_I!a;*V^axYu>VL-ph@+`mat@M5x{exj=hIg^u9MBnl z?1-Ge=P#dgT3|AP?dJ`bYt8iTJ9e>1_Q&Qnj{jjhcjw>=y}Z6tRfLMlflSWe3NbFw z@(f@Vo3j3xIlXMhZ<6y8MQ~W(ozm<*RCSBLpFLB-u^F}C-idF$@S=g~nV zLwGg(c}jSN)81}zewJMe@EX#;#A$D_IN!>yb@5W?Tl-4LM4ul(p>F=i6*ph{?579? zgQ>0O11?Mp(5T|T-TF27ls{*35L@0<`wv*afV=#jVu1$>zsF*~PZc7hDHac2huKVi zj0f=;Y~POqgCYaqr@#=EXwK)n!Mj*;!ql{@3~z^Im`Zk)J<;)2|ILBebx-vPIwHXX z8uQZS<;~9LNt@2}waZB}|JI$7NZ6J6^XAdXeChafVGd3AUVRd0_rpoFLbZ?Q!JJGE zp^vA$;~so>=8gvc7LxCSXU?T}`gBecdu;4**zn%P+`{DE(y$Z%H-fY5@Y!jJlS4qJ z;>LkDI`0Y(n%N|@!5TGDm%b4CKxc=q0AW_LrG!K|IZl4)ygB%iNl)ANaiUq>_gIf z7nHVE7;neT$eOgsn!>~f{ot7C&32Zy73>e&C?n1xZafnnJQEH)lOK2{YMIRNi zZ(3qLbr>tJQYhOWMxrIEemEb*%f#aUn8lhsu9HdC^PsQXKbL+WT=CcsvnO^oM5{bKB(swbZ{VkxrE$(* z*zhh;xwqmL%dw2+B053$!Ih8auDm}a%OZ8szL=D_Z7#BGJo?L4`Vq~bedN*5T`Rg- zDe;CUv#;mX(7n}s$0cKBc69e?PEmR4y}5M5$% zf9Yrpyi@s5TXIkqDI3I?txnIDLipKU1l{J!WXV&9MKy3D|9dV6`6%f%ifTYB8`%yk=AR5C2?A2ZZ+C z7ZuxWn97L#88PtPQwbcfbhP2zN$%3$8~O3s^0qArgms0?vzI5K7nIDn7Q+BFe;HCmnTSj zh3I3pS=qt~T`4Ega0;FE;LoD4Gqznm`His6>91Sh=xK#i zSM+J9=PT5~J=kDN7;1&VuZ{ zzOqA#Mjs(tL(SToKLQa+iq$egjutN!C>9Tki6L7v;x)h_^*=kmJau>fMrFHULK$+o`OzP0_IJvF!d)8Bu0ZssxMNQ07VMxqWd`}?&8XaIz<5{B@=g^q;- zLtZIb7;13|K?a@HR-;>7aqMkUcbdLnFvm!Vya^r$Q_Q$L@gLKYtjX^mwwC_IE+0{J zUf?51YxBlysArF5`e!KaNM$twL)xkwDPb{B(+%^gU@^rgHPOQn^g_krmuJlWF*p4G z|CwH4Ac!5@c}*_sI_969CQlsBTlEWrn$U`Puwy3@&^)*@Yu(5)+N`T9yOGY81uJGk+~7|1*q#psowz zzXmt^ysi|JgGId3NFAQclzp?tYkAW`^CwG+Tc{Xh^a`k(Q)sjg%Vv)7z$2`~*h7av zB#du<5Uj4d!;kvyIx25Z72w=giOlgukuy?zJ;Hz!pzU42)a1x5UF_-Y-s#vfwc{Cv zhd)(5KD(oi*zDR8-G77Q5foW`#!#o}C-`^|N|7B9oCHtAI1V zTExlOIH|0T4m-Oa5o%Rs`RDKp5Rtm4a7VKqI$G+pncWtbuPSP{v=qj^pWV0)>x}%~ z?sSsPy-AnX%VkO6W*0{d8Q-&7O!67DP-yp%+dRWT1}zA~gb1AwKx+D*g{l zl~bJp2l74@@c#wVb`l>sQuDwx(Jv^*Y6Ys9%~6XJBy-Ozg^TNPKo~8 zn69Blt$vm0pvwG;{-(p!7cD2;v@G*P&8=ir{eV&2uF^PM%lCKF!*fUk-~46QCWq+0 z)VPzfP;uTQwsJ;Tw~A?2?KNVqlhk>cCsy!JlXEP?w|iN8*5kB^fb3EHe}it?5WB^A zL7S+c>PnwFEG35EMke*lWH{Kr!MO}HJ?Ve(ujUN+HXfJU3^wTh2TiggZ;jOLOEX2H z`RDo~8K~jT)|OAWt(|9*e;MBh{3_}#nZs8AUO9x`p7$q^od!JxFNJ87<4hIITD~hG zqqklNvMF2PhIN2(M8>st+oL)->amK@7VTuP@AQl<$|hlh2vtcdMzy(g$*!8~z2Spn z@tVFKfYAhXPj*7)v>|ZHIgw5CY(gI&NBDSqqKFL69i%5D5+|oq+?HpP8?OU}xAu+C zsKBJvONL< zAVA$YswTlCSgrHQO#{hPC%BjAGN4y#Q2{4Yv_vQoX2Az74}L0`E{JVsY+JU4m3t-5 zShp0KeI8Qd6qG73kSSe?a+_}y24{Gs-23QswgA#1@p zl6^@JHxZl+rfo<$rp)~=gEar>*8BCnua`rc5n{=51-0t0Gf9j1_>@X?iVpyn!Aq7y z`w?LMGv16l4{M3b?Fs2GUYfcl0$b^LfddcS4h}}9soIcUB-XTLpU$);7~U7w1)Aup zi6Z1;GJ#hOj)U0Uu;%J?VEbb-L7DVX1z>#tb3@=&fXv9I1D={z84xLEzik|khi&QN zKVJBR3(4CR^nXQu(w{nxH5!`OEHEGm7D|~CTQbe?Uxdk;CNW&fGG06yb9BKn-Z?sj zu=MY&7sqNF{I3v{b@?+vYy|ielgeB?>;~pX5V43kOnQD4MK{_$E_Ts5tM;4oXaEJx!G57<239M*OK2AK}y=r2)$} zt%6ft!MzFLhGb|5rxKd2uPEwOpT5oyDi*Q}jBJ(^gLypScH}he_q3xS_s9WmbIgh0 zvzJT9cCq4E@WL0X=)Z#8+n1^Tduv&pQtmGwT&S7}F4rrVX9hQrtUVdjIbmaH8dLAp zS2tD}io4GL`Wuyf$J&l#$C`n2$9-fbh#6u}$lnzQW(XYq!VuYZ9-?9n7OLDcu$K^| z*BX+KL!$Bzm2M?B6}}9CJsV3*q5PU=S^9TNS!^g}Ds0ItL*ie7wex>DVR|(?EF(h< z>Ggf_VQv_6Lem{rzt(k8GXRMUU9bHyvQ$DI&;9NAPKmY)-F*vO4A^WSaT8ymknL4n z);MDaZ7P+!e?b8vT;wEug|oSl3mcqRvR3t$mCgWV=O&=olJmq0{)b4tRC80duLhIZ zcloZ%orMFV)I`7a+2)-T<(KJ*tmAOe6E~`dcAS$LeTS91+9iS`RynJX+dLoDBeAp1Qhf=WrV z2fP>g{F{%fRD+${EjsN`Uf27Oc&H`8PoD#2D6mCq^ zlgWvP){#>7z+$6)r>y=5L@w_2V@H`VIxbLl4SO)ls&$AN{07w0iy3KhRPAt4VY>K{ z#UaYuozv^hh4LrIG&I=pbq^Xe*f*zH#AV=U0T2E;Fg)l(z^Udd(LMqPdOvfxmw{-- zO@ogb)%_z-)0FP;XL>H74^=$7HGkzUmNXKtkS_R<}$vd z(OY6w5B|RVg_7G6Y)Iwo3EHnGRwr?zM{w-o=ggOODgvdByM;{pUm~S-UQu`C+w12N zm`*$88e(1CMe+;xc%F+~7LfHCN-zHb!6on08=+!QvpbI^E0@$)TCKzZ3Rm3q6~wVg z6nUR$S}rP{hh2}?g)?Tv8Nf@pCN_jSy8sF`WE#6B6q@=sS`jS?+Wx-r82Mfr0IP~D zcbYy2xJ{L&{Uk5yr#6mT`&w2{NoKme3AsElwF{Js~Q;jt%8@YPgAAw51H+L zWgBx}SwC4t%*U;2kbH=QuE2fNv*C7U03AV^BSqTppgcil>~9eN1$3jLnxiaz(x)iu zKJ1Oq{nnoc0sU_y7iqD4g97Ojjf{a%B-Fcs5j_Nv+2#`XZuFkogihshd0UyIr+3 z)itmC>%cxs@I=3L#A)N6b3lsFrV%rzK2vT`WX%QCB-jV58Hj2==mx6^NND1O!O%rV z*33@BoYkW`>6V*|<5LUt(%!+8mwk;KUtd`oin?%k4XROaa!>K2X{uddx!F`>KTW04 z&tiJb;70zX??&1=HtJ_C>(q0v_&3M~s(FOrO9kDeM#tBCpE3N8h)!naRJxPRXjQuX zuo@Brl=v^o!6F6`u=_GBf5-QNk@ig??Yg{PP?O|8Q`2=Rb#Z{O8i|9!<)dbO@v5*A z$`trg$&Tb|Uu5(=*A*pZRm}a(lxvr%nn_=8gYzvcz9oMe0lZ;jMb@&tK5fR;f-Uc2 z%3M_FNN%$gbvKwUPod?s09GT5cZXo6cyDu_YF3EcZBY(fLrlTlW{7u{dxb**0k@^m z9*2_^6e%9ozHs#V9_HJgT_R~0+b&v+ZeL3);M}Cq!!VO{oc_}05pnHDbNSj9k1qed z%e1&!6$V-?i@`>j%0!w~tY|82NmrI*qX15MioL&$bCON3Eg>oUsA?C6v-A9y7x^ z>3qj7?qHUQQTLO)pe5LLgzp3b@m3koei!AXygnleAZ0J0f6${r7#m?_^pm6vSjx#} zpsQCMGeF&xFj7v;LXI;me*NK}PzyWBDza;lo5r09reJE*aa|BJptVhxHK4YQM7Ne? z^1$#v<}DQl%&!Zb_UDo-5TRC-3ps?__vd3OKS`wcz`;Dk^+U!cy?nN4K$C(`i2ItF>(L;KqWzxU*+ ze&Bn=RS{(S_lGIERHnt(+a32w^BP#JHN1Y-&wSV=6?ypP6OU)k9Wi_PYuDCiUhalo z(r<{IR;|ap%LNC4XSvujvr~w(YP$}BT>fK0S)~ouoh@qSsa>4w$wKKnTk|A^~uoE;RWx8&0H3X#Fi|W33*|Kn{Qe~T8*N%?HA5aFoiIBSOF*;CWXUO>lIJuwE&6=@4gV@*84Ci3nf`dB_1?=>d(3^ zH)h2$`mSyJ{|U)Dyi10qkO|EV#5VDTkUW8oRR@ZM^PC~NBe(EN!8-c#mVkzKKt!Ip zoNdAwJ?)Z_b3Wk$W~iSipMqbK!RbB|f zGuZ(7bnoK<`dhewnRf+J?;;wt2PNIH+(vhta$UVFam_twH8C**1Aq?cM=A+oSGqn! zNsn40e6XlEoV9jbU*dMLghu?}6*vBor%!{d==I35KBhdTZ|z6L6|?V-Gp}Bm(53w< zbK=GtD^Gbc4;6G?BXl|N;JjxC@}^)%*g0Vm*PcIyS&~Ik2vlbBZ1v*u#|Dc7!<)}d z7({fYeo`I^n&sR~sANm-De<;ZQ?WYnR6}ZYW0&-}uXF0ek9-gBf{Np~DD3)K*VwsE zcgiEau*422Px;`!$*^>=E6VXP7eM9zh)h7tD~z71p4~ie**dNQILK3oP9ekQ&?Z>6 z+(zvG5eg084>cJD8MfB#=GcCfJVe^+6@YO+(6mj6?Q{ihfk>ZwX#T1k3mq5;ugVdiIn zt)B5qN+uW`DOb_cxa1&;|_>`76&VO=;DzEAi z!UDg_kaFGF!v_heQC}uc=$ASBjU}t!%F!O1a%_}9D9J=Qka=u-$f%DxGb8M)zF8m8 zaLx`xMz{GFgq1uDc2D!LVMK)c|6}YOpetLtf6*kJj-5_A?%1|%+qRu_Y&+@Lwr$(S zj%}->K5yro^L_t&-?;aUH^!`~wRUOOs+Ik#SrtBm0QTve4TPwD$CrX!kP;EZVTZQH zuznyOi*5i#_ScX7R&AeeV8AkH9FSxqZGrb$WPCaSUi|Er0{S>sTsf2UP?h=babHlh zSxKY%*BlLjMcR-NuDSu0je3%I{;w#>G`mIh0vIOhq=7T``~g2d7z~)>(9t0LlR_i? z*i|+EBrput%{#W`3VPx6;oGmf^%*0z+PsSxhwV2z@bTlcOuf4?@vZfO+hWu8!S|B~ zR&kQT*%*38K^Lf6$1@lxr`RwOu96!8OP-=5jbdB{G0Jak>$KJFwc|y=eLpe^iF{uF zL}v9BjDgrI5ADBj>_70SWA7)3nfA{$Afl<7(<}^xXMBs8fe_An(md#YH9TK%<^da0 z;H%E!JZrak%li>j6NtiA$juU}f79>|Y7VKW`9}>!2Lir#G9!(xhOLQEv-KAhbN?oV zJXniXSRL`&*%N-;3n`f9GTS|6x@t3ivgD zbyfeu^fxdYjl10Y31TT{d_t9$T--~aux1J1(}#3=gER)r9x?v^WQ`*sKU<%q4nIf) z$k$3-4JvC+F@NID;qY<+Zpo)NgUJR24BT}l>f)2+D00@oM(l;t02|0%=i+7v1W5nu zL-bZ4W~Jh(mIzr-H*T%^6c4SWpN;+kY&0>eJrAq>{~xQ+VCQBs!yvED?P#hN2oraH z7MaHOS>(NHGDvP!RmBAezm`^L6@RP^5XSa3&`L3w(_3ABTwVUevp;?DqEwMpQg+|M zcF+7VySj%Dk`dTAH+Jm123!zYMq3MT3a;z{IdS;nL1v!-m`Ly( zfihP-lco4H>G9#IL}6*(-kBXaNPQ_dexxJ_ik;v+0}YwV92&m|L}ll$ywf3kXgXC* zzD@a<95y|un8Z@U0#m57_R}AG@77A*)TO<{HIc{^GhCW0G}+-f(p_?CVw|4vAR@ka z+u+O>{ruXL1i8IM*YRA?2#c=e@tkO&f;&RPnAQsD{T*2+vY!zY|t(Lchf|zS#u>|%vzpECk zxTN~TUNh7_f!gM3%0|G(*H3V^7yOfVR?b?wK+iTeTG~L*x?(1_+^;t&hkT}JX+YCr z7#rH>1CRmp`PIt=Bim6L1Hah+IEl7crhwz_I{kBqtJDB~fSFgosfd9!s&vgqk7n#Y zrx6RG5+(STrTkzG@7(tI(HBfQfz&p_pTxzPP#gEEoi9Bg&`@QbwywLX=eqX!$ip+p6bho5uR znqM_MSgzI5Ps%IU%HI!Rm+t`|gG?v`?kmM`2U#cZ#!6$UG8PWg6gC=zM9VAq)Mv`J zb*1Dqrb?RBr_|Ks;~O&SY)sLI@pn&Ri>lq&clPctuX}EfHwI>mT|jhEY0}7p<6!e# z=XXka3^di(-_{Glx!=C4VoIx1=wnKkemm{B9}0sJGzsoe)`NNkvpSc0M6K4X<=Q<9 z?nKq4WpDazz7y#G46%jzJOAZXy;qM{S8oE56~|VW&&%9$4q&WG+Q6Jv@0gbQo8afi zvhDfX)ie;`4Jh`XxGV8bqCA*cHPUuj3aY41w_j30t!}sMpV+JFd+eCUT)vhxQ}3b5 zGWe)=4)I?E0*L?OShk2EKqPA-jVPulMt52|3&u0nAr5h0l{fbp1F~y`{e-7q;2Igu zBUXRb6FhK>2;%{-FZUS=qDzeaga`Oxf$PhtEh8MM%`=_{%gZpMvVxjo`j{ew?(}{j z=u6XB!f}AnGxN~LFhh`ff;E5@X0E;<=m5s?Oh_Le`mRa5e%^iyO4aO5NqbD72c<*U zf9<)|D;p@398x5ZPjQUyIfjt z^1u)%_M0UIgvtrez+{@Ja?(90=_|+8IcSOiM>Tk!CGED%_ORN3VSi-J=AL9IsrIQh zt4M#8bX7TXew6p&4%Lv2!{JVa|JgtAfB&=MT`t|dgKy|teI*4#}1IXzKfLDkQA z1N}%S2-6^aeP<{L(g^+8WZ3$AsITbHV4BB~fP^0f`O+L=GcMZN+Z&sFy+iVadjM$T zrX-EIa$inPS{elfODc&s|FDF@R)3eZr4y?i!hHx@p84MK%tAW7-c=E3tk%^DII#~f z^SL1)3anKy)Vyq7H^35c4#KRjW+fGkK2<)qr?^ax!rN!5t4IpOuZ=>`YrJFx$n})4 z+o~P=>8s13qviXOl%S%u`<9#$qRsoX3bgD=nxWMUr7jf>rGik_4-93EZZWu!H3C8y zX+Y&&u`rRoj{ATneSlHEeN}c{*eKIbZd0YH)+RaBY;udg2$I{YsLYJB);yKI%}AOK zk^xkYOBdwUEpT{}ksW%-wK{f@X}jxDOMT!jKvA(#^^a3T);71nVT%MT@%HK4`RUgd zlVDW(L92G;C^;<#So9|`G4AR+5?Gn{7Jb0Ok$w+os$M7|j&zbQ00g0yPx0h=G$OT6 z$^l3l0X-{5@rsF%{+wT{JMd0}EGzg+oAHCnv!GrJw;@Y>F}oxPV0LB>l1u7QHCZHU zVoNB~D9V4C1ZQNI#<7l~v;IgfuTaU3KNIvT+$$;N=he;e_gPh-Bl@<2d+c{!@&R@A z$asRSz7(JpxUN1ODQ&(a$^*vX`DJ+^)!@>c5f07Z%$mKO6ya%Xu+Jx_b!(S1RExjp zffvj7?D)tf{?}^7ehMeSw9EWuACYHl5RC-!MMe0=QIJJUa2r_tVhO1VlYr#vq^HW^$R<03PpjUXPN7R#eS&J7j5k_`nD zqTc9P+!|!kms^!u(d0cq&)%yJ<73C(zZOs5@-&q%sN$V)-e+eXxl)Y>?u%NK{-I`l zMG5N<3>5^jg5I=(s3bHQA=QKUVK)B0y~xWDqr=>iIB`4U0g4*OE$4oV-~G~L2p+j> z+T@R&`brcRlo|C|s<*1c@Ngk=6`-Gdk+!S5BNg2TaDt~>H^(=2S^tNq5P~KCPnL1KD)p*I@51GLLcRqy?@@NV-;K3AP(1i)awxbNx?S|Hp!5u69y{oHE|NSh*F6{YS~>k5S?Q^}4}0FDfThzl-E+~uDL{5%<1;>NM? zAXbj}SyD0-z=3f4KZC#x*BZ75{u;y#;F6& z0Olc`FBX<9)&XlY3+fuH-zurCVTxH91_=v9myX0WjX}O0!@4-8 z+osv$5TnEd3imt2iL)c1K#vHZgW*7?1@GfG*y9*4-^;kwJE_abE>$t}_hTK`K}$_h zQ@a>Cl!XViCyJL!{YN-k!Maey%cr0~|1Ii~a%oML&oKvPrl=Q-CIuNtbQGEX5~8<$ z>+oc`mupRb0m7BP zy<0z_%Rha$S9t${DWTP}je6-`T1f(uA~6gO2}RZ0!jM&I4TG8@*>_7Nf}Z&^{{=6d z6o{*B*EwkOE^$(3U1OxoxkgADy+M!^uGp4}4600BD9Zdbp>MvGt2rR6Qu#ww{E4d7 zgceqJaSBpl6H|9#8u0jvYM^b`6%bBe-J;tkjIp9sqfZD7wxNoR{N~k%nBx3AD=y#~ zVsC5)CHB7xw?ZPW0q}Yz>4!Tc7kelRio!kwfBF{?enn{bd~OL`=CCAzn(}H}-(&li zIK*NiMqGEa`Yh(1x!(14j;IDpzu!@MQsHu*RXhC`!llz0Q1%9{gz^!v6>y}L-)iAV z6QQ%4nG<8=TCq@3tB=m0)f#4ls8IQK#~X#U^}ZUZPq87ZngS<(bz$|iWGE`3Zw5tW z$f}}O{w35&5w?#mB+bvSLRo&lFMRgD5A&&XuQ7jL6eyjK95D^u-H#E0 zyrS>O38-m|$_d5Z6>Q&8trWb6-IMn)$=Zcf3_J)_v2NVGPaTI`1HcYi=1--5+O!_N z7;Q(V64L>sYf>#iUY1T3d{(^*<K~@m`UD#0w|){~-J37bZYwUG1OE>r4)kBnr+<9?#^U!H)TO+f-R(dM zf*jc0_{q1yRld|0zfY-vHZmR}7O?tR`Tf*SAtu3ClfJ(~loLa1kDHW-6Fj4Q~| zOWy$DZr9=;%$?bX26DSq=-jIBQWelGdpL_LrzRta4@adCajL-sO*h6$p0CEaqk`WA z^*TPQw7mi31Y+a(Kyq=5qvR5YMpQI`T$B33R*eF=-axwH3TpLmKzaqlMxCJ@A!YmM zi|V$P`l{h$Z0r>vUp$i+Hl$(&YWfLZT)Kh>e=Jq+BRbuh5iviO9k)z`?z5b0qFx={ zS*2Gj%q)wMVr0<6RzkO+Rf~DUL59m_pw#tlW_idZOwb7jqv}D|PT@C|j`tp#d?ntV zxfy`tQ|mc|>q*~TVR|Y8ap>VlPc;H{lYW8p2F9Ji`u zWIokCK)!W$FsPN4=~nRzwFj*e$E5xvA>}fq)4J!tbz6P&K*=gkeq{Z}7 z7Z+o75B+!C3)X)Lu~Nc2mdeP5zgDDr+Ec23_ezB| zuKjeV(3WYN$39Rri-X55w1?1$6sWd_s8%ft-Ya5;Y%9X2nwxNuqEjN*)Ae{OsC**t zvi@`B^@W@zF8h@{cwtNyx9Bdq9+tC=ya1dt4br!;TY@kp=Kb=TG(*M;309mI zNZ^JUCP%D=5xuwKQH&)Y=4#YsP4}`T)3Yurt=6>*W!o@rk%%%D61^6)*@S99&2`~r^vFFBuf&~ zEYnqO{Ka^!+%r~{uiIRevTpc(T1Ki=#@B^o(X~;3b_fw!`GU_Ce7*);-JoustosG* z+~2=h&Im)q!JJFb^vE)%Yc#&6xgHVGWYbh1nRH3tu21nK;Vz|Z&z%+)#~KX$}&d>(Ax$H#ez6*6z~XboPs?A{(5_sGt|<>u{f*ZwYv-8gr*k2kxfGvvuz z$4J8K>(!TxD-@Y7mN`NK{bCd}qvH_EwMK=NdW(YM4A!&B3|3K(1lC7=b&MNl^gy}i zmCaq(?UB$4R98>nnVxEqy_?>%qP&U+pu$&%#xf78w56k<2aYy}{b)Ja5p3}#?I(l^ z#*32E?jWlV_T6Y%)%S^@_=YUhU?ylJ2w|&Ukswp)0N7dteecMjD^QFGvb#vYw`iGO zN-aUrJZQUedyKE|pkMn(?k7@evT1>X}PqwvRta3`qJUw|I@|AM%u4CoCr=*0wy_I|n4TzjP z=4FI4O^Th)gjPif_EmIzJGZLQ)!EwYL`ce*xeVf@IWDL?DyTdzum%Re6CMpgJG7(j zJ&+Az4yt#z0di_ovD14fmElCUrkKpJq@1{~pE&+anB37MTT@PMTky`m+uplgk*}L# ze{;OJJrenxy3E{JTrN=MWRH10oc5KhH8h@17g&@X%)^ErB?U`)!oxO_HSym=)Cw#- z9F`x9UpwyUBxz5T9$1Q!s8uHD-g5^com2^hZs-JSx8=h(R>XCN?$7Dg+(W~pJTcR{ z;pz^6UA_trL@B~CNis~5578HV`$840=DlKqVLG`g{gg%5$={*L@LN*=+nV;$@~f}Z zT!9%DE7|uNt+1a|Vjat@Q;5>qfC{dh(fV^y+uDly{iyL6CQ7c?dV;Mcqx~q{`?oB) zh&AWY&85}36(Fg5!0pWIPQ4iCNqKf{&7neMTz%wWEb}sbwxmO8qMuC=_Ua~SXhF7Y z7D~95m0WcAa|r0|7Cqq>cF3S-`{;~?4q$hjqaL#4eu zm7XCZ;{jA~jGC`9OLXO7 zT3;ago`Epdl}0vu<){5N;C^WZ&x7P@A!o$pv0=R+K-F3Q<#LI8R=4BJr3B6FmDlEr zYlK_PMEFk?Q>KFY49uj>$as_md|lMjv#Qmsma%WXTbrCug4h6Bhg+0cjLJLAob^hc^)QqRR^fDu0yBA3x$#vCbU6p}wpoqaxv1V7X7z zpm?ir=8Za-uQqt#nVo*-=i2^qIG{#*>8_p#c%0o!n3&$uQ@kysO>r%no++JdpF65L z?n1ft=(!!soA7)=y;MWAI(l3)qX=a@O5|L-uQtRKux>NcVTvyBC2|$*U{RY zK4usfD0Sy(KTbJ%Sa5L2ntE`|>7J`apA5y<%5|0Ege>YYB7(MicDMJqcWTSJ4_b20 zuwmfFpeD)pJuf2?X|a(5IX}sI{tF*AN9>ukxd`+3qKsHZzRKnX2G$0KLR}UHSG9*D z3?aOfk%@s@x1Y>JLB2*o2B%`JJ?-8u-nnYbedcs@F|e^0-1X0+eMDlh+8)3_trfhs zTk%u0rprY1dbGKIlS9S|6VH^SE1QY&L*+$lRCL*E$ z1G6IwmwAOdCM76|$wM=vJNh z%?}H1pNKdHsX}x^xIGK&Ni4AsZDagK-#w2s}1<_oIb=6C=0%shF$y+GgN zD>v}|hX?-C5v9Enqpb!-G?NGjG!TlArwk&ZK(T$G)Q}0I1C?!JZuF~U8;nmzwmmd5 zSF#)RKN1SVcFg5K+6%!Mtx;ef18s`5Cx+~=@)B)dRNU=&lWGtAWA79jwtH&eYl4(= zy(Gu7pM*7>08~5z`SCTb8 zo^Aw)E5EZki-_5__u;_+E)_3ww^&b^2`Ry)xKdJz0Jf8jU{wiXq4;56Afa4C-8HdJyGY5x;pGR{pB=`k9ba zqQpfu$T>E?0G)tpm}Puu(efuqolL{M!7h3Tasvy0Lw#cwoqs<_m^*nY)WBabc3cb@UmRYb)F4YCSj=}R84E7yWBZDww?~PzoE0o@r-eoR% zTa}F6q1oz!8iq28szz7=W`uobTYjiyKfH~fP>j8hl!q~xxZ@}|60Hn>f3)LnF~V-L zSJZo+geC@Q)E(Oa9NRr7FkZSeJE#B{9(2IZZuxz;RLA&Ez5`0^L%cN)G5Iv~RrGh5 zDG`9RRS4XsTp)lo=;{d9+k$kRJhLU8<-5f)_~UV2`LOHvk>=*FxNBfgL=ysgef0H` zxXlK*&2G5OvA7!gP(+@a@h)P9d1D~^As)SBA@)VA51O@ZHV}LCK3;lX2a|}6c*~oR zRTF^W&s=>A6=*C`=c9D=ervt$H(a33v|e1`!X^7*NFgp!EmQ;uP9;h^NTO{ER*(u; zK?NJ0?J7_Psh*uZU=FEVIxl|oXN!0h{Bm8O`X0Za{7&&2Ljqa*vxn1e)}7Y7Bsht+ zG)PFmJiIAT;CIED0~O?abf^Lhj&2$G1`YBaG`~O%2)=N3OmpKc1hG>mPOAuFlwrU# zCUSYhL8W2#C%MP6pWPtGs`$*%j&5L71PzSeOj*V8Yzl_bSHj@|9)?w5#gd3|R1)l! z$NaBAgxn-@{;JUTnc2be#|E!Pg1T3}$CVhEb?8^PhZ38@K6Rl$5)~|}(dkp%$21Nb zP&{2?MGE1G9RWXO&y=jg#XUj)5u}jzex|2G+LgJ|pOnF{E3O~(yoalg_|rf^g4ekK zbjrdqJyl0DJIliSFCQx}*l@9#LOk5|^;ebs$R|9S*heT$ymRD-`MkHZ6u@sb&LiE0 z-5$G=;}o7H=T=Lp!pj-;=*GdWHVUGXlV zy^cO??yqC+%ZF={t$rlneV%pmdz9cW7(UeohU5l@1Ah%%A-vQjhJ!D9xI(-)|0scY zj8h`}SW6oX^xvT8=5X_9{m^M7++02hv@s|H^Fs)Qt>OaE0twLjp1 zs^yLAv0E$4E7k6jo{ovLlkvNWuGFi$P5~2@lfsdSXo<>E{^awIETyzaM!L-%!N?KR z$0UnDfZnENmk+o0#n4>INK`jmFpim`Y;}lMN@@ks;y&cwJkRw06QGn{;MpKUzfe0*GR!FX_}A%$p|L4CFa$7t~Y z$6&Juhq~XGxB5dOj@an3i0uCb%oE%d>R={adgpP$#4p>{AeuAm2}wuL`Rg2uFdI^E z{n?8UG)(a0rGfY9XrgG~VL^0Sm`4KUbPEUItM?!QWVfWAd#WHqB;{E($v`E4j?b6{ zhxQ%7x5@OG2HTI+dCnM@=qK9ja%3dsBY@4J4890GMTVwTz(pNhc&@^-iDs*5NSBr3 zr%c^9$|3uH&TZDyB6I5%h__~UA?$C~8Bd1RffKB)mVq`bt~RP2&AB>S(K=eQ)imZS z=#8k1cChW%|2uIjcOeDzRao{LuvWiSD(ID~pq*vR&IS#WHd+f-kUE!^f3Hybx(mk{-E)iWdkjRI*tYkob%{*J4WEg=mbSkgeb`U_jTo#t#Poq3S zl@xhYLPWuk3^I%FP*)rsooz*VaNJ3u2zDjoo@jHQCA)kr7Q*}Gvnqc9(rD(Jhe1(31u;oG*IbPf_QVJf6cOtLP6Gm?`r6dO%|)`?kR>Q!vRd zhi4zf=P7Fa&g4Vn?GGUP7XA{`j&+O%Mqn3xlfR@~z%$GhX(~o18l9|GfDLJC+9c3a ztWlt00gy{1Y_QI`%E~Avq01K2IT~fcVCEdjkI^8cAKMG+PXu;PSq#AjH5r+UHTe{v zDH~(FNQtod?dP-Ud;zC+M-R@Qvja*+eKn?(VCXf~x~HY<2~H7*A`W6n%5Kt7tfyi} zKP5X>g9shVh8hmq5_^?s+g4E$<&~Xc*Iyb)DtH~D0r|K?`+M@);_QiJCn<6VHJL&(HfO4f z-AqmSO9`5Rwpf?#7R=YhbK@DJMna|W236~9(OcWhMtjAWbZkqn*QC?EHuAM=DKUL2 z*ps%JaJB$_S6su|iRyuGSHkQa2ulBxSb_RK$&Cm&GKNyJ`;)}2{z?`4hugGY966Sr zZS5R2YcH+&SKPtnm3JbQpP>laWUJ6N@l6|bQ`WfF8+kVT;t4N-ea^2yzOOTi|hEl9a_f5frs42;hWq; z_4Zhn9T1-90W-)Z0wep`{Z$PrbK?bl1K(aVI(%G?ip}2@>5_nx-#N&*{l~g#1jq?1COC|@CIhU3eJumN6$WQhqilGV*geK7Av+U zch=48OW^Q+1`f0BGjR`iA21KROdv5#ucXLK)5h|JnLE0=dkt(HT-^OWkAoQbSqUG* zhb?i{H#qmh6)X86V!zx8r4Ap51cN3($uE!B9^M#j zV&tHkiP;7ZYu&)$gokn)U(QEoYSUyn%Th%#TWY3ja&fO1=TTeBuLK~-+JrY)a!oF> z-m2O_b~Fd-tk0FvIH=lCHMzQ1Yy>OOI;gfqra7Y8Nmv+2S@bFPLl~D=%eXEhRa0Jw z)ep(n3pI`{Q{Rv$IY;Jf9Zj=J@@#*ZW>xfEiP4|qgm#Rjxnx6h%A~tg zhjjkvt8~J7AT?Wd$9iI26A&|q*Ij`Ni`hn?f>yi^9>cKUWPi7dd3Mx|%ZN0FiK zh$oh+G~>h~`Z@g`K^9(a%~eyUV=n{yoqenwz7^jaVHeY9{m>E#K7C)Erj&k`2!(U} zn;3gCzXL049LHrG_i{K7tR`XL20`GYUFBE&QB=$Wg#Qc0z}17DN}z%?1jGh2;xG$w`J!7oRylD8u8aDG~=p-<`OoSiP-Sko<@_z znq{N#d7z=mMB}o9f>4P@mqE?PmcuRD{!hsjdfu_BfDr52p1&tv4rJq4ktLp73Cx1w4z#9$W+nF_mGtq5 zY!I-UxqB$3OAZ}!Yek$0uic>j;<$eOJ%0@mEx32D3G4AzhFy>o%`iQzJ~adtvo9Ux z!z%h+$^!2qjQgk_!*pnFXqYp2(hS*%U1JiaF_OliK5X8Ra1y2>BNk~mLu=yFnyb0N zeKG}w>TF0o2g#^95qQptV{_u6HQqqxY=QVDlyV3v0n9)&{VyTwQfvd#&^6O(Nzc^F zM*~%-SB;Mgv&V*SMy-`3w?5C#|iB)4Q{$EdY< z@!xSvj}69m?A12j|9SWg&_7i&bk%%~N=r*tHFj-lJPaC-zJx}=+9~!5%rR?d?5k(c z(46ADT;mQtAnovhxlf!NK-{5_8?U`joD4$DoyyK6zts-LiQ6X*vPNld$6R}vX5f7mKj!Yh?OJ39vtVpRv&QcCrBrTGc z7)+7KsHHN|SX3!)V=PrX9-(^LXh3(m=%q04ZxJTGzN<0SCcUp6G^HKn)V}`Y$Y@fvF|z;0P}tpr!4!LaX1Ot^%7Ra8fp@u4w+)ia`iORuFSWyT>E&0U zA+GRusLS2WYM(E2r!v@JIs(qn3T`bGp;h8`$jIc@T8Qh(TZDx2tIY__RaR^s>z-_` zzT+j+G-l7o7dm*q8YX@nt7%Tt&UB0%Z2Q(v++S(AKR3R=>A1RYdDr^_&-mC)>iHVN z1^0}>diQ5{OP{$0PSA__rmr_T`OlQC`1}vfRjCmnx2tU;8f||9yL>?#ToE3)TD(iv zzmS?O6}Q*z+$H1hnLtO@TXJnq(sNWaFWDfav{+)(<*O&Ete-ANj%l2Z68=m!U_U&< zV})75e;`VIOz%;Msd#MhW!pZObe7%DaueD*q>+h~jTO;o%F+gQkL8<}P`5m;bIcS~ z&{2`leR{ro-CQS(g>E);jaK@1<|(SIBNF+0K&kuO1Efy{3ewS|+S|1Kx0*f-i;IrV zrw_fi>00lZ)$R=IZL{WU{&mLMYt0$$)8Qaq&e0SXkWx8l&F_8>V?thKP+6`c-vwv; zM9veS$)R-2-MS5mfm2`mto}B=6>i{!m($5h$kBEQc6#zGx-K1Ur>?eJSL=;OJRX&2 z;bx?-ukG1d7kN%(*f3WnUK3xOmJ66yw@nc+i*yAp=i@S=pV=pskYEDYz76ZpGbt6! zt@6jazT_ztAf@NOf9|xK*x3JO2gS_7_O>cL1V~}gh@o$gYOT|a0{;Xs=Gum;&ng37 zn|27WrVD|p?@}ZjePWCN&ZLjoK^}5~dmdT;)w{?D98N!{SD-(TED!XAt)36}Z*rDI z@CUvtd^wGMPu_9Bnm%4KbO#bvw;ycdcrJLVA9aNFxH8QGG=EeaYHn8^YUWfQE|*XF zrd+vv==fIjA@1h4;&I+SwTgcP`sRT3J8QnjeAW5x2))02JTYM4+bs_HxW%>Q%@6r} zlDkze2l);o88Mcot-GPO6|7-PJM4wGX&og3XlOCCJ|?t2BCG_S3$<*}jk#|fb!Z*6 zWF7Tr9p&XTA61tXDZuMb7qg@tbf_KVK-*9M-9Ys@WK9kb^ zb$`|PdSN!=#7Cuc2SufY(ps@zVzq_7)36u8g-*+>Ac2GXWE=y>zu};|xNOWy+Q6EY zG)66B%AD3`?D1>N^E^T$bq`w8TG5sA4)_2VDOqJ`t}V_Ni)LV1m$y)8VHr2UMX2(l zn@9z!YX8mM{^p5)^V%xG;P_X(;8;lqrh;#5Oh93j(vX{>UGAuMivSJ1Vz5Hn;kZ^b z5kt$>`uD!NBV4q-cV4fLabcjMKewI|Q>6N3JVBT2p^F9;fnlJ6=2jch=< z*d&(L?rL)eXezT>DX!MZXm_pB&YDbR8gAk+($4rt2;ss=n(fNBfFZb0?ffeQ2py(! zEXPR?uWku4oMW%N+Mj_7o?a4$YyotqIQQcTqFc2t}i4^u;%HnNGU&a$Y#S^<9**_v$*MrE1^RMtH!jSEmT z*T0p&*esN{XeyGoh%T15I4qvG=qj1FNGhGTxGa-rO>q}6b8z!b3daQ(zlLfa6E|cf z-eQ~Zv3u;)`2&Dw;%U$*O8w zyq@W(t?#2z0RKt2(*hBfsk>h(@{pSCapnvL#V0g|wPACF$>?>AQ1v9KwdGQ*uqcIJ zQdiZ5I~uD^n&{J}FT|TprlYFRE$6Z@KQ&EfGf|rM$nMmrG9 %HNVbj5YFG=@;W zt?JPz3XU$FcuXZnj}Ahn;)4fQ5z|HMKBoSIV!*HWrK&oWO!X0OsLFj0@MQ-ru=7@K zf0vD-Ue0P&eOxx0+L*|nE>@KcsOO(6T1y@EjLGrgE}u%i#)^TC2Ij>IBT86AmN*L|F4|0`PmTRne7c~&n3#ddB4Z{h za~VzmIif%57-Py|EKyU)!G8{G@Sp&PJD^8FBzD&P#$F}O!LlM7?quK4h(fmmn4HtB8&5J{-2jEPcP z^=degKhaL4_p@A~jv}AEUK+cF!FEx^t>PrsHK3%}d{3M!Z#Y0(ZfE3fY#YbIaVBs& z79AtWiJapU(nep=4ZJU!%2FiJ(~SUenT4u*>63)qKhB>-T(t=;BXf60O7c*;2 z52n^waJkAyxxVLNT8{4aV7fj(HBN-V(Iut0DK4+=@+)r> zmFzjSEp1^Hy(n7%O|nXxsfcxrsUSN(>0(ilTgmb-9;W{!R}RbgY|N$|+9g)Z$AkPt zqY2Mir8&S-zIEL^%v%29z`0;qXjrgfEFP>06|4=CdLm8qRx-q;Hc)}rY|#Sp{l38^ zXJL3gFmdXwhpg2qu|1o`@ImZa)bJKtG8DU4S>i3)Z1K)H?)cvdVhI5=dyoX-Lx_SX z=g;syND1oi_{4R;1;h<+1LKI{K8w&esvuJnY3*g+;E$(U>ygIm9Qq~LzJpQPUQGQyB@b+f3t zre-HwC6J%TN1g)XS9ISVhD%y#W%g$ZyvrWD7tPZ@r*Y;ck4Cztt(0^JL&^o<-2QT7 z{xhE_kE7CRFWPJ~+(m{PdeT4#)sFjI7U+^tM~E~@5)NN5J#^KeKHiX^jXca$r#>Du zNZUFaShi$5#_e1Z> zDL%s6Nndw0AwHTU%_K|_x@oW? zY=dB#iDlj*W0SmPnkIRZbQQo_$!$xzc{o*-$;Qm9aY)*yNn|aW9Uw!t0?4;!_;ESS z0nmBPIRo+X9@dF}JawbzIJ|Pu;Kn3Fmpcd_lI1tNRnAi9Rsotz;X_I;|C+~7uj#hb zs*KT_SJFl;Tnnq0)40Jr`_galL~Qc~bqm=R7k6eJ#Ya9J(L<8O-f7QFJV%j3>V+nN zl=M3O$;N{Hcerh%EaYCftKgTmG03?j4VpwpXT~NL%%<2l%%*2x(u>Dz8i~go(Y%R= ziglsJ`LT7QKGLr zyi;EAu1@vRS#>q7PF&*Uyd|o!*CsSX`e=;!{#lUuDq+uR9CMQ!Omdh7^8@*)2 z;EJZ;xNAGxM`^SSPjLahoa)1xM69XvS!B=%CN-v1G9JH^qzRj}dqNttj{>)lJFWD-i6l-X&0Q zs;}d0qo)tJ)11kYb|NbMFO-5Vzsb}?b2}oYNYjppnci6>!XD(^0|vp)&1@>S4j#1| zSI_F5%O~x!*m%AdPpH2tvd<7n;rB0D_4=y3qL`kBU%dAldzQ!xl*|br9E3)@6f%Cy z+JLE(wrwG?fnRGk_zhvTP)DuuaF$l)K6SS65)H$qt&x)*%x6P~C;*uH=p{W#F+?>+ zMFW`DodIin*kaw$++Vc2l)L*MFfGoPg9j@+AW<4*&!i@~(dg*!p*njfC$N|jGPpLy zr)Pn4ty0MW`qWVB^*&I$8)S`O_&J_{v&&IbL{#9U0I>Q_4uh+k70IZV(%oebR?rv& zdn)o#7mdZ;$JnS#Qo?ktJ880fML=-!s$H$x>+I6 z!aqxbuF+o=!kJ0j+*BDm@A^B)c~z(ZgjmVC(k1i%WwlTp+q^lAKM!6J3iHwo=OV|}JO z)K8&sZP%IKs6d1C%C1Y(He8mD6;W94jz=}AeSR~l;*Y?}<8*-%{D6G=CL3{e97B@Y0Zo0U;QTYe>4-yb(>dQ=iQ2|3Rh$I9+Yb8X$eQUlUFO^gq zZb>l1oLBrYsKlE7Mq6wJCQeF|TiE}D&XS-y4oBmZh#xU@AKn|B9BTh)_w;aSqNC*e ze#x-!%@z}Q)WH^}U7SPB4F0}uj=*piZy*kj<7vEu&=UT>YNf!ijCNoYVm@4g>K(%Q zXc*t2ReWU>>#4EScDJLr*h3@IC+{MA-Lfkodfh_x&f%SmTzyc`nsh-hi{yEgW3_mO z_O)0bxa2)G?>mcg%>kdk`o$0MMiK9j0|Q=z?!!YE1SFUdSvl%*c9blPR4@Ry?auGA zB7D#JW)LH6^sD)any0R+q(;QZ*2eMSk~5=WqQGu#EtAF;kXy&0wSisYjosqy%4U;k z3vkuiXbhu?S zK;kKL$xfMf*M;%^vYCdw-&@OgM7Iqn%$UDrGf%sUi|Ju-`vVaxmf`#!-S_KiN8+b_ z5m)Q{BMxBNDVS>}{EGWI<8_@Vt63PaPu^O$CpEL`!Qs*Hm#m3VdL{*-?Yy(s=$BkO6YCm^FEFOWU{uQPT_ zsKE$CGi>s1rV9VPY!P^PEBGqr#>KemmE3a{KNOcP2zgJPz0z}*GXR}SxRlPGVoK{` zlinFCtxH#OyRMW@O;YuWPKmY3ZJj=#xPrgB#ClN>);#ZP<1CowNsN|x8jbVF zKjhM`n^pZi%PS$STCL=K>D1$Ihg!|~(z(ZDyKYzYKkA&4CiIY+@Ro9V?~#NdGbP$G zXeA`ZIGycB^D2rym7hQ7!ih3Q>5pi+VE@;-TosJcZJ0&7uV*a~k6J%1D$Obxzc?e* z6c_trh?$8RDW@^Piv)vO%<3y+#6iFl1pB|6BT>K|h?UpS$Niw_>F4)hmin~s6F=1% zO1J|^)5|Knel4C^HUN(G!_LMDLFe2T?}Dj5(G{zL^QO5+&2x_`m#*b@T`QfMrs@^V z6|1Uq5hD?P=0f7a^QQ4>=c{~=C*@7CB?Sz5NR-5WKThkt+pcm+lYo#`v>qmVn$)V~ z6V(at3Qx0&H~R{b_OHcJcWM}M#L?iv1QO-1S4~uPE7gO#9Vnick{6E;Ju3@;>B{}( z$@6jjnAinrY9&|Q)cqS)Xz{q|#|N zw*;`4cg)_pUBM1p1@p4?+@7niT94@cH_Czcm2N^W9|yT z;b2esbihCXw;20Q2dptDnF(TMBF{_6XK%1oUCa)tpsYoPaxMm|OBQ23jLJ?PV=FXwF9i2L_EFZVahdp1Pz&$f zz72IXn@~nLF9yyoUUvQU=~%1l?oDq2;xi} z1B(21Si0{7{QRLR+I;*SFec_Z;a-NwdlfYHkKj=yJa>G)P{l%|r>yLus*KXTk>SQA z)s(q|L9Fape%Uw$tMIuq@}2BGQaf@XZdBkwGFbp1Bo;nH-adJZPrOqI7}n!x|FLk3 z=}>V#TEyy23mz0(1|Eig`B&C27<8j@O`V4o+_T&M>&DEJ#;}V{c*a;;?L958{|+E>eCgZ&_b}63Z$Qf&hv~(*fDOI5%?mrH`lj* z%VzoA$C+3I4Y4bjXN}tnW-QgCLOSv3;DRiWAny@Bjru%Y0(tL_hK8@+5=i*u)6#ZX z$(cqjLtQ>l+$OZW&``2}3C@wc*9+!V`Q|Ni{jE-~Vi-N{(obxTpBCIclq;cp18LKq z9W>-CgS)TH>C$U!_kQWXgYKu*%?D87$bKBKefazR6x24kn&jOJeDq6aOp0^MzjkGN z1)VTo@SpD7q;~EN>JT8P&wNfXp+Ws2lrY}@m0R5NT8!*QP@2`QHbdhBC zUztG_bud1?KzVdrKfsRzmEs|Z|6;%KSqvhY|o7~dp zpOSJ@Q;k-?3^%~=MEBUh&vMpUG;;<26|zYvz=S}Ff|)0ff$nD%p=noioC*2(hq<#3U87ZcYJFlP#b&_3$T79An(xjz*j*k zn-^47nB|vu_{Y}-RK#a6Jq4Yw!AjtPDOB0NeJuET|1F(5Fz?XSd}v|1Nk26TYY}vU zj1=V8{WmQU>5qHwG{giG&^7W20EV45WUf$ta98(t3}*) zsU42q8k^5f+q^T4>yYat=9c=lrj}W{@T&431XHlIdG1}P&jNl^A7fUYw-}+xD8!K} z0&Cw_(6l}K$s4Y6#f>-Xk41%K(S!GLkRhs@F<<07?x&670jD;b(g*X0s06He+75*j znjeJ~yiQ!iQM$PvlC@hQMVi;5-=!sT_LNv)x5QG~#1Io(>0}k|oS#g$ZgUFWv1)xq z$bIsQQ0cQG^{1-cULt#da0=n^D=e}7<}Wu05>R(+5pV~X@N5|XSsYey(iesaY^qx- zJu5n2>5d;MzS6hU!gCWJZBC<3z;hkGfR4PckMq-CYvJHqW07E6Zy<>l4JzvGZfb#W zd&&UI6)pyU3ta>p`o$DR;C03qcHym!&Jc;q9u{V0p`+V&@DnEufkdKWjx@JMowD19 zB0}ubtEbzrmAsye!BR51nbED0n$cRWXQ&i|N{*?;5)?=2)Qv ztD)A0XJRT@q;59(?9GdEQR6}CuGq~DO9O+)KzM}e5l@XqT2<~ReJ@cdRYp+?lv+xX zv0M@PaHcsFjb_QlIdSHsD2ZW&vnluM=NppZUrRnfQo-UnES)a|xg4`wxaHqH_v(=v z2O^Q8yHW<#SA*23dxpO1@2T4wl@r%h>ZOU?Ro|@%UBo0x54kD6so8YIRy1!&sp~pY zGB8O?m{u0j#j4QBIbmUpl|D$32hZmHV#xPE8I{qAl_4Qf<0KUIqv98BLLV9}!sH}` z_TvO8v$SGlV4U|IFWPGAj=wlDiu}46MUzp!0Yz2^WpQuWmBVQaIPYv~pcFeJmn;6z zARpG)KHT(6J7RL$%=M4{Hxr2_#?N~A>X-f@o}wAe?j|#9A9}}0F51L@Pa(A;Yk^Ja z&3jH|I4Uz!lk7!JDcS@xpZ9-cnFg7R&Rj<8U{_nOSoblg+ z*+6glZe98=C@oCiWwRa1DqNo3uS+kag*SV~7PKMqy#qY)3br1?ChtD1Nfkz{ojhtk z=@a&lWMkMXHMA=1HMAOD7l87D*7m)E0|R*4^}G0xwW7ojl0j}GW#RqNtV6b$`}13) z5`5OTrvM*+zGKKQ=7?uLfHY-Yznb*9!t1`-@$Hszwl6{#2%=Ug7A^E)vY^KaOkcZU`&FPwWr&)4ikN z{%t4*COMcayTJMPBkGc{m>!?Fp0qf@;M2$Fg{I$X^?l`eLkgSx4>cDH=dqQ``D*d= z|8w+6{S{Q2{{J7Fa-etq{NG~~=M^LSMc#goMK;)db5IN*XCoK>-rW9nd_0!Hu~n7` z=b)ydS3P*@hMWs0b#FEJ%&vX^cB9|AyCu9m$kKlA&KjYP=xq)Q-7{!M6XrwcvQ&?GmFrJ zA|jw{!h1li&t~9S;KcxT+w%qm6b0vf1ZB&FWwMmx$s=m|_zn@I)Y6oA)jS90oL6NA zZ*A$E?*zX-DcQpI-Cus(xdL+I6YG56T?9YiQkNb-D32{77IX6^wCZuXpZ)3?1(KvX znTG{@c3jTu{!IKv+`0R0UZiZLw~7WZ_+06f*oy`>m=~a4XPX79`sfE#zDup^Jkko$ zT(%|$rVhPp6FZ<{=&L&}zc%vd zGu$$BlbdCegcXz2h-(hc?`1h(n>FN>cFoE5#%wO;o0{xyS)29qZuXy^_w&{ll)gVy zO)yfvzb@$S$vfH}c>jTnEHjK$Vg>V^8`37{l`6ziaF~soYHOfCwtH4L`K2b5*u2=E z+KAow_boh~JVb}S31+^#3+HJ3FXay2to_j;E;i0Jd{djhRMI~_gjJob{I&Iy1pmNmhlYxI|H!2< zsGz6TUW=AJ-Us-s#VE6FT5H2&{u;8ZN3q`f#x{QjJ5{H3%_UHDwX_1AXdeNd-#CvR zG3HD~_aXRMEp&q8^P7grE$KvWNRw;wN&(@Pm!+2y#<-Ll*KnRve*{yU+o(6v;XR%I zFf3*s+fo^M+e%8Wr`fxyD^%Y_C3A1EV<8r?+j|69Bo1m~8g+w3fHs2gyHfya)$RrT za#PlK&zil7Oq_mbzd}t?HMfoPC9``BVYuO5mtgUfkk4G}K6g!i#JTm^ZC=|ycWr-k z{bPO`Q1y_>*ae5@65*x*G!?>(Np0-zyTiW^x$BW!2GDp{n-yX-FSE#Iu#DR&kXqT6LQ@TT* z;Z)X|j4o=wq=&hP;1qZlHy84oTZw2Q4S`+NW~?^I%9a!f$5?C`73eOhXb{O|k>}B9 z85Pzps~8ZNG?9$vXc-HwKeo6lYuhd0f>#@M#K$Fn)EHvbKdI$}8D;yH{p-S}5p3O^ zfH%w8F?koF3|@S3BFFxAQ0+QaE#|D53aMprTup(KZ?CcBPHT_~+R9|_qPc0CqX{h? zzr?dA4b;<+jE?v~%w4Z>e2=P&Qx;t(z@gIOv7ub%qLSEhzz*>F*g33r+Ww*%^!0uv z+qX1q5P}%jP+sD;pCaRL)}29S*sCSjM(GNFT>s#%WH+*Lu(nw_e@;i$t>Dl39HfXnRoV4tGP2OmMMan&OFfvm(zYOy>z~Lea?0+WdgE55d{kA zy{graU}`I)dlB>(M19NszXzWtx3(fQ0~m~>-HMxsA(&GQSiZ9o={CmK4?g~T)ZK)P z)O-djT6_($c26ba&xQ{aH~Qi=>I3wBt~^45+9D>oOv4v$zcYe13gm*U zSe(nVM8d#&bQZ5gnX}&fYAPBgaW)m~D@v80HTFOf(8|D*i#-ztY$RB9lTW*e=XJ^o zkE``vRgD;TFif+oT>-xjjmMNAFi-acbdVVK=Jhld6_qx+;>US>)AjwGhNjIBtV=&z zk%|!uQ7;N_g`|sED6&&YHx6a|sIIS^^rxw;G#geX9|&18eF#2xd#V|}uWfEvw3Po;$3QKLdwkE0HllD*ZweFq{lutkHys~W@0%0`-E zv|kaM&RGo_VM9H5Nkb;`%72<``2L^Ij=}9%oyxL-VVt4s!@eXu<)GyG;_^MNWsK=l zRG>iMx-lakkTETepCr$yej$$VjI|%7G@^~ERkK|yBkvhWzhATGb3GVAFWRWn*rni& z`lc6cx;Uw`g@EHlr5y{S6UAIN;|qOk8Lu*tOoYD)LPFkNzSZqJO}b=y!GOj&hOs{O zVGVapZr-dDp!=U4dU4x!APO!dTg|oHSOZlBnVjok+7Pe#>PsUhXc(zP^bf1ZRRx8j{_uW zDa<^)X2JS?3VwPa5d-G{`XvbKQV3$DukGh&5B4=S*JOT9^YsD=GbP5o;S;f1?*PjP z>+BTh&PUJ;o|9l?LI;zxC^}N7)$SE2a*o01{8JyB#QZ=iWwf@0ZpJ4Mp@uXGOqZ#TXpQwl+el_HdSN<_-e7RkA?SPR1>9 z!rkg0!kmgr$TkZVf9;F2JWVszDSP3tVmdria;Jjzz@mkid>b>SE|f>+jO%Y;v;3=K zrpzio;joVNZmt#RC#I!;odKpQ(w*3ZZ35gKGNyTzzn_c>Ri2?D?g$N1x4?AC2OHin z9})9w0gOV<4;R=-ZKOZO2SOW1a+XHncsP)?m|yVq-G7j++bo>ZlR%omqLt zmC?`f&g||bz%B{Gr#rY{l+fCr3fgiG-rjjQ2)PcgVE`MFyWO-OMhj_WCklM2rZewt zaD`|UPi9NZ@ds|nm{Dl zLc-2dCv%BmKSIIi$ykWQKIh-M7_W%Tn0Mj&GzZ8X3Laa93^8R^-=~MjKtbRpaYDuj z-CC3wEv;-2LV@DQEk1I^OQ}mIikr~@!F2li;#?%_1?G!-mYM313WTMPZ(<{P@}n`=;8o?Y(|U&+8;>uJ-oP>W z%ADD_jCoe(GwBsCi7uVg56+*HTD^PY9iFD#y9ES%%+g2PKdkY4+-%)@Jce{fJ^jdh%{rweeC=$1VicN?VXyzIc^H}BE*brV{`GsPQTuo zed$zu*L^;bS#I^t^1U2;cIm8oQNbmv84qoTJ!8LJ?2kLr8BT}jdhV>?pd;MoxUwz? z@#98O+}%2}A&ZoUA2Ko8#S^~OXueXNrXw00*6@aLWeaI1;n-HZu>K?tq^?6WLbI{< z16!T-GZ1kr#+MMydSzD;-}hpV15HmoAqBFWV2ys(c40g)KW9;23Z70S>tjw}ckmO& zB<~sGhq3*pdY-_CdqNUB{ueJTVrpSyZM-wNp)Gyy{>nI;jAkSvk&7QQqesc$f(9)Q z`F)fe9WW`3C^bCUIM8o>5E7Ahv<3_o157SMTPKj!;rYN;MKi{u+N@a(H0+6x=N;t{ z2dk0>-;xGfkgTRVb3Sh+a`lm<^*imLo5?yxLaM=q-v@mY#_NQ%BbB~47+DS=B*-0k z;9T~LGugBG?D3OrEADuXlX(!~xf^y0*C?QEZ(1W zyL@Mi9RIT2MA1~Xm1@Z^{5OxhB7j=xbaC2VX5Pgu%3-%q-8>CU;0svPpw@MeeFV=+8Ii&CkTa~ZW?plsZ>{6I83 z*6Z`}<1)7E=+(r>8%Q zi?xzylU`iq-DjJ&*)7jbNH6MrXyhvK{z(FEs#V_&6z-mXZ{g4}I7(i>_17$aPVIQ= z^c}SEZXJT8^iPTr>FaikQ+gr3KqpVU<;BS+Sk~j05n^^G8zX9!EQ&d3jV0@s&SUoW zw9)8tdi?o$Q_G=yZSSG6@D7#c3lo;GdrUt6i1K(nJWfZ^RL$ z@t5EjxLshYk;*sq)Y~&*zrgnv?Hi}%{nzDNfO)|qhb;5b&cw(og{M`InfM?~`Y-p8(O%r&Am^o(okr$gb&k;$7Fu^;NA?WQGTAZ$WUjje2nmv;SCx=O-!k}!pU!<&g6(>#-2_>1N; z{8Ygb+KfQy{u=2vGiJTHWl(%%w2uUgQxuc=9<~xmvHdS;B|GQtU4P*NWnxKvzc>ml zhCl;)+zdUJototmZ>J>^&B(8ilM!RnoKI8z`A9^wi7>YPKN&8Cn2(&h5dN5Q{h^3~ z^oF(+^we3lKWWU8gcmKW*=b(i1H(beGn=_JadI_kU=0kEu6%X;E@GP7}(?aVn;9 zWH@q~`zdjGvvk=T*at|b=o8BGvHagZZ=~emyJ4~CB7%fq9vFnCzfqiPQMe+~kJw_9 zM)LPM+}@ITH<;r!cu>A?=v@c`SO3r)UC?+qbb9S@W%7LL7n2-dXLCgKc1DxGn<&@Z zXAG#KoYcf?9G3RuJaJT(<0XOBet@g~TWe9$u%eh@4xv}cnZ!o?!Qx|4mu+!kg`S^Q z{0*>utJ6CDhkWFoIA#{YBvJ=icCZD8TZDWEDodEL6QjUgTWldOY1X=h2CX&?u4pHt zPsAZINK4lr!!@ZejgX*aW9Gyh%Rx^Ox*zA_FTz73Q4BqHtSBoM0UV4fsvw;9c^Y$=^p1^$3-6aw;YrEpe#T5&v*A6^r?d=Ua%eZbv!qJXj8 zQ4}ptV!x9eGuV9o7ov6aH+@{wUk*j_A>@|v^4R!Tv`F&$KOOV*CS>=i{>>M;E@^S5 zF;(1Zb$UbT{Q>-BG9h^>+`rVW`STX`I~{WAFxE;k;@GMFn$)OQSh#pr?XHHST+vL2 zH!#EJkapqAkL1BA)(rt==7(w1?M^Naj2O(HvpX;ockiGElCSBXSOR_0d<^immt|75 zn+p{VpHXXcPp$Lu+(N%EgZ6Q7mOS1N`ju!edOSMo@$BFX*ckDoF;8(8s^P-#0&I7s zES@+Hr8l$ZF03Y$mw03^ti%TL^=Ytp2jIC(gMp#iJM82*?Fy05%$WZ_b#n*;E9{R2 z0?P5|GL-tv6uYLg9)@iH$@oR?x=-4Y6k`Q!GojyT;M*ajKQVF+SvoAQD06)7hpMEK zY1F}*$k9ok|7`H}eR9i&2=Kg;Smmf?wqlLPz-@0dT}{&(4Ky`H`(EZOqRv`n%nQdz zr|&U{8D*R(^BoQ9kfJ<3KM@aFE)lQmqM3LV;e{0bda+()<}J_|t|35gh*L|*_eD7r z{>Rl_W!w|fxA?>Rs+ymPM#GsZy!|21*O;1qh71k{ki44>ikoY7kw%G zN1-r{xQJ*pQ;971O|WY?jFlL$`iLh+zcj~gHF`sJQL;Zywl_zRGGoYA%1AWY!tmYC zs$@A-+>oK7>Vma#Y{@ELL>s*rNxNZ0OEmMUR8n`mZMbWiXYL#E^;EHR-YOuhR&DVW z-Ndz4un|*^aV!x2F3&=NL;kDBVE`8$FaL@@SNKM$%z#nmFYYTCzx+w~J+~2sgqDhy z*lAufamctuO5|&P@QX!izor(!bfIm>pmo^2e~Wshr#|saL8bWVd;gIxtA|_Y6s)pl z{amlBh1hNJKnPb2ofm5zBUi~6*+~-&4hp@?wvZ+JHsrxp-_3(CCWinF8zi?Lj@f^o zQ$)@f!u#%UklG2bF>7qDQfK6ML;9Dvrl?j>;vOfz9_qI4@-=F`ef1k;kv=C1p2RUTR04F znRnBB?gKmF3c=E49EbVC%L*saIlza6JHk4)8l533Yn_O*>mQ6#R;Oc=VyYr7$8k2Ww#y9w43%a zxS%X+_=b5H>KjaBF(=esX<+QI3A3?>Ml7KV8+97TR?s$hxKkL}xnrP5j;Lss*dk*T zp%WV`$=JEEB``v=qDp7*91CCr)5v%b{n9$Ric z+R37Ly}+l>rDpxkjLH80DokqDB}!-K_(@(?C0WOM=B@Uj$|@&7Ei9jN?69WLD50Vb zd&%+fi5>EYQ6ik}IyAsBYy3SO5oL85(oxoE!=~3wZd}fsux^!3JLkt(RwOvE>Z4Lr7^yAlv@>qE$eW2YAIPb4gb|pVn+0s6X%qrEOQ(~494YN#UHW- zy54IrYvyogv~ApBaH-p$v%c=3l`YJ1iX2vMoLa`t0zuWr&g(&T&d$EUW=jfeOh~c& zWO!TiE?&~Ef?Ymr-MoYs;JhX_m!0e&x;~n5bcG^hA93?t1j0Mkv&GLlp*RD|v48sj< z3ik5vm_m7tqN3_Z-(M8T@U_kn>BFMsUX}GP#6h|83G~ObQjt|m-8nn^$wQDo`0vkB z%VF6~0T7sj=sek1?R&dk#0at{pUHaRCtR2!zpi8opyNp6^GM?>;tQ?BT|PlRWmf_E z>glv^7{S~n!F%}ebqo+~22fh0ufY%tfzF3X=O|H{hcaa8nC`vaLrMC}cZ*0_)NbQ( z=M)tD%=3!@2`AdZss-N4KlG0p9E3=V_@)NeA!c)Zh#U=Sx0#ZO{40kl(|6(I++BwX z>yQTN`%@`9f(Lt}X&NaVWR=i%EF|-w0d@_m0O3ne(0{fw{r zpUu3oj&W232arKAC^6UNljHpc_ljhZi3to*yJ`2_;7^zZb`E9~ofeu%UwU z|5Ib(uQVD4neW!g*ILQJ+dWfdmyA)yiF;q-jB*Cti(!Jk)?z7jYQI|FWjb#YlAk{} z(c_C93nE8}+XGI(Z{qSD(^eaz-^gJ&cD?_WJ_Xtg9V8H=4x#M2xp*y(t2U=gVEOz_ zdMF8%ohW9clAg7F)&ALTo85=;b^dIh(nFaf;|I0?{@p~r1+oF-oQ8lROQ>ttqo|?p zx#Q)zP8KM&l!BYP&AZ%H%S=cd_zKh7Alrenw=Pta^s35u8XxDn{$Z0=M5C{u`n@|$ zOViAn@^kqb-Fn}Y@{CQr(iTahiaEy7Wl5ufPtxrGi8q$3jcmuu;_L0EkQove$JSpdBWObFz3#A6xcY2GJM9 zgZsmsOgO6?pAHPBeBBI_DiUQBF&UH7BWla^l21UempZ2HGi&-#_2kk#N=H}4lPEfc zyjsER#}n|Eg&zmn^?!_6tM-2&d2IY9kVG_-#N{&xuf!pMw3X#5NJE6Q?O^?HhR$QW zX%*GK*{abNgm?>_|5KXy)Gg)u5*QePE3md({FN)vodlk~6{KtVIa>^9(Gs8p4hQ!= z)FMxxqvzW3jY{!+IkLy2;lov^`9? zshvBXrk?Ho!$i-z)`w}wOb*<)$eLY4feXHFOL-Q z#s@&&|HCW2a*T4D=J&N#=DSW=K$;H)*A)9hQ;C_?eU?+g%PkHz#}+%nsGj;?ujnXz zCsnq6CI(A|)n<#%!h&5;<3^EbxE|J*vwS@g=r#PW3^O0})_2nkD{ucM0Uq6uCYr)R zlouvanR&(@q?T#-?vFnJm7hBIpn>=krb?(cw2Kd@_>>~%PqPR!Ngw;ELMwv^Oqu_> zq}GQ{^CewU~+U1edwOjus< zgurUtDn941E}!}_PcDrw`8CO+Q#5@Jsr$K#fQ55P?Ecy@@5;?{{70a8URMCg@48I@ zPjmEzKaVnUVD>>r=@d_Mu3wR39wF5$%+o_|5A`%MhSZf6&-4QE0FN6^$KOqW@0;;k zS>KBy=#~NZju?leiC1t0jyF%ndAh9DdhVF_4`l}tPdcGM!6tYKq?dPi-YhYR??bk( zC)yd-91WWxppBo8X4^`y{9>NhUalhiCrA72J*j*7LU&Dj>?jx*o42F9%;f<;A$t9w z=sYQH6*{|X?)Jw_5OB=i8Gtl3behW#Vl`_g*!PcqM9C-i`Wwd!1=cszGfRvT896z7 zMNW0SMVzV?mGe0kLrNafwR^({RyLth%0goZLXIC(`ivi^&qr?}qUwfv{Jql@7T>R* zZk7CYG)v3p;k68YEaK{3%SlrXqenl=H5OF3V2!Y&*syhG7D)f+cz-f199?pVc#^F# zGKD!oXlZS!;K!ri)bK*-#RbREZ}(P(>}(txt;F@X9`|Hkho{Hp(H9K?IES_^iJ2)x zIzQVYPCfn6g>xk933Otg{?m_67a^#T{YrfJB zwid*Mn6-?LGBUWr`WMz3zi0|PC(#hmu zo#;bTrq5u`sZ#woEK|%doqD&YGlO63462f&rsJ0o-uK-|@1{=x$Wt5CB+?KaeyWiVA^1DA*2Hn-In80JClki85=^ZzTiC zDd&bV$+VMsDH#Y^YE;Ii*suYh1t)=R!Lx*w*I*iW{HzsQez-&pes_b;2sw7kMt21j zFO}mbGj5;oW(}p93rN-NY0FCJX@cOdS}NS6@{@-$G_B%Z*aeaz ze+Dja5N6)hZHWp&AfZt9>xb7*q{*xlQuKG!dwhMpV`~lNPT#N

lfXJ<$($F6-Q5gtx!juD3h2 zzuRE=pVV3#l)67-gr9HGA}w3MBhJ&DpjB1FkyP2Cl2kF`kW`%$kyKSvlE^U_X_*4l zmz@CW&j?|D$yDMUOms{A3LLtkW9qGNiorvF|)*?_AgDnc8|DvbccXSTTE8Ij?FQ zmF?_eo}SsK+RRC}EQo%dLo!QO71WIH(NgGM7;#N ze2i^eAwTL_B*zh0?JgG>GQC&yZKR~*j%$V$_-R_KEO0_eS4V!ihDzXJkDJbCFUXuw zU~RfO)9D8`+xY+zGKksE42apk{swJN`{nqk+ka2cR)6ic>M))_u04~8Hg-w*UV=K* zIsR?I6IM=yvbh5h;piMJpwTtG8$lEh)7jR~`CSc=lUL)#`=t%qaMZYW`WwdSYwFgm zb85Z!2O!6y&MP9&oLH@UQ26&W{njq&Y6})^OD+^j2mk;dUz_RmGvyTsP>xn=ci`NRt3 zn<>W8?Hw^@Q1X+-hy>1_WW#J1DEJl1SP;ZXQd6`R2J1D_9Ay{t zC5oh{EAG6pt$AKslO4Sd+4FFsG5QrvA3COhCz-K#+bdmK?6~y&NFi@Pu;?-}#y&Ib zA7e;S3}08}j;W&!Z`iHy#p4lRWG6ba3BofAlh@`5DIv8PT)y65j)|k^ud!z9KiAtt z$5yX&=nIU2j@41d9?DqbYSwt!Z+mYgP`R6@&MaRhWwA@*Z%p5nHEOqEcV=<_0hpDq zSW6*eWkC*n^DZE`~D8Paa{Nn;_n-*+L*<-Ao@P|I3#U*4^4&by_AZR8!B7G2-7O{UQhp!~j_a&b z`8_b{5_kx#);z?fb!fMs!;!G4plNLwGpP<^mf9#RP>N8>g;PGK`rBKbd`F<4s~Jyu zb;*h6<9Y2uQ%F=KDB7gDxLPJ?Rj}QC_FVGre}0w#t@aN_s}Fvu59n0(Na*MZqO5E< z|4UhMmH(HrQuBp>KglaWyC71iVU&g=;%M+$hf|;;7yP!!XPL?w#^r=WLuA@R81fhD zNmCYSjC`Ki2&qh&{hdN((m@8MUxz#}Z!Dkh&dPJa+4z45t6+LtURFuu2t~JD=b%yE z-C$*l5N=abwytApOu-ew^2|P-#sz9qub(vh%|Do1+Uoi3(2dAa3Uq3{P<=SXya>IK zXmZXRmy~t&P~au%4;^ONGMd9_Inc*`MByncz{%Sp(I}em#STbFHkAw;|AFenX9Z7Q z^N9OL(^9(F3iUS}nly$v%j~hptL1A{QE4d$o%$Hj$w2b|fj2lLj^u$rFnkN?Ye8 zV<*25GAmEW;b{FLE=45HN6<52_EXPCA zRWs|P0|jNd%zcuDE>m7xW0<+C2r79(QJ%IcX2Nly?x*nj-4-4;P#TUHGADnhHPK`oB@5{SggKEV4OOejvovW!%q zDes%bCZn=2h}^<2Q|!*N6oup4|LZX$Ta#HbWR>kg-^3K;zv{~w87}Lf5?nMHz_nqO zR384WaCgyzoKj>Kdz={inqC^2j4z9=pXdAGD%kBO=xZh^DQ|YjPIRf={AA8V-k=WA z^1Vovk(vP7Fa%=?uNg-yxL-{*3BLcVvOSL~%t}$72Q@(`{eQ==7GygnFy*zu1u)8> z;gA;D@u5tOXcIlk6jprIezpEJ%S7Z0m>XOtQD@v>RG9XKVK%Nd{JIngs~u)B09}d3wEqwE zl~;f_gb|Zg0tyk>>|R@Nul7la0yE3wOQ+?MW;)n@6`fU!i8?0h25!qky=2T*z!#sJ zv%R$De;};p-$o*<4k}N$T&{e%J>{!w50{T_N1GBT{%^g2XO93r1H)di!UX)Zk=-l7 z{NAK3SQ3I@7T`*k3R*7|al=@h^{#E+fJgKUAX_?SOIfosr$t6(=SL)Yrm$T^lhpY# zLZq%k&8(YjFcorvm}dXV$^xg4E8}vjbTQ^PTwp|iYdB>wyfV^So|Z9sE*5D4GjAW3 z9eDHiV3hK&>-TqQ!LVz*4q0M?-wrB8Y~|Ru0bQXRST6q?h1Dpz)vC=`6D;M1&Ia^g z3dFZIFoj-{M^&jF4~f}`ylYRzg4Uf@3w{*GfHuFN!5%>gD}gcnLh&4Ycq4U z!EG8y>gebEe@s&#&tULun%sj#E0E*`vf?dn!=!&if-|YG+4V$0)lUaQ=fadJ|J2H( zIPNf>H28sYU$UfcJ)^B$bZ9O19|r3R zTyRTdW*@m(C<%h&6zYHDuL$+oj6)bN5t5Ly!8V6#ukO<()xM_Q4LU`$LfFeIiui<$$ zjw4TIxi3YFm;PppT-PQuFI0GyIl26u;?{`~EeBfa(GVP7c8$OZ>9y8+A zVfytCIvw6y{C{vPfom0PsdShiYv`ux@cTR03@%hWH>&JUqhu&8XXKl%z} zLGaS<&Z{ccFh)d1L^jd)t0K;^@KDPcyn9i=W9EO^B>o~$XpyER>pLJ4$fNM}tdINeTdQ zQ-&y5dp5nyA|X(PBQpXj2KwH9GV?-+;n=VUx17%xa4~OiF<)@s?#FsV{{vRlygoKS z1wh8*$-9aZWr(rnz?#1f%n)n8^JZQDmfrRgiaoWddnFnin*ZlZZ}_YHf3a8wj{o;8 z7S{UEyneM*!8fS_bt!I(a2Q^|&phpaqDEF^;FJj|=(1QU8AMK_^BV%Zt#SUaDkqR- z-iE<5hDB3rtqJVjFerN1I?r z5vd=affVY|1B_JxDnf)oBvRb1S<3UcXNesXs;9gwe?mPWs-dZt1DrS4p1J&w53p{t ztQrqF67!YF^ZIKirwy+7_z=VFVvFRvcp-MGj8&BlR>N>$|Gp*zOGyoOT{Rw?rX2#S z;gGj)K)4-e{dp-r{8Tgmev7e>IRoAIas1tpuDNpwWwvt#Mx~d6OSGvU-8-__@T9Gi z9d)+*pi>a8$lVTIq6ue`*wNhm%D^@Ian=aof5Wk|8$dXgk6X$*gyeATi2-89l!k?rJkjerAj0)`lZk8pe@-K9z?m50Ttx0yk143hm0Qp7$-fz?u!~f%?BX1j zlYeU*s6UVE_s@Z&kB=SNuVzT&rT&P+%EWu+D5m9!K_$Dm?`a`KW;_uqsw4+NEyC;5 z_&8NrE?h_3+W|~R59^O!pY8xueCu4Hvl1?<`rFX4XYKk#UDs5v-m`T=3*NgA7s9$e zdUWS1>6E~*MihF~%30Q>-O5~bX4m5ex+N1)(VjS-h3LDIM}C?|25t5FpZwxQG?)sR z#1^htT&eVFld2v!t~Vfa#J4}v5}|E*+mw666j$gjQbX|Q(h}-dZ&Eb~on!B3gUL7F zp>nDa$Pr*WvdBdw3tb<8K~aDHItOtZ8+iPTDiXO>lOC>Q`u-h_gx#gpYYivl7c^rV ziFEv3>^Pe?j~iLTm4KM>CSGWNCm&+4Mk58Q3q;f?mf!@68WIiiM|pTtOn+!#ZiTn3 zfcG9|NH?-aX5i9zd7f|hN`^5YABD9AO%!tMF92a&dPkiDwd^0HnJc$h<0+zp7z6gm zwK|PC<)@&iAh>E~LTrVCOszj4NgitQDN;1Tjk%$JT;I6lum?$z(}suFiX9hko-8ZS z%6Qs*qpX&ggk9+Ku^}Hm%Q;h6+`{^g#V2QKA$&S4N&)FFD*tz4SKh>x;8^ESrAnP_ z_NEawE;Cj(B_e(v7|i_#+~Q0reLOYh7<}B37{pxda(LVmc@+p6{TF%F@0hamZ0eYt z_{sl*nZ=XY#*IEWKuWhSxp>&}qMCL3Y$puqDWY)mMVlnJo^9a?a5_pD-+a zbIj7FqS4eMZPZ{ zrnEwVQS0F_8YZm^wdE4BkIsgopi9dIf*`H?M!E`sf8cMSKQ5R6xAUyCo(TJvDNAQvxH`(TX~Lmm9H zkxGR%4a#TvW#wUX4Z5WFiTJ-J6;gqhnSt{mq>^(CqO0?ZK9Z*0kI-Rg=_QMbnzPY=e#JEnCf8`)*s8)lsCj@7vv4lJ{VzD zFzM5rkrJ`}Dl&|b&C&B}*6m#i|5s5fiy?gph>v!|{^A)Cm5@r@03$ss6MPZIP-nzH z<=R5BUlwM&Nn`rWeml^``S5o)A1$SN8&dm9q8}@oTQO!Y7J<{isl>(dTuD>PmC4%& zHB4El(QjTcoClZg_W}9^JjJIC%vE>7cgZh-0V*c7IPR;`{Mp$iL?8&i`acMJ3+OOn zW?jt87<0@_F*7qWGgC}4#>^bsF*7qWGsZE+%*@Qpb~pL&eZ6g8+jFFEM$)XL)oNGL ztU#`$XDdz7f2-r+?*y!(ave$XQ3Vx|L!TOM{8rS?Kq%Bq{^5kKqQy$|WAZCyJGDi`=9!E}<~&&0q}1)(tN(tfnWIp!Fr+DihNUEG zM4btV&_E0XRYH0=+`!(WWIKqlA~qW`oYP_NZKkl6ha!~>GMw3wQ0^B#s)Ryl73##1 zPdPOfG;p3pc}HPMfa55PU>cRLfYbxn>?!8o{3`@1dwsXeDsGjgwt62cIzfSKEFwfs zwnWrL4+|Wx+2Goc*1VS?Z1{6ho^bLO?1riWuu8Nt!QDJnlvXMXQF>{}*a&5z|I=v# z=BV^JTsI+P8Ag@X3iEnZf+#as7!i1x`{}>O+ zr%pPqoLcO$?;6+!)D8a4tKXUY6si!t`yU+25M09B%wuFSN{+v?LGph|LPXWc)A7@2 zDaboeunIUmb_xGxwcL|Zi6~}c`?W_s5w!NMpqfieEqY1X@b|omSL-z#Z4xW1aXc+6v#VLdLS7BvXOL3}MeSX_;|S_WCw%RP zm`%Ur$3gl1dHocuj~jV2DF#$eUbvQD4l>lgzr`)D{I16vScEbrX#O4@AhDvIzXIZS zn&0jK6~1m5vRawDvKAJ#-JlbHHYm#s5E?I zYJquGDK^osCFA#!b~R)RI*gi)<#dq-XWB@Cwt>g(9%BA8bi;EI`R_V$nkl~nmHI6rpl7jxqZyjM+rA!JcZmJ3*PhKZIca)cTC<4VoUSoe^R=B&^j}zm*Y8*jti50p(VV)-|HQ36 z`nd+Rde~1AiO?<#bEWOTb;u#EYV{HKd+{&eUbgW#_hLiJaYPn#^9&ca+vsAUPO-To zggbk|RP{O7!d7iMytduJ5xCjm+SgFJXgfE98(4z9k3+nYICHHF9A9G@9+diY1@_|e zleU~#KqNixrHj(7rex%!JL;drLzsC_^mHp0;B5 zaS)v`p=rLcg*HyutV3%=aTtT=P0OfiuiBvErzAgAWAyHrIUd#6cFm8?$M_EvTerD=;H) za4u6;HWylrB8gQ52Y&!_tnM2X@_zd21Ba7E0w2mDbB3u*^GPJXPr54`6+9^SA~7&$ zr4X*~doq~lNgTK6i7>Dl=6~7+wy^m|H@|fz9_E(w4nOzgM)5AI+eNGh?O`)aDR&Pk+7Vj&HkYN<55ttzIq zJ1mqp1B0vKtB1SA7Q7N>7mArDVIB|n2N?gH0;o5_p@`td8$0n2ZO1H$aW{9IlMY(Yzkh4jQgJq&4U9;)ZKRKYQ9Z zaQ%NM8+UU~TMW=e5u6#&qb2eb?Y*;*en^-{ZZA<%Z24NdeZ=6eHVdA-kye+3{#x_B zB&hb%SDHu43;5t^_9D+G>jaCWJg~YjX*Ik|?t|?5tp<2!N&ieNmUPJz@)0G1+tl2H<_YP9p*XwBCVn}4YpBL*G?eh zeF>Ou^&{P^%+sS@w%OjREqG^#4v)}o>(LE+k9`?Mw3p>jSGL)?Me4KGG3q?$=;VYlsRpE$ z+teYHKjAl=n|?YIpBA$}$jy;YTS-g;tIYeMI=^4-Ba-mHP1T7k(^?k|;$8!5x{LMY zVs=~Gi4_pSst8FdrRNSfk}Lc^u&^v3&a}c$-4M@ zj^CY!6FD=PWR6{Ij5djUI5VqU*YIAxMYX2qL(L&L?bnC`PdaOY3ZPTcjdf<37S|D+3Ww7)uRKPdY!`SPAM!7ZR=B+#h|1qtnk z=j6_leU!S=OrK0DR#fj!nY3glOE`=-tcH^5yJP2ckMTM;@af4UqLPSH_7mQwONCHq zTX7#lCMy2&mN(hG(K|ML*!j^TOtZTi)Ld%nQW_?n^t~5Ir?NbB8dmZ*2OMwz`f7wz zs`c%S5GX`8;F6)0h_yg)&g{YBwx$d5?~zTOIdb=TnRsJ}pwEolIgZUd2F`C!l8L#e z+k-~KcP{uKwyr0lF)Jmj; zXU=_BK3qI}U+!L47}qwY%(3MgFESf^<2Y70xqbD5o!5iF4^0FQx@Xqg$Q|_Z| z1t$EL?ADT@7x;nC(L!$$>+;}7mz@Q;;M_iTlk0V@c+*#&`S)>mg3jw)aDSuLK?i)e z>IU-jZ&0yBvl!if?SjS|sXS?76!jNfU(v{h%IO^fa<=$@oMkz85yKdMiVtVkHKlK& z*W|EgX2;BMr~EiK*U@fCe9>o~L_Ft8D7|u_1*^%%&;P}Se<_hY){Zq};N9KLm*y*( z?UY_Coz*WiPfSy_QY{rKtkD&9{ERSM_Y-%zwgW=M5hjF;Fj>Nslk91dm!zM#RlJw@ z`pUlZotI$!2ZK&DAJb^r*923HpK%p=N^xqe(skkDQtDGw!!)1CwZsDB)mS;z!~#|R zHzdD9zwmg|edj>7PQ8Q7x?Z}Dy^V}kM!JrqL93O})Nq zuELt_zgaH@7CdR6m-p8zpQjPm6fi(DGeenogF{`>+g>#Oy+S0CSqK>>;>b`2CtNdJT4>cWHVA2nvQBhn!@=~)r#PzsY z<|!y2DJv=4`vS7>m1%S|QhMd)gVM?iWlKGAvyE2w^?$w3=!PX`Gx6%7V{=RFb-_hL z;F3s5-I%kXBuq6Wchemi;S%thLXpKi9e3?-#%&}+)qk=V=WkoCLl4(5vz9~b=GY*C zg$-RMf;MyJ;gIxeICcP$RPR0_X8jSOK*8yX6VZs2B?!mfNOhhYZ#UR5kUzl~<{k}{ zVgNUavsA{#&E=GKO{!@QTKYsGgH2~t6Qoy;g+?H!J)1uBKtZS3d(DSiIj+eJPcc#GjpcMwKH!1CXggMrIdc&E6lC6A%xkKCi z*tanQu5MJC0}kP{%S6F8B{YIBTkD_7B5fdakf+zu6wORY7t`WwCn0oZdgl{tqA3>B z*e%y#nu_GoI07n@!seuXjGEx{P8rlx&^SiyAs&DzTzmBA%f^OMv<5zU4p zhNTp?33mu*4q^py_m5RTB{f%nnxVDy_$|e8IlKY%B8lGFhyE)TX=0E%A4AAEyPl$D zu2NHJh&24q204|ccbXZPTq$@Xlty=ykF|JVFF2tv1!9;hY6xQfV4`2eC^m1d-F!A| zE!MslD;uHr54CeHC~1mKU#UCZu)JBqx^P4{5c2Gj+8RFsSOVQHsMdL;<-;H1l$C7J zj}vA{F38qdemwk9o{4z)v%RF%V262Ty<=NXlV=T|i}drSV(4%2n){}s>7RyYwljse zy~`^_G8_RcH$X8Ye}f?Y{BrO5&A0g8)y6mU-c@2FdE{e3fc;_Dr?3QP?>8CF@?=I|FcY&e zgwYhd(Bi8wioOt=U>Xq|o45^WSU8SI3##Z2JE=9y+<-ppck|k!a(siCIr*xiW9W*b zV@kkER2*3a&O3kKYKBX7R@0TcEl;v4C>#AO#Tv=gwKBV(R#>%Frn`Mtm@TWVnmv=} zo`E@b&|rvM4Ee%Iw>WA^j9JLvm-z*PwkHt7kQy@B5K>cGnOGAvX<8FT-EZ$S0M_wc z310+tyO%X?cJx!!U7pje1x<)sED}M}u>fW?8 zAYQ{}%aZWZegmOSv#w-WRxWcP)rB0e)Q%eA3D+tx#yN~aUqR&IQTG~9i~w@bfDKlN zN;?C<}Yq02q$~n!NAk zQgCj!cwrc|l;>WX(fWuO23hM}dXIQe2bcN~(_!!ePX!J)hJnHi_z&sp@Mg9irxl~6 zIr;O8csW%!+ufVxf)3(pT^Qv*#6I}E`2r+D!_()pYMH~X8~^dhnX}`h)DcbgQjX$I z_$KqwPMf=Z0x#RfyBq!M)L!E`XIA%*54*FEo9<)Xt=XdDV)j7JM)!fzF?M-dBu6Wj zc$M_ItmWCgS@T9pVnt(h8LZ8DbH@SOwx|O(I`hnos%JyFh(t*Fe>Rn?c<%FA9Hw>d z7nSz;UO)#dqGMSwd*gIgF2|Yr+Ibu1b}3aDC09ZvmPxy%5+6cqJMB{Fty0RNV%9HQ zM^0m_DQPZLh&Vb_Q__I@$yNLDp4)QLGt+BQs^6zob;jfA}MMUB^ ztPA{Ko&yzcljdik?A8KQAKH$dayq(C2X!0^4c8ZitQD4Q0xd9>+B1Ev+vjNG7{zjL zX{^;i4%k1>H?_e zl`#06A8ySXz3qj{`9Uc%EFYU%0$8(UY>Gb+#uFavhE94*wL@z=v{!y?TxzVO23{Qn&>Ss|5>v6PljtV_HkYkQG$euzIjr<__-$ZO9QbrtwQ zd`~8Or@}I)V4K0;IQ267`&I?_NWu11fUWX!)_Fi>hDf2ksi$kCf0rshA0dil#PWvK zY-%sY(w4W0oj?aSy7K4X{BINMo!Z8$#bq~}f8N0iy>HZBkn->b{xf|Vn+=y3oOJ)? zG`@7yUc{3ILgM-~atcuSjk>4+QE9lp9{NgkV^itq(;rR3(*;TI5l?V@^!rz<2$>Z{ zbz~>yGIi<|WCOfXY-MC)o&&r;;`$~Itis%3iU)XuW{e5?ESZqE8d5^-wf~54((Myx ze2afI(cvxGW@F98l|(tuH+aSjtxA89PP9(^{DZ%gqOB0 z68KxPd)g_-VBkB)5@qJbd-GhcIVqG8574w!KL%~spa5@9C3^($W=)6xx-ZoJ+&iaQ z^~;=wHWyn}p`u9RQ#n4NIuE__XS5ThF`<&}wddxt?Of`^+|sjL>KlJmFWc%v14qYY z-(HcWZecq%%J6r10(Dy153!9OiEH~EKue`xTep${v{dV~P4BE0aerUppB!V<_={S4 z5{KORC{4hVaIx23#FU3$>9;gW=cKd2Dh{^YX?TVq#(JBl{#k0Eqogxa&YYSFMcBI*T%5+V4RrO52P}dF(L2NO$i-}WsTf4;5P)B|tA45>a z{wfW3BPZ3rVO}}kuytYhNb3zRkNYmY5i}FhJ>9(3aOB$>C2ZL`-e;*I&??{m7svmh z{I7pJfZg0nxW7p7-t0`O>6WKHj&(62sJyVH;Rr*{3gzJl#p!+}6kHksHRhjRTwiD| z;B5Ka7rNDOX~b#!3U$&cuHsq zM0B8WmXC)f=Ve2h;+Q8to4&174CY#@X#ckPNXN93sk`mAJZ~bC`A9GN>o7>7-XJfK z)=c^9HQ*UsX!Td(o}6&|fE1fX&&+?uOHjSgdZXG>k03i(?Ju6I{KW$R*P}*g^JFdg zcZuV_|4A^#S0dLM)R8JHOKewB(^jioy`d@Vv*1?M<_MnXD{1%!mtBEs$?umx-Nx&{ zXe@Vf{g@Igd1Hx?IKOwbg!C7sP-FMO$!RJ_cXp@&%#Y__9`h~+U8}ga3b-_|E3&+Z~G3PqJPndCYF82iS_^0)UQIX&7xW)OBEi< zniIe?m!jiGL!_!QWFh8T^dBxUrU_4_0BJFLut)y4*Z+mBFzKX^5C2{MvWC*8;8`_w?6$zYB1sJkSkSBul9!m~~8H*E5Y* z*aMgSK31nt>#V3{{O!*C+r94h5>@r^|7jTDY|VjkV=1EeXQ*h>q)wO&BOh!cH*6va z#m-oO5@PbH|6k@PdI`Jx|JS7cG)U$2;_6_eQT~jpS{BYZcG9}ctR>b#RvwmYN_TX0 zfSXLF{JETMQ?(Mm*gSUIB_iQVumOW|9eS0UErv|Gq|~>Xf*%RHP%>I_wUIx7z&)7) zQ|d1|Yy)xRfK(DqY}~|;dk5)#bg!)huc)Ub?qPDZXve`JQ#EaJE%^N!$GEKuX-Ep5 z@WfEb;R8*Fumm4@7yfk6EB;jDbRy+vE?_MxG8?((AL^gO2ci-V(p`GajK!wZ!i=2c zGN@pU1wl0q(n%yi2`Y8y#H$Lwu(aUXkx0ybmrqmUIms1M#slNABdVxIiW%`iCmXiR z`8^!`iP|~S<-^C`L$|2PqM0_{{2i{4G@!;sMjZevk}5}8xU)=3j(`V$=!T?9Hscde zA5R}NIYzD};V3oVML*0PC!^dw(n5$=E>rw{?&nJ{Q>*DR;0y$u?!VtQ8N--uSCNrC zOMtj{eC*vsQrYSGLRn^kXXJ3iWs_@IJQ+`JImzvYhznkOhg+KM;p@?8JVc5#+ z1XM8<7*D6y0AuTL{@dY#DhzLm1YoocXf8^UV&kJ}?sAmx()o(dRjsO(=eiurmNn$n zUbOYAl{M)}xDZ2jEplX2{~!8?jEcP8Qku^hW&azpcSoZJt-u9t9oM)`u9dD9*EmJ4 zrKKE~Xhg2Hq!pLA1eCI+)I8H4sbw+J_%`*1P;rXym0zKM0?L!AcbwFYjix#;s1Z}g zL=1BUK#yQqF`{`Sm{?XfTuRfNQN}!0N^_A>X5z1+O#$^!vA_-@qYUU4I{xVvUgKut zmQSUmjEnSoeo!7MQ+UHsi>$bsAIA_AXM4;&>4+|X(fwT^G1 zWzb|n@T7}3kb!@(98WI7%&-5)CH1kwwf;fw&s=@t3zy)2=KvyxJ8*OYJlqwaSw&^u zWKk?4SHDkrQdD$fQiAuz^6)Sj6O-k>p^R&!vRs@5)xEu*(4 zn_}F5JZDifCkMu6b?Se|W~;dOr5byK`P$+jFz#A||Ykdji>zN^i zI>`zMNpfxI=E1slbOiPlfRaGn0}7ti(#VMl==J^U*@-^}J>?Z#V*ID8=P5SKF7bho zur&QYI&DCn_wOHo0{fdw{w-gqkqY0xX?_4{Qh0CZO1BO@0{0;Iw?h&5w?pCjuR|gK zuQTy~?^1LF7iFOHBWQ>`GG<`%BNYGKAo9kR$L$t+28zT-BtD!C=qt3&%UHHHjUEBQ zXWcQvMzKI8b*zgjiL2PDBvYFMTxr(;9RCM^%>3i#8|W{9Y)X~o{SET~DyC`$@N`N? zUw}JOu9pNnR+ax2GBp4?(l=sB*Em!vKtl{Tt*?P6^Y`*1>0#w}7Juf@qvmQt-*@hJ zA2)X{0hX=vt!4+ZV|P_hHce!)A#@U_uv3v;deL(cvZ}isJJRN9Qh0_-5j=VpK@tjk z$#IY$i~U9d;AF-VdP7<5F?_DXp69~%s{^N7R7IelYC}m2p(KA1ME(9ugz9-Na=#iX z+k%{GPsrl$Of4RADF)sNLxLCcH?BxxwBIqXCRC&*nkTVVeAPomH2m+nlLL_CN`4i% zCi4!L1t33CMnDt5$c*tNLJ?!azN-wiQJb!z*L{N{w+>$tLDCKqmD>|+2mQqMJt~d+ zw_GL~nQr)~5>kIqO*ZHrn8*>oI z{10rH@NME~f4|OCZbKqNyW09g)UHhsa8S>uG6)2SQ!_$TKy*>$mtYUtJs1VA>k=n?Qx0UdHKhRDl5q5QU>GJDWS9|S+>194X z-grE6X6qY_cmMQ^&fJ(FgoHr$@#WH6-w@1Q5P;=0sKxTvG5T@`eO6~|EiE4#VkT$#I zP$1WCFW$8wk~?E4Z;CL1EV6#XaTp|NwXxx@8bV}8xPPn$6XP`vFum$QpFDBC(&Q7z z8@=hf-q34%%#$ne%>!U^LC!@$S3|*C3>IAvG;i-;%%+aTI^E{(?qB+Hs~I4hkkLAp zA&l0orYg|HZx>$%x1O(__ugJF4w;O0Scz;hpn`tR_}O!_60M>m!VHRoM|Uw1`to~! z)HNLJyu6I(2Z)G-3$v>MTh~7|TS8Ah9E|FmW$H)erkkAZr?hdIGZ>Tk3IFPNb8F}C zWSF0F9R_>z;K`U|`SE?_bPt4PyY-8Q>m~Q@&cx11GsEbm`^Q?~!k>dyIE!I*(Pu3Y zq-d^HhiySSNw@6&$r*R|x0VhsysWH=cDxWoYepN*K%@T82)Ofxi#1TLpE0d|Wm*%)cI3suj@hCt-%;u8?)CivJpkr}#sH8YyHIeC##|6=F~?tgAg6f*&ADV=2Q zLGZ=f&HH0VG#JcPG{-3%EP_hWP!1B#Lp-O%g625|SOM1c-ul|Ezy1NqyB-dz&}!V% zU$r>?@DM`ha6pON?afX`N2=kKIjz4iMyz3RC%x5%KuK@FPh!XH)`9oFBaLQhBB4%to4yME3^6HaeaLZK!{U z;6ZqU!2GnVK!3wvlYrEiOtDXVaChlPGyJmf>+;zteR=9{hcNps5Mm8#(t^zv0Ez9h z&@6IKK_v1z`?8fu7&!Og@VLN5i!KX3l>c=JKnvpG>n#HTf@Mob zyZemtpsKl7V=Nd050WGd2SULH34@grGI$tk&t?s?P!V#;rt*rAqFW)k&uVl;kFBX+ z@z-kV4l)TBHMBxcM7QgLJbAQL;KD+hc(a3WUo zp?;=>38sWT)Z0sF(ywPOVuF^CLUHXRerU8{EgC2dRUITomS5jI!~{R+hMr!}&RjRJ zf+oq?7X&)*4QfV{8N7Vh!Tq791UEfdQ5Kv*H{>sC53&^mHjpkZE}4!^bvHqMv$bL$ zQ)31WU;cy#>HX-MsAxnw36Xsb2QjH9$<3K@%>nt5{bK_yDJ0}TTYHf}8EC)mk(DKA z0W2krBpLv+uolCjh;r*-;Z3ni^?X`Sa^<<*7R)ASV76e<$1k>F4Ulz;W=g@ncbxu* zoQpo%PhHU7So*Owg@^5z;X!XA8^N7z&krkmM~-d+-EJHwCNGyp8WNbB9{fJtUETT& z48CqRRQ86vklclbDS3uvB#MTUu-+aAkF`utc!}X>jFegH-CweLQoHe&T6Y5&W}tg% zc*bII_VKbDvHuv6@$NG2FPz+LyZXF}e!6>mljh)VDWny~RL>DRi5A%K?P5U2V5g)? z`SIm2dURAnRpxzYbo8r!8`d@O-Hq*P{6&O^h0AF{loH8~!i|9ovlfdObXJV)PP)%= z_Y0ekMYIR$t~U?KCj)o<87NV~3 z+x-X+9-?nXRW83id13oi`|2u~l6dK1T|O)vpna-?%(L(!UB{%RX1MV%i>=XctN;#j zv1uT4nGP+?|i(DJL)yUlC5NXWeyC&zCS{LvYrZcmN{)>`QbSDBj3$IasJjIa)NP;(#zN4ADQOM8@)v4#8jVJW-K!b1{BlXbS-(qvMjd zyQ_;2KkD{33|P2xprW14TC|5-zap|mtrX*IKW<2YZGTUl;Xn_w{=hjJf){O-=4))K zi3cUo@hV*2cqLI>6C8Wm zONTBG>!z)XiBN$}_TjS=*t9Xd58h0Ah{r+szo*1(bP06#3o96mhgJ_7?|T1)Z__fL z7=sk>!G)>U8(q9fR<5z53DjxD_IYVaHuw1T#=By?!H0>w{M9(Aw!d^h-kvU5PqXYT{x)uotMGkS&U!t*&|T;|Egab4 zkY7Rj_19~}#Cjy$8z2Ufl)7%#caPd0*(uWsAYe2Pv}3tMd#vWef&CtXU}^%*pfOL= zo4Cqb0;rK@llolOIrL~6FR^2NGv1mVXb`y`SN55Y=~cn|Fz2AK@W+dzzm9niD?mY9 z`ihAgh&?O&fNtQY(knPv8ix5Xffd1|1WH4$e5K!Px1#<^$ZL-^ng6O3T;xaK@Ezum zNAh62>CJ`41f=3;6^!9;4P{iyNg+rOd{q#Dq>zGmp)r6wQt12Dv~9Wxg7ySlEeqz1 zt3y!5V}Qba73;~-Ld`i;H@%QJ2uR>N_A&H*b2Few>&7|*C|^pl*kE8V$es|BpxcG78J4NK zuq*C|)?C)&z`F|YufL_mW^@x1s7kIj7%ptEdwafWWLyw^U`U?7lMAUQzWgKi2+KrG<~i8$?` z&`rGIchpk6F+{Vdq>O}#ZxHV6QA_8hWdYAbbdCY8*IyiciD$3(I0$n14%Xw^Az%>0 z-&S_P2@9wCyV0As?l_U?b-+?YkbFUl0Lm>S6l5L-8w9TmjR(OGRJqu>iG0}WTWn@9 z(~1$a&Ng(lU7$7=$m1>HD8!+CAxpV0UY7qNjn_u+1vjT=4via^E{d_>DJ_)wGzK`! zQTP}vR8k5J6y_$4%SC%!^l75qC-pcS4}lJ7IuX`@jaU$z82bNnSQx>TvC4?3U2V2o60SnGZ2$Fe3(da9u(}=`3Itw&RLn>Ht62^K=(%e zgCzRuS5yL+>w6`QYjY^uj=$=lArqGHeLTvq}Q0!GLH}5E<#LCVGhoZIhIAQomCc>V8SSBso&p= zCr4gsze~+?{!$$K-x`Lj9?_a4~C3N6VC! zDx{Klv1+?r#~Jg3#DH(3By$UCP;)MoilDqO#bq45cY1aZv%fM9eG*llLQ4^0pee#k zqALSZ3r+Tpsw$f}MSiorn9TanLtAAdwl70F+-OX)SA}lic21I5i*CR^ZxzXwDg7A|Pquk`*Q$pYDlW;{52b|gU?5*86+Q+l>EGAZ$LX1~>I&G=M%|{ChLyXh6f(lkg ztf`tXY<{w?{50j-V^YcZF5}LMa6>R6>##yMFqFiSJr2dgrbgc}r)5*0sj-8;bc$EM zgsW2B{AesDf`dre;YjOx{5d?ag_&KAf|b)2pE{wcaBQ%6R8PR=3LtaBjh}|bv^qGs@ ztz}mw-tQ`Pwvg?q%&iC4844q@&vXMxr>}@en^n}j1&9L&wRc8LEa;@& zUP1+hnn_&28sAE1Qx8XAWop%&XVkmGXOwL))|Nql$ZWjbQhzDhcxQl*a};ZbS4Qg~ zZ1QNc1$Kbx&&_$ptiN61eq5x%<8VRwTK5v#QQKF zdB%6K{MUr7+pp7o0tI#_O#!?$s@fVcsd>|F0e?Ov&SRJ&l{QpudZ^S&2CiXxIOzpw zTe{4^cR}|lSD?3*_HPy;0lUQgVI*bieT4dUUA|k65^1+--sUytZ|1kYk(9G}@=#2#h@h}2;9j6gY;-&^;{^h!55`qPD?z9x+PT;&Ny-|4R^S0$b;|7&ULpO7t zNtt?r%D+JrKfwK)^b&_6#&vzilpK--hbMlf6C?Kla1253VMgE-7j(W8lK6w3A5>~( z1Hc{NMUHb}FL5cN7hg4E(xT^IC1TP-{5LN3SO+kH+H5CA?-sjwB^DKG5)ONVZ=Ued z4SZJQNZzrQq#H<6Wt(YrDDgd7+CC6UOIt zV*FRs6AKUpGpK<{Rs5z2h{%Pbz*Y+oZm)#(m;Z5hrxqrm2+~Ns2~ZCbZH!{5-x+lv z-r1HJhweJ07bHmv!OBP88aNd3V)F2caCN)kVBis#{KeS?i(OZ&3yDCuP3sHj9cebs zaEX*uo?JG@#BHE*evd|-pw#+byaPE#+`BipMe&S5{iGTtXA%Tau>(sY-p9kRv??J< zByd_UDybXj=kO~qjBm@Vl$XbaPv0abA~R~gbXN^kLj+?e3P${qd-R`5Q!eXBTyoYk zcX@ZoB}kOctPxi0Gp&TaNLWlsX>Hz)j5jzL)z8c~7+CDVnQ$1`#r}A-A%eOJP*1mI zG-ChTOJ^EkFpA4K#UN4H&CynHG9L;zWCaFNK(+cYvEF_r5x2eEU#%P+;~+^$?=9JW zEkM5#KVEkJ{_XYM?kX4)0(4s2^zQc0=~DekpMb?zArN60VwfP9wS+=$QI2gUr<`lo zCc!%{C3so56bwag`B|{J15vvF#Soz*VG6QFv`Rw|xf!2hg2H4On*9RpMXQM5BytN- zLxqM=m`)2b>x~No51`aUaS*(O7$rsmq?mqz9Eu`^X&4PT3D#g0fkQ)h&ghQRrFiOq z1a$7RV)+>|REPqCJ>t85o3Isv0854&W7aGC3slL#$sAU27V8ELTGV^y^jDpsU@!!;8|FRw){t5x$c_?mVn#9Azy>&f=y`a5rZls(<*vJMD&=5OY zKQ@5`c+v4tc%|N9lORthp?d5988lnk5y)^`unSQNO*}9q_6*%w1V=>Xo@11-y1{}q z|6qJ*Q43?OLC~pG{H)?q)`5I1KT)%f{h!z{i9kTt{a6yT@aDImL$z;3GKmMl?j-8HvT>B^ z;i}khq-L%ud!^zu3&w~?QBE^ojOK_-erMZjjo~k4dhFU7_@;=rPU9Av!)Gv`@1Pq7 zJqPfQ&Y*-0oBfa(@=W*EI2lL$RMpXFUayi1j8pKfr&xLJDYnOZ&!)AA(~=Qf7ayF2 z+~l~riKpZ>(}*S=gY(}^d`agIcGwBgPU?lBuf}nOx-oBQg*M>1aR$^Xhd3)0nSawZ ze3<9y(A<_qlr>#I=v}#daxSup_(Uu-huCrK|3WZSlmI5rSEHlD&V(K#S+Iuip+3$b z*1-R^8#?JR>ULhzvN+USwxaB+#rTC9`^DjBZT5uZz9~vuC=liPiifw6tNp; z1BB19u{B)8zZ7CYjAP9GZhh#*K!1sjUc~8IJq~eMWjJULMN>4 zkJn4uVZzg9qG}<)Gi2Sw42X$)h@&N+2cbR~_l9}a8n#8{3xDC{_RLNtV4u3wEwbnA z>&v$gZNMgnl?O#Lr)Jbc{`pmZsMdmb!3;kPRMAp)xL;}HfU3}*j4z{lgTihU#$Bfi zGh>#HGzblccy;u_YqXikz*+ouMG{b2Ze5 zLS3iP6Coq&tf|Y?X;I!_tzW&RMjrCU4cPcnkk-*Iy~|cLR^X;@vj|h9M@kAcdlUH| z^0whUv9t5uteASr4#0}uBdbW@#J-svhmp!MS%}X6!~usjO6TE<-lbZ(9}c6JSdg3d zI~&>Oty5U?u^lJ%T=_%bKlF}c#${u`Nm_^3#E^lyr2G#Bni%lr<~BB>9|n1JC(g$z zZrZN4I!WQ}xeHEf@~Hjc;o)U1p$wzyIvv)l zi^W)la&h`(*6uGVXSa#hYIO}8W8VRM3#hPb8R6K!GXe)CT$ui$CNhx+#c_fgN>LHi zC%*9!*rZ@VyoNK2(Vz-cLC;EVZhm-ahsH#64h|#HBc8{#eyAtVXPWO9v*E@**}^cH zZZiHwr^&een|AR#G^ytRYUvUvtpm)M@83XE8!%#|bU%L@dhCwDkiJBC-17S zug}N(wUEK{qjQU=k7T@8g@f=yDwm^EFJTvA$Ws+$QNAzeER!FC&qq=*Kb($c!>yyi zb&>U=y(|#|xxYhWt+6OJym(NpAwbv%qlQz$u+ygEwY-#A0&IWlfY}N`dfVDN6FKq1 z_4V&qf_~83P;Yi{fLMZR3oeb)HkH7nVdRT-u>ZW)YoH)h!E@i17>2oWGBZtrxiU91 zO&B6VWkxPS(`>M7>em`ZKiw9!WqYJwE|MukB0FCaem_Hb_x6 z`>``8Z&u>O8*#8F_p$4R{1NYz#(fL(IfagI}Xe4cI!rGBE4H zwn=>{pk%n zq(K@H1nxbx?KSosyJvStkFRgeuKZo7l)w6CoXUoG*;e8nb{?N!9VNM~hzW-?ItrfO z-`!j(sxrMcVUPkDXDk#MIr9%@uAY`;r45d6p4>aDmMy&bn>kEf9hN!vl57kPASxjT zBMW6AQE^9ef+0uI3qug6m=2;@BDtg~+u)q@Rs<>AP@MC4gecoEo%7O#C=VcoDC5Bn zO`&AYNLVz%!UAMLlwx3s;DGI1g(&=}h9FQNQ$g~G&;-# zpYPkZ>eT&pf846+^{zF&ifOv1db*!}-n9Z!ir>YM7$&<**DJL}hkX51f+XDmXGD6* zW$gLl7UUiXWsOhDB2yZ#JSnUUPes4eI(DXtHlHP>a`k=Gy z5`>b_P<+jFRCR!}qJ!5MaJsqS6%m*{&ks3J>I4;oS?_T13j1;lrA(xOs6vV>8w>RB z9g*)aHm5SEYY#=oRqq8t*BCF=GhB`ut|nh3p&agEq88Ucf2@)QkGE- zpHtP?a>x3}o7%8l6{Yj5TjV3nP6w}rQh5BSdA|h7Cf|EMkzQxI-a(2|1;l*?#JhA1 zeFJ&t$%iItZ7kwFw{Ue~Ene(iBpN~5nyH#fcR5z7U)f_K)sJQ&PR<~1;%tN^9s8{(~PmEAdOX(TspYpvW6FYU9L{fP%5=U0&%$cgB z2A-~uXOYH}r}wEEOGM_wmjQi&k5)qbd~|N>!Z&ym836o8|Oj5)r=?X|lmm!A)g}Z&uO4G-io6 zX-cx#B7f@j6vwN@hcsGEA4oFD7GHaB7i_1F(!&|99g9P{q-uIZKDL7u^G++8#|L;2KghLG? zO9MH1!e!ksDJGlw2XE2P&jG)!k?PY*0r56SY}uuNBk%?nlmggnkyJ~l2MTSa%~7&+;xil$~Qx}pNMFFp2#w@pmpDP z0Y-`&zTZAB*=AmkJme6isB%kE!*M7_^b!L%Gar@d5M&B}4|p4|PIsIPL0eBYX&2|U{mgIRGajMW@DzmtNqM<|6g zqGO7ge)IP;gxiSy+^X6@iq5RE2eML=`sfd?4koHp6m_{}4}Br> zlFLFWESvD6h5 z-ISX~tDG9!%Z(TM?1XaO&9?jwCr9{0&Xvj+I*?>7r4(TBt&5DB^$Ory3r1BwPQdTm~$W2i#r9x^udLb9P) z2YI;F^jFDKO3S~jc5IL*%01@-*FDV3zt`f`Or&a18Nu0qcb-$8OJGfv& zp+PPFHcNm#c$A=$&=ZvUB|@Zaa$k8xw=+q;Cv6#CQv+_6(q8|Vhta(4Va;V+QCaJJ zYBkfN05aHXq%W1=?*%KKK0?naU2B}h0+11Ir-)Q+-%rFxcFBmNg{TI;-{b~ z@&%bsXcf$YuE3hxGtZj7Rx28I^0f+4EKI*7PcAq4Ug=tRf z#@mEdXj>h+Le9% zUJK~u)n-JR;R3{RkkHvqyV8{u3%2_f2pHc<8)k@JL+vOqfD8US0tRo(!GBns zCfRsMI}p0CYkvYGsGP-;77h4R`WR)=doutz6Pc02OZEgvMgj9h)XHiy?E(A1$p?=IiWY3Ehj0clmFd30GKcEC{fcwy_ z>ZT}Qfne676zh0l3afaR!N@N)bb%aT5ij=*T0sB}I5p502VKY^Aeek&a@yhsQ;H$A zEAO4aU~&4wAnBrB6A9mbO#EJ?ettV>g8T`^P81C&7MDs5KTt5E%)w{Ag|`!g*PzYm zCfbR#-3K`X0D|FTBN+gt=PC_a{Q$a)2j)`@Lo-wPWkTyG5(SOXBiR2H_>CxGRkNFp{)6vPQq~%q?_$Tsc7_;u)SZ+kshwP9>?&E!)Ui`! zP8T}<1%Bw`KrB(5_q3F15KLGJBFe3Xv$Y{J2fq$5PdNy44#I4G7-}Pw@pQOh;Jtmt zy#RUDSv$G~|FN+1>lU!9gBN?S;Do`#h2b&_gcPIuL@(hy|>_c&Zi0^3jWDeLVi zrfnIfof)Pb8K!X*ntkjSi05I*3xL7lPop-dVOZDhmaQILD7b)}6(;15ztB`8H!aJ{ zEFS#)J{xx#B!dKxpm?|rxdrSF`^`wZj0Y0e%eVYVOVg%KK#vbTUEc!h$7Ow39hTwd zBET89{~3&|Gv*1tS$BUV*H0np6cI#|zlqUR1#q>=Z^RZFWZ48!xv+JCB8N@^FRG?(V9{-WV$a&X(1 zH)p!=hJGuBJ)C>|>{D}5J(1pDMqrb`Y5pB3u=wfQdqG&m#ab%+4bj49?u3zp=OvLv z1$3czu7?P|bZj#8?&pQ((p4YWvo&dtGLbBV)PI0TWEm~K%@`U4XtSEq;l^q&!-59i z4B7O#-TfiEJA!_(Y+l3qbp*xZUTi@rm*G7(qfEJzrYsF33I`P1WgLd<-yzQkPH^A4 z`Zp}Y*%S}v+y~~o%S}S9k-x*xK8j#lH?wQ4??yklcFB&9y4Cp->UHqBzzF#%wcrq?8?ruO_ z7DA_l+E}Bf0HUvI4re+?QK#&d86@L59iV#|Ka0yMZ#6GV#7c|I7HiH-Au)36avyBp z^yFFT_^ZSm-xz0d&Y#U%Qo3(H3?L!}1r*DIoPBP`f(2kQbt|~$hI>wxjL?rqql+m+ zxP-qCVzk8|BmUr-Eig^-U5ByCUD}+DvD0fx0tXlW2wzFR159f*(qRu-UY)HULU&df|f5PoRZJjuxS7*B8tQol6UbHlyR(sIp{h(mMHJT9L{$I zlZxy?G?X1g20S{@EezS$Bf=KX&3y5v4jjHP6wg*Edv*R>u_La_UCz?x3M+rg-{882 zR$!pWI_WQGp>I5mQzt`__i>CpM+xGEq(*@QNA-Q9yEk*F{`zSvC= zMWIWS7z<2AJ;#>~V(=RO8mXni_0tj7sJa@DufjLz}K{v5cz7A9UC^C<3%6a(9>&26?FB3uWjw$Q`i7ZYLq3q{q?Be|q zq}jUzS;g25iZ|6U4qD^kCu3FkZsPN|ySG=NC!txJyz8U0+sD_-GoFUC_6Y9{Hj`)1 zPku^$o(qxp{uyY=sHOepp+~GM#{7!hUc;+?ey<&eKR7~n@yoCYI3*)@Js0Vtr%k;B zrin2HT5tm)%cHv?KEU2XjF>q?nBpGiSC&Jl)-qz;4M7d$wf4X=;-aP3bxAaZVIjoS zm!Opb2(=1XB}?rgNv*qf^JpXvU+d9I(2JzBql1$xL^B9eWVE$~z#6PXxSECjhp(|$ zEf)6P1iv*lF(t8#?sl11Mvxq#zwt#i{1h!L<#CSF=X!*Z-*0 zop-fh%tygZ9D92a&1R#=wvEGx=dy!++7X)geLLhxX&;M9Becp5d4#_;NO2Wuq_{dL zOrSDmYFl0*_C}*uRt4KWZt*SpJ5j)o1<}xVxEHEB;~#kS+6+aqs~?8Pf4=ikbp0u0 zQrSNDD?T0pnV?aK&|~Ij<82H9;^HobF-kX~0ZI&rld`{96VK!`v)jl zcq(H}h!sqgKTA**^P?acxh-Uqe`1vi%swA%=;?w;KJ-U2!VNH>6(+gnF`3+7i@4x`U5 ze*OV`?}?ie;?0!})%*hkI|VS8l!cvPBE>R}IB4;5X0E}I(4M-4<@WKV(@^WIQ8*t& z*zk?_EZbF~rPeKEF~n?0tuzGq+2TiEh()wof5c(N^)UVrQ3<92EdPu{0j2>0|4c48 zAoI^m79?MX6eP<*y0eFtcqZaA{u{#gFZi!Dh4P|6mH*e#CriShDc`4`maro7vyoEo zYUTKgmZ|ln#1_7(!BQH8*2X^&unN5KiFSxUHpRxLQ_jhhS(288Vx+pPa>sZ2Z~=eu zRwGoH8}7M4FbKklQaT4YmouL7io(h2%s!^MFS^B?GREqNcwRUO_IE4U=0cdx@dOV> zEptD-{dufLgZ4G7EFSs2^g!1i(8t=4#Js%L3yi5S9)Q%UJ6TSBFlRZF^OA$Rak(dF zU(xwEo4DL(C6qJ8LmM<=0R`0GH-kbljwXaqUxUclh67{2AiX{d^{Rc6HY+taE2)M` zVASlUJC+8o4n7a~@m*O_dEXK3S7-ic`W$&3m&Jjq`;Gjs$u0&t4W)fDo()^%?=FZi zy7GfXjl(fXu(Yg}K6bp%NV-?r z%U^t9>*Z-n9rv_SytsNh+dFb%u}#L4dg(!@>~0wKPJQPE*^NWWI{D0XaC;1698c_) zPkc?~d9EHHq5}Q)8bAC7y6;d@2(jp8cz~?Sz90TJmMuctT)V3?cx+(9t_^~boLY*g zZKjMp$`OV5s!Rr<|LTjSkNjCJVVX?RGf0ci$k`yT4p9Zh`{y#v!ZI4nk0o@0Vc{`D z&_wi@)jJBB6A9$vD$lkgwWUz3Ey5GbZ`sDLn$h``^n88 zEs_YMp8YObaq~@MxIymDjaYpHw z_!HXt8^E4R^k5{Jk~+dHXZYb90^wdi3e}PQc7lJix;;eChqIzZu>+cd;$i7A*F&kaF{bZL+LMlwEmzD z#N!GtSHm<_<)UPVtI873siYg|%Mu4nlt+tWO_Y;~>ra$-i7Qsng}EyPBZFr4zEPv} z03e;wAQ7y9Qn`riD;R4dk>;&1M#_i3_$1?zAsg7PbVE-5l0JUlxPOp7t7&qIYa)t_ zN%E^@B2(ZbdBmTflkt>5t>(wTO4w}wDG57en5fWUidC2(LJHXejhycQ@F=91Z-Rzq zYm3&X_(RXF&NhT1JJf`Gc$D+F8X)E#F|*)ILC<5RT;LTIBPIp55B!NGKFsjJVCRAZ zU2HR*BIiGOpO_|?`c2H>A^Y2kokCNg*w@-gyziqc|7uM?F%uwJcU{T?l)~E1pRTLY zl{%uy0s#Dxf>kH`ZY{`JZJ#YSkTNnok^uZ3Xj>l-0B)S9t1a)#QrTv~80rX++e+2e zA%@-r!!yQKv%2mXX1v_TYKQ1Myt@31SzJDey?&B~SqM_@bcNCKwk#q8WS-piqpKZ zwsZUt3%f!1=mQy~(Utc|X^b`H_gF?>jFY!Z15_@Z(C*-vot@~&4LP8geWGjM4NR!! zyikZ1mwBbZhNl;5v_y%gScOf1IpAO&fy}b9%H>BCl5ldyMD*r)bwB?Q$heV;yzLXr z97y2IDmyI!0620$XNV)7U^Rfu=tC|QSnK|YLSu{>T|7Ro{|xde@9QSgGus@&1{dpHV&HWtN3 z?|k?`EiE;0i^&ec>U1&C*!Oh|pbL6cdPAxZ!WgB~8%bxgdYxBlaUmS_b_c0dWd*D< z`5|M%*xpwjxcd#fQ+Q-$Qd;H5vdt8SLSqr|SZrmyKO=x;dE42L9CNB*mPc&*5d~`_ z);g2Sr??CZ|Hfg^8HEOUD3|GhgR8zb!Bc1EdOV#9;~|M9+ODDDw3gZmHohpvJIRocwviBVzdk6&5{Fh^n;RvyjNYijt_F zIU9Fwvr7ubLgmto;S`ySwn+t(uHIcFA;dd;b0Kfr4iAMn%=j)vZ@9r$5(j!}q2 zpCCG?3a6zWG2VB!rjuOIg>RH_)*s^~-uDwN5D2DC8~bq>it<_b@W&y4G*2G{vLqW? za1dJ(!K#Ol>13r zWD>{T^VLO+O%_gJP* z+lIl5k$A2Ud@qQxMEBitF=h_9Gke>HxGBZP!L8#?#s4;xiTA3o>@fQqsY3b3zuaTguso0-T1Y{zZJiKeUe+TunBIZD7m*+k(e-Q;d+n zC)0@SpmL|Id~F;>l|Me;XcTneJGRk3h)?}5?dt_sB~@51MMj0FYJ|9n8?AG9|r(%WDRI|%W>1m4=hYN>Y7dZo?W5ttYWva#som@Kgx zaX4*aDAl`+CV{%TouETS#uHvL{>FZec9J1S0U2X@RmqwZ_^K4xze*pkXfeQ|Up~OJ zVh}P@;s$>)hzJl$#GnMmeMPyJt|NVHLy&Cd_162~-7pXiT}Tc*z**%>r>JCPkcQ!d9KcS3XegHpatF_5c`g`pV_9D}i=Q}Hcd^a) zPDkIo*oxETNEu9gabnwWkxNf_=?6lJ8t*fYOfXTWEs!E983lc$C1X^RHnCVu(xB#U ze*pKxiF|Qf4Hkp(AX0}?1c^%g;?$62X8RwX9Ijeo6I%D|dgXqyi}NO;_kH)9ZuKL) zma>xU{(Q3$LWH)l-aXXCy6fkqU3ymjifjMvKsR(CWLebI*Pg8Q2FI8`*B=w#N<(XG@ntdS#X z{+rb!1${-NG>*3q%T3z+X9imWprt+eS~jl1AwTxe)%~YA>T-?!6y&8gS0l~iiFgUyT=Oq^+=p*U+y$P7@{R`^bB(?)9!6$_h(6OFGRC1a{tR(@{ir@bW&^BW!DEtd9?F;dVg3~9SR4~NIOS3(6UPrE zCMLH*S0kv{@~JLj73^c6wE8`p7xiEjfU4h0@;7rzhBnmG{r6*@&{0|IJ$$YUyO?BK zUcJDQ53HspSoX)p<_#} zB0E`wVz*%+OKyR}IIm*nk6~b9n^lLU6#7`lr~Axs=lUR~1&u1K-y`#xMPkc-%jea; zWt)`clgJ_4sKPPLJuo@1x-Te>| zKSGpi17t;+-%#ad5cn@|Oe+3ZEp^sMdtJLqOLbcrqImL9JGN$nKvtYs1hZanu#Y27 zW{SFx*oOKU!nB4=#xP_>>Yib@zIgF$8h>*)oik zGCYWeI0a%>43UgD1$-%It|It%Y3SI!4uSzhx;T=lTH%wAy{D9fvcIkHroZx0N#x(WBCCPebse3C|}#Syv+GW%q*T=CMSX$C9&{o&lhWw|V`u5Cq|t|wIbsIzP% z(*fcS?aRh~p_L=W5$dEqiZ#u4#)kd)OCCGc9kok%+pg#I;Q-?34dw^Cuwk4cX>OK( z4w}5ikPS%i>Ue>yYp02#ILSM87_#aD58`tVWZ##Dx*Z67G7Gr_y;+@UriMmV%h%=J z?n{*4+!Y>BK&1^B92!&5<}>oc0&EU%IYyo^a{?avb4X&U`(Llm4W5#@Gz1-9zqiIr zYdiUS6U`PC{*U3Gkt60-ALV3Bk@Ihz`8Nt6DAEzhTr=YlY5$mfiZ0OqqwJqw#+ToHs@e-;tG-e1NG5c4C-Jut_KEwRUYzi8OuT79$@Az|TdRh1PawSu&OK6$cmoe&tkHX*_VO_r-&RG zLY@=;o)hWI?JAG2f~XJoA|%gF8zbdHz>$*{$L2_+h3~AOq}v!!l8f_NW(q9UJvg+YC-f}53_s?GXa38k<2O4 zGp(-!2LpY+5HP3e9aBQX>Xy8`!8^4urz(|G$B@u+ssuTwtmu4?<8{)cQC z-IMY=(uj*zyB;NY;o<#3k`MPi8<3dEL=kC=)}Cg3SDB} zK7cm8ex57GT{2}90Og28zF9Tpum#vn+x!~oWyRF%0acj0P=#-HQ^qD4NFed=15KrA zK^qClpH49QB>%2c0}^aBZY;pY5h-z>S~APdBl?7xVvYQ52FsL5iJW8(%amJ*d=|XH zVoKzu^H_!QNGUMBrl z7Au4VOb;InSq?dl2la@#f-@y)(oYOOzAxPe03l|Wk)aVg#G1x~AAhwz&pRUizgiz~ z!VPH59XVnKp6Hu963-MIEKQCjvScr-d+g^Me#&WnAaMh>@by1fNbKIT z`bY5bmRImiu!}iO_I^c-wnvLtA)=WpZxx4`!I#$Rk1Ah_?xKoOHV z0BE?QiAj~QF8)*K>yzYw)yV{xGG>f76|nX1QdsN0*PtdMlofm}tYDRSM(>@9Ocq<&zm(GIuS`hw6yOpyDls7{IP%zmjP6mn~M)!Hco9R>bN=v)4*o{?2G z6pZ0BB3Fr;$EumbN>-jx*4BqUG@+i;R7z{@P*?|$^5Rmt6jSUs#s0Fp+E*wqX)K^} z84jBYtn;=aW3Awd-czF4t+_d%Xz3OhE5firCrYEJP5aQgS*Z-@ z>7YA5J$Ne6$(}uEwSz!grR7nvgb__+t-r>;zf!WoD;2?!k-L5lv-k6$`6b?t~P1U~3O=c>3@ys5qH>98tvyZ- z0K1uVTvdA!b?^xkWi3&R(O@G~9)1Z${5$x-x;syjtyTSf1K+fk2Wvp$E+u1sHOlp- zKF)cd!`@Ia^cm+eS!gi6x{f6%;fJtN@xbh>DAGQ5h?Z}3tqE%zAY?_|k$8L-H~_h? zBEVp5#Id%%j2~8%`}p+WC(I%jIqfvvBGio+MY6i^13V#uOYYkjZ6}}s6(IG1G>R@h zAscGOTRND`vPyIw1o)LgfgmA^PF(Ov3Upuld|$d=N;$>F2A?dM8MfR|{08?0@|dy! z^#dt^L(o%(4fH&`I$?qE*eb;B))HKK{5ZGhcPQ&10}oFc$QB5%{?RmBr5Vwas{%iX zgdYuyZ7$F*Usgve;&-kOhPQNf`!lONQ=a_nkdLQM2HsUKFF|2H>lT}Xfmk-SR%$R;X2`56_Y(TaxY{$1pyBgW8U#veEL z8#smOM9Nb#lC0zIjHafw^hW20jF{H1jrss@zi)fHgvwp}yTWCk3Z;GD*i}^%efG`i z!#yXNsaW6!Qq>8EeL3)mn3C2{!{cteULYyIEf~od4H;Y5=Ot_8`dReq5#G+2-I7bh zFASGqrU`TUfi%u-5xj{C{9IneqK5a6A-1U@Dmpo%i9wi6yDw=h8Sh?@a){ZjzLV7V zrye1j8h+oWm6;U9#!N z5|J-UdTzMtEAka>P-gxXSDYkUKpU$7l~6!Cz1AvQ(4;*`XTS-YG4vRmw=r8nHsVg_+X8f9ts;7NMJ@QM<<5k$;It&nM6Ul_U{;v`;==GYSFXoQ4 zq_w=6dN(qTzd`<@11ZBEqA63jlx--$*++`skTv*K~5(vtEd zK`inY3~d%>WMnM2RAYaanU4j^HQK|A%QMDhX)ZR};aKjGCZ?-bSu^?7_}91<`NK<% zuf)nKpWb<@Q1}s})?cxJwmU=74BVF_98%ZCoH`Dh*|feK;=mT_Ve#JeRKSa;VYmGX z))J}%O=XiTA2@bfb$qvtFozG%H!L*J;V_bi47dz0@lhwK$gQaXmlr{D{i1qTYjUO&2Cce-9Lx<7d_=M=TWF?7uLhkk5>Z>G_eF?2O7 zrETpQ+jnZwmlVT8F;@bHyGMJf?BLQXv1~^wFAmq6{~wJxsXS$H!(jKwJ+bVc4Wr#< zzr>U4JvHV<_pasDM~dP782x;f`?bCGZd$QFosdD2m<#?6MdZd{>1+P=NSdktr;VhkI0b8>T_Kxit`!uVL*K32EA~U#_ z8~TckBSXjSA8j02XxiIzcAs36D#zvS(vv6BlNHmGW7CsS(vx2XMmUrL*(OzFKTq=G z&b@@qFQa&Yn@!`EJ25r^;{BNx&fsROa-$k^=_8_WHaO|}&j(kvg4c#jTgridCz>1O zIW%c15TX}yCF|K!Xf;!jlurDkJWuRTUeR=O!zrvij$;cJVj$Il0p|k+TMJlgQ81D@z@N#7 z!48}k7(~_eEE6|@43QIlihU~@hSL7@gSnQG)&m~=heOo=He~-aY)}9DE7JjoYH2qA z{Ioc;%+ZiZ;HU3D5}*B+hL!9-U1lTm*3_JBw)wY(f@MkE2P=Zk7^BU6n@i@dMoc(@ z?z4U#|A&ruMz>&tH1GLh9-KT5R?>*BY_^_7)@x~R`PI^0B-Rt|KKj+)d-^H1Rz%2U z+h#OXM0kI8E|^et_!W^H$n{&;PX%u-TnEn#;wxT8U`x=I}mn z`L$!8W07qC6Rc@~*5<_?waGh{dn3=iEzsjQ>!$O>(@Q(8?9So=yCI#oorVP5CrW$+ z^pVySABhS;hiG^Z9KG@Y4E?-+fpQAJ+OcSbfPlf`hi-r02g?s=*EFolx>&v)t!<)O zOb>5Yu06sI;s%Q$4fmRx)WjZ`hG?Hu@;5fjrjWw?CwgVnEMfb&tr>1^cWxfLP#Pos zwn8LLJY=zOVkAw@I1dYPg_*d&5Py&Nwrk4gS^O&f$&;YA;1}}V_1oDq!Bj37;DSAK z)Vj2n$ETV7a)`_#rcNl`-mc$oHx4FeOW)!pwu1%=G=i-{=Q#ibD3jX1F*Q=n=k2}V6M4fbT^hu#3y4e5yR)+E%H4&jL z?HPpb$CW~Hy(oRixs{_6NVLxcwtH>Co}OrF;tz4$WD2*sGZhdkWI0h*5L4_N1*stL z5E-na5o@x$x)fR%D+=amz#7mWMr0qR%Sm5K=$b+=Z`Cn;Sp7g{;M=5<>RRBA*1WwW zTK&NF0feI{@a~ZzP=q)am&A*#aj?pUJ{1n3(&o`c)`%Vf4DP;v8jEhaHid2C-W1x$ z$nMAYsI$XDx~0;YGkga4^GMF($*I4eOq9GKu7H`zmF!=4^&qxZtme4wuGWsVR6JiT zHn79-f2v#Ut?FNj#fWJ!+URK6$Y!hT)n0+}M77RX?~)dApp?Isq`!bAc z>hx8Ue{8Nin?M3|ef~`iFW2;%7U#?AQ;QZH*I9J_$yK%4is1|4l&<7wD?LLeXou$Aj5}edh zN@_h&yBmqfIxe!$l3@NRpPq_JWdF|N$Qo{t|L0 zOJ#|5#>iA6x@@L;wU)g))i@`*Y#IlKU2zKh9nfWOs#RYcI^DOHqamQZuFVmMbAEQg z*Ezx04q7>G1!uRR#t)nZ?b73?(dw#DFWPc9VMh*S*i6|dex}K;dVYUjy+%K{1N}y_ zVfgN|xh`Q%ZsN{kEVqQzK0am-}9sN#rR$OnhdpNf4%=jnwnUki!6niZ|5t~FfG%{4E zt{O*VPV5k~eVHn5&wy?2S$c}eF1ql~53Z~4yGk8RKdSSLidPjqQ`aoHIVM(p&giQMZ%0N=ny!fpn@ZE~btg>d&kstHT;-8D_zWL9Z8-Zhy|7?>d!1UpuO4)fc-`a3!%O*a0 zDxzJe!Nf5hJP7dT<@))y5=&ODjNcxXb#>FUI@)f1cOd;1vfnYWg6r_V(XM&p6Vh4k zwv?1%><~Q~S3eSpqAh#v1XT;d+cP@%v{>v0IgFP>;V@sbqZC-+iGoZZ93ml`G~rHL z)k%<5&fZR@(;39gjx5Eq5zexHc!qHUR<-98NrihL5IECnyp2j7pu5pY#O-ahciCsb zQ`4&*>{Qyhlyd1$HbqosE;@6~W#L%q&TT8&>E_tz2^cD(iFD_8=NnD}5;4dj=p@vR zi*w{hElqm|VxuTA^{9OmB?&D(2WF55e=E7zAJ3u-Q5VjAEm!$4uk+g4;hH_Bcez}e zp4OF#bLPuES6JdyDy2~NOXZpvT$9x!)jh{wN0!$*qe=>-!0@L4mz28$>oU@z`0T;b z;F67yY1~M?ThZ<~-A3t^y}H7v^l`>QM5jH#eMN<$%mKvydBhRaqDX1mwr5kC=rVt& zTI$fr&$pmBC>DcQ#^9p9EjLKiU-R|J2t6@wgl)#0VnQ-Eim2#SU?8EwhT2duC1a$% zQ!(s!7T*4C-=p)BB@M%s>nzE&Nleb>@avJ<@aoO4&Cj&wbO3%Sj90;{F-uLdvzu z2aDy~ZubEEVdMvA$c@{}FTbho#+|hfO}B7aAB=oUUu8j_2H%oHc5A%BzGanl z#r)bn_{O#VTkW~zcS#Fz_DSz6OY>yfS?`}ma2Lywk-{ic8-4p9>-E@aiN{1{l{)B% z$8vsrc|jyjhW!%r6#G@U?g)XH8A3b~Iq1iwlzws9XyOkk-!pC$dEB$+Y#v&JCZ3hL zFy>V|p6Cx9*0nzk#g7WVd#WRq^xv<|+PMsT#!FY*gzIxedH{W+bA~oy84Mys_*>(F zbtF42?WvCYiPg4HpAFT@@2Rf8YtvENoV`t5$j~S7kW2g$@NR7voj}i^GLZnJBD%al z9fT`@1wMOC!ts2{?rD?bH8Jnwq3oX|9u=?6PL(+lC(Zr{E;q7MrH@R7*(zga!{4|W zVrOCJ>duHqf#H~=OF4dnqrS>{Mc#q(`~C+$m-R7!Z&a%L1*}^&7as|@)a8n($%x%I zqz;%@Sz5>CF!Rwm8gtdc*YA3=NxXhS*ZPntx6nGD2dlp$^4>e6<7?-7fT4p(rTz7t z+iE}@NadRk>+d!H_9+pk@!IUGla7}T`tG~ECH(-Uy(R4c)V*~7zINHWgP-Fo$9aLe zKd)6Pecx<6rbVjHAIlbmem8X-p0IWHzgK7E_2z$$b-m1C?B=I2{ccL%%h!~Avw=Ox z*UWvHd%t(<>=EeI5}?wph%?KQ93S7G5%_us$_XSE<-k|$W^{V8@Sdw>s-2l-QAiKa zSzk&g|1Iy-;(nA~qR`#qUf{q-D((>M z*c}v*a<_$hwXG$ezV7F8bQ`mlg!T#*w;ikE-a*@c7EodDgPR8{qw5dfaH=UL!Y-apxOEr3tM zPoeuVudnq6dC#GlStu<)%+XMbx!9;8B8pUju30}QS`F#<9Ls#m#Yyu#^{e=(p2G#h z=(TR-4YI55aaMrCRmO4m;zjFK*9|hCYkTXX*0$1E@@i|^{nZYM+t9}+i}yJ)hVxHv ziU*V2 z=s!V~ANy=|{TIp1#2NbJ8p%w;-{5fU6X5^ya^}U)C=w_rSe(C9>V;MtuY=Fj-7q`W z6ff=z>+qbKs(Ot~kHL4fY2ym-4 z9Ud(z?68FGST#);7Ed0lSKlGxQl9f3qOVGZP;c9#-d5mKYAV^orY<*JK#csuoN8#R)snjKd3T|Z%)=jIp0_}r#0F~TeHhByo z(j%ky9Lq@VbNH;`SoS4yNxPBvs-0^=Y!c6_xDBk*F9`vCG}!;r0@i$+?N>HU`er<^uy?B@!FWO6HMUFOhwAuzSgV0)O@qgYv1|P0! zqAMg`vBcZ_DE7gRCJ5}Jn7>Q-wn`t-(&C}CAcz0s=EE(UB~*n{_#+2u%XB=O7BXdx z1_Kwz$EOx;NXswP-IGI%i(NoxD>n<{SzviDiss=>Gr~4 zsMDOJ3_o_Ck!?RcQ*(LwKEwUAj2}iMi5E&Mv&38dee&{~{v-=;;p~!7Qp=;wNFDx%`Yvz74Z&Kc z2t5j|;wwFk_1LeasRu<@ks5|c%~{&NvQLADaCR0jzRT+|9aKsMG~XBz3e=$DFGa+b zoTf_cj1^Wmds)mh-Hm6NYbT@FVK6dYnC)%Xxw>d0lP>l}HeZ-9`Dt$mrqS0rku34V ze+Y9l$hEdmI$7MM``JRm%rNhOZM0~38{OK;Qu}>%VWDGR_fn9HtNW@c*uDC<$*p`< z_tgy$BUi;#@6w6xcT<_gb&35O_Aj^5IqhpZ28Z#)gV254by!##iaNvoYcNY6*(|k=|o${Of)a(%=FIYW>KTEvWvg-qyArvy#-Vp zO}8$LOVHr%?lQRh43^;T65QPb1a}P{+}+(RxXU2H9fF4t$UnT_v3t)s|GI1KdbU+p z^;C6tRqyVp=Dh&NZQ1?xoajXaQxAx#{k6ttc-a=lt}HFMmRu#qQ)&=iecUt4OuW49 z2;?>JN_{oq?3%iEcsWU1bGSH7D||kPok-TR?}T^I_M`qVEm#_;_WP6H7^{?2)=CF% zuWeXmh@Pw#$=WBmp@%N#GI`!kbJtY6)v;s+UXAgQSm6$HvsAnFvC3p~U*&+5nrEmk zmko{pwhtql2irYqh$$4^NRvW+!YD(dbu|VnM&7IDDNk?#Bhd*6m%2o^r0TDcQ}H{q zfa8fQg_mWGlYuV#;Zw5ypBL}J34#to=kqnzfckysyWl>8|J6s=<+n>J16{{xh`0C^Dd>i^AW?Y zcXrg`qQ@J9*QoE1akUE(Y!i5kV|VsCCHBJ~VN6ByJd52}@4}HRXzEkl6Cxy6%jLEo zbXK78t?*qp3?#Q+q+<_M3tg=r!cgI?(S>8>FMErbKS)`j7ptkJ2X6#0KuL_ePilV|kOy)?KR=HA;~qy;)K=98Y? z|9uxECU%9a-I&2}DA4(bYetE!?a#Uml!c-1yV;?ODUb7mDP?i>Qi=e7>0f8m18f^& z?2l*ElF1J8_@#LPIr7tPb6bCR)yDBfWuEWf=PO)8GBNen#v?K2WRnN{!VnxjH~Isy ziR1tNwPJnf82Ad{Zv6S7w};y8xb_N3>*tDRD33wRPa{0vlIVw5e7*B-_eY51IUvz! z`@P4H9;1Coj=#bv8+`W=;S1Z{Df`4TIIp#lusJHjNCJAi;O(}!v{w?{={JJU|E4tdZB!CjM3+-5BFC|75;;|1ELx` zbL$V@UP^kbtkw)gSDYG@JBnX55K4#6!*izOml`pDg0G;Drd4{i^fL&Mgs4{=oBu?d z#|5!4_v48vy`!di8V_~jHmhKaQIh=ohd;2lH9Ax!@r$cMRD9=i`k?OP-SWlb`fO|S z31dm_Om5h%>M)rjgy;)sMn#K-7s{?n9ak`3+6f#iq=OA3@!9FNBdaw1z~HGzS69Tj6sA*zR-5d8Oew zzGNHR(}wCZYAo-%qNE2>J~z1ku%6Qk{XVa1iD9hxZ5nW)xS*+TSH^OItK=)Qu;sVT zTaT7Jv-e<6ql$JT*5QvSd1P$qQ49}p+D_>78cuN z*9dI$bsqajY1^H(#x&dVz;mndE!8JSq1(>qjw;OI=a4O3%nD2KJv3!f%16$`h(m&U zv=J;T8K~2bELqx%_1ZBu^35eZ*&L!&gi@3m6JN|Chsx^+ugO>1g=Z0>EfcH)T&5j2 ztB!0oR)$o|W3?IEGWm-LUElAr*aVL9S)jphr|{qqxc!U!sV#pj5hsMT0CFG5dN;1_ zQHXy*&%>xaBKKt9X6;zo=r2L%^?JjO@4;Sb(!UcXVX;@ck# zNx~`Oq%cP*Bla+doRN0<;haf=`O%~KYj6Wx(SS1{Iw2?C7wNsNAg0`+Pseq<6ARtG zQ(Wk;mr1NN3WrvlXPAxXjFrs&Og6IC8bR=wwxH6%_*4+{$=;$Wo(=*XqwnU>UYP2pB+%HGR^`j~^}pp|f{KT9d* z-Rn#-RBP__%#fE8%4!@XZa3}M>1Oh6ch1!P0gt7@bZD_eL;&@wU>H)Pz}1EY?%9-d zU(kf8+Wkz#N5fvHR;SmOUjZC?O#%6HV6wGgGSG+=(I>RW>`ZdSDL$nQmb|`cBA4?j z%F%ykImVx&nobONc`CBXm<&jO51-TN(G2&t$!EyfM;|@X6dIj#_>|TzvRmYRzb*`f zezjEMlj&&pqi}6!?DTN}=uu6^MiMk!g4bNMrqV>Mak7o2yiz*`)%O|V+B;+0S()e1 za#Q^7md;vq>X+o~#50)m5L0~X>q{)Os@a%{?lkrOO8Yx1MWiNIBG0Xo;UX!Q#%TA7 zcIRL|3((4O(7VQ-!xTqI1}4&mR+1$+vJWMA}=uH z+G!2p4d3rMXkcC$U5TQX&fJ1a;U!yCJu9mato(cZS*;YVPOZywe;`-phOMvgW&DlB zb!vjqE=H~6knSYIf3Y?Lk@|506%|zz$y-rHWFbLEk95 zzE&NPB?4gw_xG&Y6(OwqysYbnKFG%RfFHvB#3zkAk289^VO>my+wr!sQFBq-idx|M8+OKqfFZC=Pjc5G*g~(VK*K1gz#!2+S zeRz{UYc6kz+o#jp!5OG>yRoZWJE{Eb6Q8IhUw|dw>pv6(kw5WCTCQx3FMYc4!HnBF zY*)fa-4t%+4-WDrn_)&^mv``&^Z^6-}+O7kIijIgPd0D+>*ar z7oo_N@Rh-~VvQsH%42eJEOJAmwGfV$*yZV{Jbv*gf{fbfD1y5@vG4|2&ip-AT=0sF z^}1lsS}irlhXa955fko3N4~NgZrKB&Pk!6cS56XPu&I|Jvjan~jGH}0op}J-)6+<| z!SKtAZ@*1O3%4SO$4b^`>~c?78=Jdc>9o6da34U&yeNnG7yL7L=Qaj^UrMef)3qJ3 zzqNYLg54r~x!vMRkG}I6B~N^o`oJi|)?oLpE#-tjeYmXwdq?ecKllA@jFHDTxli6V z!7FTm;Lp0m$}f+5%iaP~oe4Ia^};hzmEO=UjrUx7+ODGpPD2JxPP3*#H{l&G(H-9JhCGzdBM(-*27WKz*FT>A zvi-0r*5Z5XxR#N4$#8Mn^59G!?i9hXf_z;k?WjzF=Fb=9Lh9^jGrCmvEiR;CZ~2^G z>Q+mIxCuSqhc=nCt@>k+u+KH?Wu+n?xWbv(*~d9kz-P_WFmXYFeShYQw9g3Ud^TQ#vF79fq~`9M=_q_gq!U2y<1a-m#!FAiCeAf;m8kWXc# z1-0#?y+vMzxbVBwkU>?)50!;f-S|_6?r^qow{TT#3Dc@0>;`{ShuOI|x!72q|8UK* zGjFO+u-guDt+HpmaDmx%|8Q-wKdXrCsFwZa{sq#FkJ1CS%NE@$-JknAZmk^VSX|ya zmv4wZ7rT4-7JIX?rZNLq*t16Kg6zl)3>SsgFx8SK;ui)X5u=C7q0{o?;0DVo^6<7- z30rDs3KX6mzrrKHUuyIYSt<}nD{5Nk_G#ONDy{b6?{7w}OTu4`a*eHOIZFfSEYZ}} z@}p^sOHXS4x*F^&t!i~kyM`=(1xQ)h-kAwyOqA*Ao!<5=SsSxUE>>R~`I+-DHknRf zZz~n`f629ag|j_41`QiHHT)TGtP+VI{^6vj(l!`=vF;+Yc)XJR#ZYe)ED+P`MAFp% zDkGYd}W#dO4G8(%Wwn)W1;@$-@LV>W#R*-6Z(=-%9H zLkfG!G1@b^8198l1O_WfO#apLQlfw7i=q)pwD>fBT6%A)|1q3K9-5gt zkpJ3BKSi$5TsKxBLh?lM(KmpR*3G3csk=~t6xuz-DPXj7Yo2nv=u{!EI{R)Y;a)zk z(_NXdDZOpx6o0_`C~9@1otd_aF%QSX@!hAg-A+~|J=&&gu?gZcMzIq?dqVSz8#TN4 zS84G4E3AKF>^Z@7a`~aB>*~vb0}~((Wejw8cP!1E?C#^Qo>k@3_382$0amK$KX8gm zL!plhI?p+bHX4H{1hF2oU$b4^MAEBC)38q41cavN;uM;-RpEbQqyN^3(po;4TWWc{ z0rhoIka&17Dx_v=+98-Y(XS-f`l8tze9d+cnJ4%S`XI z|7^m|?^+UgM2~X;9xNl+E#pWc#tpn-aO5U_m|l84FERCz*gWR?a>vX2>`PS*_ngo5 zCt5kH^?Qk%)%WKs{x(5r)%QU@YBUL^Ip0rG@&vkdMN<3mNgfXqDD|Mn-PTF+_y5=i z{PmG+_Nl0UFt2RKSi&1H6a1+o;9`G2%?s^flireIbFnEutafV%iIn6C1n&0h_zI00a&`aVj$WCSZslc}qwn4fT=S0Y=;PU_ z>U_s_!tAkvhFR#~(I+S4#$( zuH261{kqqSd{r+Gd<|F5&;+|(s7(mf7XCd&R^M)o<1+!Tqf_-QYs>at*CZ{9tKYb|rL zfv!sv=VHW`#QgbAFW_@j{RA%-Y-|jcj{*MXU{Pm|n^9B8-BIAEXr5ln_wELS=k$vs z4fm=ClG~z2^ctgQKa9VhpIzOy>m3BAQ#)z!`KT5~$icDHSsvyJG4`;_21{Tbb7FgI zvFWSxdym(Oer*r*KvS?|xgYi8eZYa(Cx!S+yjQu4+veaOIU(Lhj8n+fuuG2kG^c?R z%3ETWuhkRAS-$CyvH|u`2=c4$&ueJnD~r8tb4Q;(@I}dguakHTw1utPGS_C52+H~Z z(v-n%;jEbIevJ&Jsbqfqy4~B0Tf%$!ntl$q494q5YuOUb2&N?8)_HuSs?bep*(-;TugH4OlGhK+5=Tj@sqS(^E>!Q4n>_1igX zOy8nar;aMM#{OYV)&Eq9M~;!Hp>a;G(vPdD{_kYB=uy^d^J9AM-}5b_+la+` zGse|0X%s;LB)!kHXL;KMRa?J}njc$5jMi~)IxS7Krmi~LFT`<-#CXfF30p}aWAUaY z6*z3;^u0&uM(3VoUZ8`~Q7`PB-LK@Vz<}H9dCPCxes_??61Z>J9q>w~dh)=Nd&HkL zHoJCT%cpueD%bY|YNxLbp1MVQ@sG6!A4Sqf@c#Zy&(U$TP04(2y**lZ{Od{5JINh( z@~#j4bKrVGw*P<#6GsNdpoZB^4hn)+b>EL&Tmt<;7%+H$ybeqjx`Z6=wpXRaQ$pNx z1@POp{?)Vav%5LYY{G79a9;>taoM8ZjaZS0r{u|H$@^1jm-D93cYu~&+E9cWRe6oALa_ztfx{>S@{y8Ixops(M-^140o%XyaryDxU^LZ`3=B)(=H{H}Sy3FLaL-l>u^Pcrq12`xOh6TEBC& zv25&0D;Y~Qt4^PY5gQG7=xiH|broxc^xH3;4s=~D5-B5N{T0(!_M+E*5G~mEo-~mf zG=YBp71|aF^z{`MCB;}3s5*Jv7JKDz)KY4sMo)7wDmxk+efsn35Jc|C@3WOe$DO4$ zUno#^Do3ycrrxLm7fuMZ#y>w?SNL~1kUOrA>NVC>+VuUd$u;rUf-I_#yK#G-`#9BT zFsjSx!+Cr~M*cxak{Ai{K1}3nrZVs0wumST7RL`8c1gLPAOA_)C>>0#Dy6vHkVNYH zA#{u3IyUY{eqszH`Av;$Wp9-IjD1VO&NpM@Q&sheo`)$0_w>5|dHE4Xke`>FBs zoa32w?<~DMDWm(h#WDC28S=W`B}=ic%=?}2_0jy36zO+nchoXNRv;m;kTnp)v$k|_ zl+tRx>;7s%GMisS(J(`_feZiajDs19*a{Wt){>11s8}+A#Xyc~<&{R0mOx}luS!6F z#Y-m4I9Y+=d3AI}pS@#M;fm_>yvM)fY9xeR;=jl1or2WKGoDOD&jd7z{~$qhGmOg0 zs2o>{c-~*#G+O9f;hlS0|MTmGh@3U+T;VlmOj=SO?LcGCSDU;&Y#M)?BfaFxwC&H>sLAmMa{q83~3ESGUEJ}p0j5WfwJ28+Q&-nu+v1XF(tvcIUZaN=c{llCVl0LC=BIInH6>8-`=87+&>OT!i#~d&X2tLc0qwy)t^5 zmf&HtDp}xQDx8Y*SVQ7DtEigCmd_lttQVcfZp5?pIjLDP`apI z7k+%?1V#|m>1jNz4WVI7?Yd>Wbe_m#omSmpC=oWD3BYW>)tP;`AA2IHMh}LK0%*#5 zZCjxq18qxm7WwxTpZ~Iz3Ot#0Xq$n@W11lNbdxh?O?jpGonY#K1R_`w6XwFkdc(-u z1)_i7waR}fWSj&>-*appc?Yu=h@)62ntxL?7gr+a$$z=_x2oNPQW&t~$F^XZ3Rd;3wp6P^`v90T; zJ$UKnADYo}&<(>@rld7y6pQx~mMo_NghUUeG^EU!_|niR!Bxs*-}QI7`D3t?y7+b) z_osFXbEDw9ow+pb^mtbIDs$JnaNSgbnxk=p!ZbuPTOT**`IwWLNb%zWj z`E~m!&(~b7YV(=V3~Y~OrCM7)O;KXAM4H6w8?RF16FiK;hrRfGHpw$G)*^+hbVvC! zv(G_bqDIVU@>nw=0V^alg@C4Lh=P(90hy5#2#l1Flt;xA&*yUs+cq~?1XbK_7V{OC zepq9MsV~&Z7&d5spq8>nkS6Sd!-RwX!w`V-f;#A^;dsBq2$IhDBwafC&l- z1rpIn&r?uBTp;n}FB{O&%aQhuWg`qQxRzpVkJyx3I2njWwIckad27% zMM}Ubq7oUaO5S~gru$D5aK8#M_CLGlt>=b{&6{g+hnU6I8M04EvM&5S|HnI>(ku2! zNUel@@R3drZfOB$Q7RIC>NRI-@Yg-0Rq2A5fs_=6XyRQrK}9aWU>eSH5<|i75@3VA zB9~bYe2#LGrcHQ7hVb@6{vc;g!UxqhsO$GAKnC=X&|Qvy7#yUN$0#Tz5Rj3%fI!Sl z78<0l2^7YX!9mE#Fm(TiQUv!k1$f8TtQKp2g*979q_nO+-Tj>6%0YASh61w1k|OW`-K(Ri?%OG8}hm^oF1%&d`AkY5u!i zF4Qu$*c{`0t|GLZuqMii$`nd_BtD4ZN8st=2aUC9f_Wt^-gO3jeGLzcsSUlpPUR5o z!5SIW8u;}~{;MTTdM0gpW+N2Hp_IcA?4QvoAgqBSf$~~9MS8P!-zA)+&itHuF1I$SFnga(kz~`{u$vt zl2I@5z{*va6I)fZ1iW)gjlu^Adf;_{l0u=-^K9x7Bk&O-wBBQWQa3C?3H7X{?D9o> z4~vVt((biFJn;PP>P*~}iwjt$6%y*h18kJ!);tE*6^xcBAADhw=jreY75V(M1w2*%}~yh zXe6~)LSBgBz%hd8NdAeq01%}58djE%c)*Uy#u~<8?L9X?Z(^hB`A&77O75>y)~|}U zqfh@66qX>hiGB*!h`a1S+cc11g%Ek~&}g7d6hyE>|1Z%T4BRP%HUm=W@1pLg+90Ld zN&T|o2#u$Z`c=jq8owx<$5CIOcC%AAP4{lM!a7F*XK0Cnf|CSwHv}mm0hweI(*;3s zjIeG?=u0*X#obMpVUFP!bVVrnd$9FIgX2)g@vqm0m z=X3?LrlG!{>irh}PZpOjGy5qad`xntpkGk1L*cX((}g=~m&w|6TP)&|L#Vr~2sF}@ z6qKgzm+v6*&=XvQD?a8Cg0NIJ@wjynlVB2Wa1)vmyUWTXa^pIAJyQ=>;HMB}gSwuBi-?yH*B?ULMI~_yfxfnc#tK6XOXe^SLY6}K`oA*S z_&oVqm|g#O5ehIAY+^xei_p7*Pzhfx{%!n#T%f*<|69BLmqygdalyjww&zYfwpUao z!s@n9IJOUwb|j|p0aMI@5hAyEfp;wu1+8=DbKpK{M_BA`G{rk!j(;i1f;+{9*oH+Z zP|2zVhr;5j^833ZkgjlXHcLpsBEYUPDb)P7CliB@7{LvP`3Z?)8dF>!s4CKyKvR_- zk76;<#zC(LnC4)kwq?a#G@&8iWQfKv5%>E6d)oC;eUL)MJEW})2J0m<%y|qGqDUvB z?J^^9N45*V^YL8l1Ja%PKYX2~=nF-F9w~+mNtjS4$|KrEMHuRY$GlP$*N200?uQ=o zMa8`06gSP4NFEl3ReaDTnlGy54uKy?=gR1Y*-Qb}hkVtzAVUH9_~gVtIQWK;Q^FD{ zolB}Mg>b-~Q1?463}!l#xIPt>b0pNz|DkC3W={JM1Riwwmr}3=o>}Gxdrd4?gW#Rn zV0OC@-}ZTBZm;8-owFtnYvf*S;Fm6ii67kug1m8Tx(*zI6{r%DHiV${1f&(HFefzQ z|ADQMHn>n!!4{P~Xovt~qLl{PR%!k_qnRjzF2k^e*_Fb?ByS7)<%Bqt3P(|+3t6rj zE~1hX(xu9zjEUcAO;qKJTtJYKqg)@0u9$`qfBsGbbF8dEUqA4NKi1CgLChL4)c?*z z31(GA381d~p&~F4#Qiv+c0-^s$x(xT8ABCyyc8X}K~AO+5Xun(#ebYv&JIw!8&E^- z&=Ib%m@6qKu=s3k9x|AfH6roo08~Y@fowS&4 zCF(!+VNN`jNUhGOa~C^`eM+9$?m@qTpohW z1-W?Z4!EH`MQ}@lzUX!n6cp{!6c^Yo|6Hqc?cMNU%?WPnt4jaDSf++1+6H|MjTnL9 zAnxY`s|r)r)4(l_{LO`xa6qG)l;)7s5$Vc{L^KWQ>eqDr!#$uY*z2n1(-rQJuV;6J zibR*^3JN7e!N^@BH0jWpUzZsuGJ2Tp!h~9@qqz~Bp zCyzNO(bT8PW9gMY?VY_&`pTLzr_!{jf*`CiGj&y-RhSDi7cdrijz^Gd`!81T#>S{R z;Ty&rKC^uHmS*coqB(ls{!2b7TW%j=fu{bxZ$YiIfu%uXi0V0*MBPr|y4Fsie(V+3 zX!hY9-dfKPmEH*e@~xO*Ga*;hp@WGjL>2WTpk7*W%Q7jXa zxH@SW%neoQh(btpljtxk4QQhUF{;`b8Ci*wJvv_O9?IsO23{zh%I3ae(dWE_RGr23 zW9ZB*4c)2IE#b*S=*%?rgYQ7+b&^;@RS83vRzOov0L}Ps9gmF>GjH(C#=L#%E$)Sp zz$lN5p9HvzC3C@3P{(a65BzG-t@n7A4~LhN;ijAy1hV$EYJJ|!C*?VOdb(@5@F;D) zyUvIy3by3|Yn?d+I&W9-dYylV6%)zEc)oWA&$oK0BBwUDPz0tTnwa%xz}Ju6YMZMx z>FAEfz*PzmYcS%9-A1)C5RIz<$_kr7%*hqM%{8W;R4Om2@T3blq+hrpl&$x3IT!_n zjkT=-HI~mbV#ORnR))#FYOziqn`P!$;tG&SWn6`#YIYb}w&@lEvh1E?vFuPA6`#>a zNKKV#CBu!a4u^s#vGbbrEM&;jEql(UJF%PdZ4^B_ANOX~4aENJd)Z;6Q|gZRfDAu* zCGRw%#`7llCGJe19?i&f+K_u>J@Z|piD@&ADJIG$>b`%SET#aVh%Kg%PPB_$D%Tc9 zlb&8~KJCocKJz+nhSE7G14cSziY^}RN-nk*@OQA5FA-Ng3{*>g*NOE62rp>$%5hz+ zW9%)hV*E+(li@yYaqJ+SYybAA*(UMYWOMWCM9mvvc=_bmjU|6!%`zXfXh)&){25@@ zO+tB~@R73YeVOef=Hz=8OqTamm_OyFWcuqy^rFn`=Ps1hGT^LPlVcU9%~b(Mn~}YS zEnrWf5~vVsj}EvSmr6Ga;2zph5FyAKDK>c z4^wZ13p|pR!HdUlXr0*Xp7O04pHQ!p;B$)yj{OD0`npO}bK%lzs@OSsS>V=1$0{0n zmG5JVNZh(>kP<#xW}nq8oNNdk2NB@&+&APH1SMmD6-;5YEPp&(X5VoEa+3|9^?#y9 z8^Hfgi`qOkn)5t_Y^c0^tCPmD{Wc*I$;Nc@A{;h!iv<9jRCK3z=`>!p993NP#r+@A zh9D%4w$V|IhhbxkaB6C4fN?p2`h67jv>)|!Lh+X*1NZT^#K-0k=g>`i zm1C9mwsw6F=T%(580O~JtRUPo+sem^YN930Ser`OW{tx23YFMbAm&RJK?|(us$qml zCzYiJvC`$YV)=!A!0f_o1uq&oPm{Wij(jc4t@=NkxmP6;`)L00McEba_>MkaIhi2r z)HM$fqKU^MN&3Jobz?nSF5wKkWI!J$tya>_05I|9^S_uzEU<_DH%36p!`cm>`lX>I z*V2x(tw~P~`*e`vcyFL_FB&!P(=V36tV9Kc_G+P~ib5+5xw#6(xeC<(iE8ffq$`(o zDwhc>5#l;_pgzN!M95f1q?$$87)QJ_i>R=OkTv!~NpqjGw^6-=k()Ck8UDJ%FoG}V z+*$tJj!$FlqJ-hI2~W6_fE3C{Hx%e7bQNv8I(^WcJ|}HY5=oQ1*|mmr#?dFeJO=uP}QenDpEmdQTt_- z;+3*4i)`Dp31zV04edN`4Ewz;!WIb zd=uxRA>wb;?Sb&S&4)h~5*$(-a&_W$iv9ieU!Q@>o|{#jTF(JCb>EGf`-wt^4QU(r zKgF_4>rm^(`8HLhmMdy<=}eeYCv#_HPwY$5?es8b!0D+%VOiISd}#nET`L#$Ki~++ z5cwsW)&WBtRRQ?c4CMDHRA>i!l22(LduUS}k^j4h+d|qV7CR|Tbos$dI5F&b!AhlQ z=XJ3)0&pDegOeC`qasE%|55Z*M4ITkoPQXwP)zcvEEyA0v^yH4=t6XfB7AmYa@iKo z$Ib^d(j)J#4YbXn{EK52rB29_eG?;p8K#;<+}&L053fH-=|leKx$0RuNNsVlep`O_ zIQnd2r}q2`+!yTCC4XL!QuY%zh$~(2#^h`HH3pB@dR-bLJraK3Sz~b3@i6#XH|$h5 z%$j%5`{mV1_v)tgC*fNv@o*1umUj1V!zBcJ`a^;X@n=&`84D95Nj`f~zP}j1jkN~& zjk@wT#5&Qi*FFzEZ8Up6du9zYB`!IaRui|~v$1)P8QI_D{uaP1QCGf;D- z5p|lNan_>q@_GX@YK~sFc`qkB-XkwDzYtUsX#x~-O zKVqVdkH$_Kb*Zp%0Y{|gboz?` zzoDstp&L~9HNy+6qdF8?i=}=epYp|fp7BwoOQp&-%f`xb#~#9TRay7lD|gC;o;V*OyNGS8R(?rQ8Qwl$^GYij(Ui z*o_`DLsdDGy=TftO*^p#S?5F&4|lGsNJ0)`BMHr7C#KIhZ!Q&@gJGQS z+AMxqz@#CQ#*h`C=4W?G+&S!=@TeN-eA&6?cY7!2Gf#J_{iDq6b735OoS-siHL@=M zzZvL}Nkl+DjzKWs<{}ws6bRDFz%G0*_;R5;FIf17-=qGKqu;P1`imJW#w8;;UqUSH zd;T=NAKD6^3zgw=OX|HEx6l?;9Pk5TE-9L+O15svt?ir$We+IzbffwEK3H4KuNTFF zKGEf5xtYutgS6G|YswYgcTbdq&M!Bcl1~o#esAWc zL!4>>8C&JKOtq%NMY1)Tm&Cq@F}jm@xE48HP+-b#kr>wMznOorzL{+^?&KiNr}&%t zGo*=fgWehn{qq|J{DYVOpkeS_sM$=){3{q~pB4ezGnmojyD%7@m&>Wj|rw9X< zEw?LFZ&69g1sQ`G#_Kd8i1U-xZiS0*`Q3lu3C_ksr@0og>Hamv2pxv$-3`S^E3|$~ z_$5zpV61Wc58Pm1I8P*9IA^lGe!PB!exK~a@S`92QA5RX;=8JH6s_0Jw?9K))C*57 zNauR?4s?As{`PF<#9er)q-X7MC1|IJn>xd0FmtIDsT64zshUTH3KK*yaW^AVR7@^@ z4=Ur*g7Ci2WnA=Tq907J&Lv1>E#uGWfj?Wj9nMtFMhfbjFj1$}>C2k7oyL={X8q9r08qA5V8 zZPTn(`J8+qxJ{B1&;8#=xv96Q;efG1$Z%_E4n|W)s}Z@C!#LP;A-IZZY*(*jI(+c= zs>elEOJ@}ZMk(n=BU-BBqUp z8_}(tvE#om#RG@C<%kg9GscEQq>M;N%NN>Dhu>B{`o%FiP-J7|t8@$AON9^}-Goqh z-$#ULz_4GKv{m^cj+G%Gb`vUP8y2)W@Je#ikCdXJnSVCU2G7nCMD(DKw4=p-r_J9H z!ikm@M2^Xi)u~w+qg(j(7#2LeydM+)P*%3(f0rEqI!_Yq{7JUYo8KmAz)P zxD{T0L+}qT`;finDH7;uA71{mwI5ZV)F?mll(^=I*Nt>R!$}*+4O4FU!W2|h?_xUo zLaQv3?S5=%e06Ha$hoL7Fk)l1XL>xli_uN8n5^qeGx22|Jk$-lUzM0ZHETU{`r2U2 z-)L;szaCs4_`#T@O_l($EwLIE4>2=w7~0z0*$GF7HVTWb z@AG9rX-SWZC;Ba+Wr&x@MQnjl4MrzI%#W1L_5T()`0$?8d;++8+r^L(hwWc_@S`SP z&ND#vD+Lm}eV{VF$v8t)~qwy1^gmD^yX(1&M$%@vdKWO z*BGtq*)qBAxO(@28pBHA)TK|_#XU=w^C?INA^mV=m@;Z%_5JYpWyEfZm$dV)Z~=ce znM&~-K;#13lOg`%#ri8b`T`)=6EO2#LyTGLzDj>#@thO?Wy$l*LzQB!|eQ!NE=JVwbu%wj-KRDnzy3nR!oNbJchbJ zBS8zP2b2KWJ47YHw|cO(hhuzZ&9ylT$;KzPA-_N(+3n2|YE;j!V$Sz1q&{k>+>GM4 zma`x}F#86+0T|xt*z)o)^gI^7V_#7ZWh~bbR;( z$54ci!l6<6qZ>b~fSdABk6CYlOUat4w+3&ZeD<`cSxQtP`28^F&xl>1x3sgcNCE#T znF>i(yj8KvJnHCi24FC8*xA##sV{zPtk@Pb(?v1gTvTd^d7?Y-%0A0)c0zt!tdXP7 z8gb6+AG*!`#2W{-Cn*E7mm~|bw<`y;#|47f3zmn$$J5t!vXH2hT^zNxT4Fdkpo*Cj zZXmd)j_#H+ug8yMdEgz7MZ+r$FrTYC(R4yWx&!ucNd8nHiFipGT+?$zPLT^%GKnJv%66y{j_#jOnSsw*6V6v(Od89O8 z0cvAFRv^ku2LRZutqkX9yNdF?K~jxLVV1OLQ}RTasQ>`8wUy@lY*kUd&c8c&Xwm*F zZKkpL>UEskUpLSWZ>Qk|>Z$&*2VJ+)4%f;@8KvU%GFe+`%+FR7TdW7vwe0>vfC4yC{ZRIb@7@G@A7UMEig=`=STXSK@ zV%({!P=$hgnL=U-n{~uiTRMIkKt25*N(HF@Pn1IPfj9J8y#Q|u&;0tXC~f#dxs47Z zdb9;uLL?;doRBSVmV;YPL?=OZo{ju#Ap)D5u?viz2cwrr?}!hz0W(M7a)~C)f{QPh z_UxfnzLe5n6v}l&q`3#lh-zxw3W(42409kUOdS*V4MYDcDt%!ZcxM8V`WL$YyYMe{ zq-3jSKFikE%+=n}uI3_ks=0ehA3Ult^!~&Z%GV#=xON8m{9Yi)U!RmjMPDk$V#z9# zEQhkmd%N}Gnt(5lrj}c{1`EU%*Bj#~pKoyeOWc*|y_|OvU#0n08^CJvFoTZ`t)sEM z%yB@*ADito%V|z1c7qf#@@jtbcipQ~3H=yPj6y8(Q2+D8VkEu?8nBzPbdz*JjWDyxJz)XuflPFOvvB(+bqml6z-qI+4~$8CV@ zp~Y-EXeVFl7HKOfyD`%`%z27FeBm$pVDUdCC5f;Jo`M_rHNXWG;@Xqji9o?3E1 z*|s3rI>WVmAla_S3{N%(nY$s`{-2#kT0WyIC~bUebIQLaM_h^7?Yf$r57S!d$aYgB z_bfa6nq!HH!Oc|{y2~D{2c6nfEw+r?!(66MY-thhtbx;xlaG#`Yt4=i;N?h5ZHC2y5f{K(>(O^aJEXMGk{ z+W$3G{rx!nYmYZ#X!o0xsq-vlJ^yinHi?^6rUQVZL&LmyOcfG$aFubINtsqw_~!DX z#^%PJ7{j#fh5`YASSFSX<*C6)01A5U1&QB6DP+RQVAK_XjPKvXPj6z-BSdt=A~Oat zvslmLdI!PKDH;-g+sDuG`Bk8XVdQqY6Rj)~I0q`q_gi#;gth2-m@S)dp=S3i3=NQ9jYSqq4(c69&F|T1@{k97%{ddN{2b zZ^gn{18-Cuyzu#4!FQHMlOcg%$k(SiGcd$6__AdXvxywcoWGYrh8TrU6y(*ZHhM+b zEB0`bUhebsxymqrqB5O)?DPP!;eSz&(B+Hz@0pxLq8zA1q8%Tv^W^;Qx5VlR5ws0| zSdkPXlgL@atn9JHjXExezc`REKC$!6`>s5sOSl9o8b*+RSw8aL3pYC;wGmTZo}G+@ zH(%9uvg~h%|JShJ4|bl-#uG(`eIkTLKR$M_1iHw0jREG)|ciH*(KceBOR(Xz_&V&>oI zJ}nlsW zo!_7(w@!o4)sZ)j_%BqJ@`Hh?UqwB*unbO41v#z&%QAzGdx9q;W7AxCgl>=1XMfU$ z>sB?HGJnY&W;BeWblo)B1oC(bhHHp!5Hkx^>JBgLm=$$SkD#EHL)y{#i=3k~V0xXj z7-(>uHLSpn{p5%~-`&0Y#Td;g) z6VYl3NzBtxpIf|=bleuf%5cF(jtdLXs+pQF61IsdBxdNXoJ4-eFL^UB0cCd~8`2(O zmthxn>#m(CD|E9VR~zyvCBfgsdrXkB!Q5Z9nI*s&iZ-wx)v_Yyzok`uTY8u#u9}dSJpEdCuJ@LUcD8@W3 z6`%vkNmbVw2Z(59$UC?OYbe)EBI6143)4qLZ&Fyf1PjuaxdiiriQyfx*1tI;q|ft% z6|A!fOPaW>?QoG}x6=BK(~)DyvipwDkYlQ;KG(m{)J1NeII$ZOekw!^pj;U2c_hb0 z0!tr37Ehe_y!icDRD0W_v&Emkmq>3E5`}U2Yx!Z@>SLLF;`0wDzr^_MKj7$OTgc#jGD{p5l*9YvnMhcFs#%ySs}PGvG{uu?y=bLwOQSqI zyP)IPd1>ElVARpNOVWbhe71Sw<;9+>O)d#mVTm#%;Mtt|~OaG5Vw8wx~`jUu@_^8O=_GzGB1RMP_Lj{8fhN`f>z*JU* zsgNvH1!L`_2)C3KNk0uooI4i3lIN?CJJtWk);B;$@@@SNV%xTD+qP||V`pO9&O{SW zY}*qj6Wg{rumAVn_r3eA^?LozslE5veX466bgkN`$T5+`HWF5G9B~_Q8u7s|VckDa z<8m{#T73rlH%Kuq3F@4lB0@VP>DKv8khi809hdK!Z<&-$76jc#<~KGX4I1+RPaMCS z%OF?xxOU#JZTybQdAD22N9t5bG7QA{cm%Hp;v2_h5R=C%#S^jOii50QeaSvL^z#?% z;w!ZW%b?Hc9vVb0xPW;a!>N4q0Yd;u`eYk5lAs3K_cC`y-9DE0m3DW%-Fzh26@aX)#Eu-&FzPIJ~y z@39t7m@8Zy*t^BcZxa95`2H|W)={#ryJ2Q?G5LyZvS%6*8&Aw35VrD+^*@ZJr=4${ z$({>Z*O2l(hUBa+p17m7S*Lm89R{Iq*&d$Ra_);ctaW{obRxH3o|)9^*E%p+Fjz1b zFjg@5AROUPBI>eyEiaEvdn;FQ)vo8{sjg3i0bId^W8ca`6x^E&#rZ8phQPa=Tm)E zJ)c!OJ52s_R!Di91RE7Wx{9qi1mT4$0v03CN0|cnXXlklCCYf1EgircKDI7*x;-I= zx4nKF3GK2m8R`jO?(q+mn5wE?GUu0k}fxZmJ$ zq;@~{pmevn`Z4}5OH9DV!ks+UCdXg~piZXE?8MhJ;mX8IaQlCzz?7-*8DjY}0pootSSkkGSg8u5M_11z8xj?26kQXddT+7a{;yl-8e7%M9;75!5@MUN zP&CO`5MZ$Yr7&KqJ%W_)c%#xGa|q|s5r4K2{?a1;?S{193U0m1NAWO^ACUz^iyzp9L#gSkBGI&rmLV9L2GdaV zHJv%g63q7icUW{p|QIn#}T7&QB&~EOe=FnNHb}Q z=SvYp5XeDTVo()A|DduLuHrwCQ~z-hBBlO=K2%CwSo;T3*!v4AFDwZaI57wj>mdbb z|KJxkHAIvd2+b^5RDeRiA4qCHkf@Rp0G0=^A%8G4s6Cdtd*#|19>D?5>fq+!B2K}n zg`^fv!E2P4SV(>R&>;Lcfd26p3WUOg%pZRFQh# z)YV#MW<_d)I)J+-5Uw+3pDH8~FO(^15pGpJe}c$mWX z#40*)V{L7OiKx->*EooXs;VoCKoIE<`48zP#-8HCoOC%P)s9JeVh~gOKg>cafKfu? zZ18s}Odr%h77Z9FoNWbC%%Ah)y>r~Cg4NlP$p5b72_+jU<3fxHFR>T(r!9F+OnNbu$@KHECI}m|2g}R5c znU`LL*4G-=@>Kanh^eM97!|}ID1hx{0h}bTmQ#XR`&&!#a*%0iME@a|3j44N`|2o- zYn6&I8k#C@Q#GnsG9K23jaY;Kvs`F{rPn~&o&`v(c_rrDV~Q`Zq(zyN!c55EhmJMU zQenTonnV0I+RY%^%_#7#jn}f=tWdDQuOy+NA$p66w z(zjrMvU>0=gn21W3adU&UzP^Y3&=msNt7TY3|y`dRDV40)4 ziW%uQzDk|Lf2*rOP#A{GiNXGr|9B-N+F4ST*l9flo~RC(9Jka%kduU(6oIlavWo${ zs|Xulrz9YYsjn|{Sd{K|SNt-ix#Y#Ag)k1vJcVrp&lJuu0?{~Gw?5|TA{ENi6G%ZB zLQ+k{=$X*cA4_+Gz1n!T%jx>nvF|!l3%XJB` zX-M2;#SA4+(;^n=k;`>SR-lwx#C~g2ZLsuP6uM48WrxnuliFd_fRpxHIRBa24NPXW zq5gHV0QgZb+;rf?BL~`I=Z#5zIWLBLK}v#c=r}nK5xGK8YQl+FI=Kuj@bzPhG9NEx z2)7KwwX>UY9j&2|954CWihvaviu{>J4$6x|x_1ppL0{Moims?C;N`IxhpEX{lGE;U zLyMxOZLJYu){Zq25~~OH`9_%8{+uw~3apNVdW2ueiZJ?LFyB+20B#H??J+{)_o7so zjzR=tc_vIKKZMAFJa z0u!dmhP#jHZR?EQZW0m=Dfl{zSZIwD#4(h*09A4E)PN*xx=M(*ZRkc7e*GjEuIJIN#^<+OUrG~({ezUHi`r`<=gOCmH4Nh;+;T&WJQV)t- zAgR8zU?lHp_rT;E&`-L~8-`62`a|O&G#HM8KknLU!`WF)CVliLyq4gtPJovP^)i19 zsWLvfSWbf5_s!&?4xUX99~A0itJ(~t@m+8-K*+DIeMb)AP_3M1WWpVkRuK^6xLS)a z;u3+9-RVR?#^`Sn6GL)_E<{nEuZkUuKyZ!Oj+6Mq78Vq8>UuSD%@{|AQ)r%Ar+w!v zhXN4(0v)ZN0Q*w|OHq_zLxlL-?bX8bSSxAG6LL9vU$fO;2ya~tLNQH?8xw;o2xU=) zWq&l)MU5V}JufJY;X-AEuS@oYim;MOi}s7hPl35%6ObQ;ivi5RZ!E#?qFrGNzhM#T zQ(NWPuXT=KPSdffyw0J(HjvZ3BYUwzQ@#e{ir6Ta1CdPli1oqFSC4mh_zB-k6+fFc zHoVsa@F4_zv}+B%32a=oD1!WmZe4$!(cYd$`Rb%0+AvILYVON=%bFQXZ*fmVzo?pd zF$av&F&@qo91Oi9H+6a%U@(sF(moiZ4lOtOPuXZz8R*|+5sRc8Xm$duz|CKN($((i zPMT5JFpCCb1JrNO%~$E>Zx-{~=vp*gs%j3k45sQ@vrPTjN6u~H4sGIIZQ@RC;-1sm z_2O3D_NI1ekL$H-);!$1=%zph%gQtDwB-i68p}+>85G0o^eKRT$CTT}(z2tPA)|v| z*W82K;^H#AlHfqhj8oVdgSx(^zT3u^MYju$?d> zd5M<57`|xBeQg2KnNw^phkRpDq@do+Jzj&#dRyKJca22dk$35f3k!W-vh_=jT;-9O zcieFKh2hM7PLS$b4@hOa_-6V+ZibmD)~m+SJ8piq74(gxjL>0$WmbO4J+{MEkdj5A z^9V?Znk$wcq?E>zZ=QC9l@aC$QW-h1;_~80opEW%w5rfT8BvVQ-hD0vsQMmk@{LSK zd&T8q-r?~wcGE{$8yAzsfgWoOnO84rHfnTpO#uf08N}W6GMeHi^;G(3B{xm^X|5V6 z9OBmcDH`I|t1%7ruv6m*T(limRp;*w^{A8M3~aP-qg8rWrRVSRZ6|Ez)$Hcg`p{hR z+P41dQ;idu1?rN6I`V&wkLaaN?-LTy2~|;8Z%_A35bx<0uiMk zB1#WLoPvmPBM`xW;i9)lJq1;}-&&!Xm2WUMYqjTyPbUD#$40`_J; zCWXF@jACYH<4;WD?a~rFpERh?lqD;&5d;Megi+<2c z4Kcq+qgQRDk*9=FA%L_f5jQMq6B8acUCvn$=NuSlbK$>nm`{c4B-I@4<@E;VzAD1l zpP&V7D$|a7N!Ab@;dce)c!0rz1au%lJ<*YnR>HhhTh5Q~#?%AsxN{k889yYZ4&eC2 zXw0{THK@NM&dXpQF0(jsF`BoQO5=!PvbOeFP!BYT%o(-h>}374`mI0hw5X*y!q)1e zG??f$O$J$K)Jbz{hmtnBp$7Pz1Mj$9hn|k9MZ6(Z$ebcizz-KRMM0;yU1*qTWxmip z?kGxbE=gy?1?#F-#M62`P6My>Rr9t>sRMnB^pe)GlX|ok8U3`~u*wOQb#r&^8rzo6 zhO>G-Mh7p;W%IV0_FHO<^n-Tni83v{G|*xd7}^DOBJ_e5Jpo$R2g#%i6K>xZW?E+- zcGDE&TIpt#Hdx`DHewD$IYBMyG=yIO+MWU#Vdl3lsYVaMSF4C@Vd~q7 zDq-rA3auv7Fw@qfhHboz$5O2cGBML~f)C@pjLY-b94BFAV*?MPy+D@2Atqs$!Oq{s z#96q9q2%zhuC%mOvN%7>4Gci1l4rdGnHa62bh!%TJE+N-9Uu0G4(7MAZ%-Wmic?Q` zRhJMQHDv|mEPKNM3}|Uay{gMWf(nqJ{T~7CXzlUUI4feKp-P(JZ2sCt`mGsh@Y1{N zZUtS<#O^1}lhkjKat?TVXw&qeux6Dy<8n3M605s(|E}$4Pp-}!Q0nfSkCS(R8!xUP zDo=4f!6V{EN1UKW4*KMXW44T=-%rc1`kxex6?Z>r7_;K?J21Wde;%p3BvH_oHzd)} zj->`ZBqZ+3^y-XC0mzN;u}*g=PwiBHk8*?tWJ+q7W%u_Kk&=#kfkoF3jsCl~2{vd< za`x%?r-4NAdVmREHRqN{wU z{SVY13%(=?S&CpPcacIi0?322&Lk1}(VYGd7Je&N=MajHv7=y^PYh305k4oLl<%&b zPd8pSsfI3u`I!F{%WvgAJ7Ls-+M2PbteZx#jjMWn!nHkbmjXnkk+0ueUZ0~~j(V@Hi(PRr3irF)lc zhuNJ#GO+`*1>c2XN6hLY|XOeDKVl{{*-YjtB>&%)Pg5;K#z&u1)K^SyhY?7s!R3c#aNDBO!iW zz4e3|O}p!t5}t;o1?Og*MB8tm1sLdI9bD9G{qyt+Q|G%>z=Oqc+GB@tbN+ot#}vPt zhw6gBOjXB-O8{CTed_t+awpB|`;1vc_Gc#CvJNJE_yl%MQ_X&$3WX>+`%><5hki?= zk#9#-crj_{Znl~P+7=n>&*$z!AF8C>UFqol>X?yS@dLQs`2<1JfeF}$trg=)V}1(cqt!h`Xf_N)=SdRfy$*1 zn3r-hR+}^KKNC83O$#(~8o2lM1#-+%Db!&;UMd>@9L{uj?jum8brhSy+qkK#iPpYx zcgujat$Nl+3kMNXI4X{Ge0-R;Ts~29A7O>WAMHq9Xi5GI7xlyb_V~&&Np@^ZLvKTk zlKg9hdqH!5*18x9BWpw1ciqNxfr~W!IxcnYAJoHc^d$Yh%GyEcVkkBdeSR4w zo~ckX28)@wZAzTu~54S)-OR;RTllkdL;N zyoJapn4|}8`dM#{blvk(ju}q-E(|8cthPMQb+IHR;3IJ!Gzm!}1C zUv^&%+vQnXRsl52@(EW-=6u=>Ry;=XEGi@RQAkL&L|o+_v)>F<838@Ohp&-z;`pk1U!F>Exib+x!1FGm4L*2X&xm5^33 z%@XYocm(5&5--B(#xY2bKs6famU!K0#6%Oaqb=#?l0;{9tfwySO*6-?z3JfEFqNU{ zJ#Or*e(M1Cs`;VIvL-vlk#1i6Qh0_O09op>80?b6cyXIO4V)_+5* zLPiv;fCUVpVi4WRN&@#Bp`AN0r1opq9iULO2{_?Wz$W$}{G_hO*XaUBX*;kn4Gu;?T|$E>ioc8~ubJmCq6lqFVz8Xt>DEbX{=qAkAT& z)(2g(+i_q=r_g@tN4gt$A4^B5GnuD3U4!m{bfoTvaL~oKiSsm@fA#0E0S;||J#ik) zhvdcBvK}I~IYGZo)JN-b^)(#wFQ-8N;@VvyD12q$`gzLxSTHZM&fj79dG}kcGiW%y zPF#I(2y%Te0~VVIRmy4=AVTQEyXQ64@#~+YBh?tLFeB6$7TezbWRnnzBbV!)>IjgP zN{z5=)VAirkYNS?313&?MTy~B@n2W?l7#&_)_&1G9~o!qps3IJKHs$F{#m-wWmn8? zI^1C_6(Hr(k2NyxCE)e}^c3_I=D53YUC?|SVzi9I3{U(H?;7@F{-0=&Za6^~MaS)o zks}#|@>k)g1+`K4Tg|T?PrKodeciHicuW5dGx4~e9F;>bowNHmG48Ry>Uad~90k=L z;{V+-J)?Q8PZXtNCAbc}@N)hhOdRIgf*o1BB)PE}MvkLv#AkR2HjHYmV8l0Y2-c8O zFa}*eR1FBSmJqs=1KlDSrB*`n4>-aChDzoynuVsLiiD;SNi{2>HsYp7iHk@9(zaax zj&0SzdEv`D<{j&MBZ8uQ9ZE?ect76auj+XFP*&hjmDURsA5+7dbxHl{Fs>H+@P`<- z>IhTYh@ayWjC-VkK;A7VhrD{kFqi1av+-9do5&}+0#SXLlkJ3*#ZRBR%2${%|Jf@n zss*cJhWL#dLn=)veS#yGnC;uk-ZT*>FApJE;x-v{b$U!=lJsbf$Y}FcJv14+oE?BM z4WR89t?hv3e*fzb;=^|oEpYO@3B!}H;XVExWiFGO&F^6RsFISKZoQg|bEcSS1SfU- zIZ_uyVu^n}B1oEak;QG|Nuw5~rO?VhQm9m5>y%S!S@>=8P!F1f6$cN*yzG_WCL?eE z7I0;tF1CBLrIz@&2=WnxGmqcw8{lWRnkhz4r+2S)ISxYKJ3+RXs-l~DH8I?V8tlur zj%GV0_AK)`_IquH+;u`9d7)BV(J24ygg%LU%b}`;KQ(jt*~wvHv-NY0J~nYoND#!nCAbP8bfINBcrNHWSi|AS;v>jsW*Ky3-*vA33 zDF;h5(S`G=|AumW>i-WV@0+awr_#jSRX6d$)L??HBO73ImD~Pr9QeZ>!hBsta;jM< z+6$Aikh*X*`Ml6-0v;&8d@sqAUZ_0+ko1WwHO^opBK*ICBg4^epvdsNUz5rb0gU!W zQib+=hw)=mz|!N_g{44i8E;bdt^ua~?h?(G$c6Ki6`PVC7<#m>j9rLnHg>;`|a(zJ4O7=Kce^ zmzy{PuOjtGc-;)*#-seQf9qy92Zs%$wx`k+6@g^R+&IQ7CE`ItRN)Xi$Z^?50y9c1 zGL=6y{>Y1paORFlAYn4^>?r_gsw$s>j;p2M}s7s>m?YGjOFAAFG2B`-5bJvjh z=t-c&daq9o9fFr{uSuPv(or-hk+3J#WS=xdp#{hOlJlevzcw?D{kVB|!K=%qpG~`~^I*aM&NCf26|5|C{^;Sc!y`2OcF&^@ z&%QnQ4B6t=eM8!n{!q@((;$oHa^YHoEbk|M0$+QwWxBNCE*NNheQQ*(LolV4%y0Nj zC^ZQ6kf=8eHn8?|LPC^0T2TLW1peWP4D{NUm+Fyna9V%Ct1pHv7i||P7g}7$o>(<+ zffsf(`CD%R!QI4OZpf-&=n;R^<(5|gKH)` zX<4tTGoLt)m6XOS3Y`~?QLOab3N#G5tAwjNn=)HC?l>$qhbyoRH3n`fe1LqAT_3OI z9rujkz?2iDpZV<?HaBYhq;+vM;4oHnI6W6`eHsF3pgHcoQi9rBQEqIe6G6`R6O5?JW-dJW6ryw zuSP879ZzpDSC*e#8wzocf_Ny3I)&RXjia154?8J#ccChcUl+FQA!6;8ArsUh$x@gv z1lgnAkh|(kOsUQ!`TwkZ`N-^&5GAF2iwToZc*KH_%{gbp!Qtk9w6WUXAFV7*Ox~*r zt1=FnWgKHf!^IWqPb$q+TB3YNnp@@;u$nCF4W)d1k`;vd(aCddP(x%%gh!$OuuBQo z8i*4Y6_F5K28Q?#Sz{+D)Rjtf!trU&cyp7Q-Hj-xizm2!)?EL716sWs5%$Sl<|@}A+6V3YG3VG=$`e!uD;Qs5beRjo45L{qJ) zW8=v&TFUjTw_z%0<0yYO$V&3MWKq14@Q0dcs7-F0_LUaJ3;+1F`2yqALx#rG4B`Ay z{9snAF8xX1syxc~8bKUw%3%0QIM@r3p$W!LSk*jRCy}{0&SN11Ovow- z?tiKfRS@v@EFeW{9z!f1JyXP>k|FHHgaC-ZIDji_?Y39t9f;)D_rHr8(l1xi_xH&8 zR|#9xX1U^gCFKf3a!B0z3#V2;OL`WsgJr z!_hu5nE7#-GZIl)-%@{#M-MaaQ1Nt@c1Ss{U&cFH0pm8M=&@_)HnP73x?fojcSXuR z<<2DUXpv!G`!1<*zxxEP&y3-!SY}{!)T2vOL!n7P zp&jx7ogf{v3!6NjqRAR*Yb9pJuEL)Ljt#4BVzPzI|j z&J;$9>Pf3!g%eSyh9Vb14+)Y3CuSjsARZxt^5RV3#PbCg0ee&;C49S0EP!@~Q7X3< z8B&F|{_Q+XjGtmjiExARcf<-IMXA&=~We>$h6~6WhDTx;RF|F6c z7C)3A#3z44GaQueFAO+2y^ng9Yx*v5f?j`=!xp8c7281VU^HaAKdG{_`o z%G#fl<@Yqw;@s+)-OdQD zvA*+L`9S>5`_XJ!P>bC-kIgHZ1YtYbi{tyoMr{whWYvoUuT}Kbmdz*@qG~pf9p|^i z_rGqqozgfqM;y_nZdy4MWqU?}9ZH+G{(Sj_h?oZb=-;JU2o)SV?=&M^M}73JO@qS< zST5^$hkarSnIkDgqbjqCOQmT}c(_u>lWKxh%VTmWrAt__8=DTZ=idcvz512Pe1P5lZ9aOy-hH&uyDIQ1VL`B@3gv`#gcJtpMLche%o! z)twSCiS1F|4!WgzUjdK2oXyfiUm+F)Pvtf%(k)z#C1^Y4H##n z1`HBY5g>XI%Jd;cIoRW%pM!j^jY~$k;_)Jz&LRfab--8{R%1f)|GE<#$qHWb?^)O$ zas_u?;D7KvA5yOTO^2P6PP~T*&;mS>ZTO(uikw)qenM-}cE~VdP)}~iYc)scmdqG# zFTYuyM1`-#i_4-v#guZ?*iAAV{qEU`OCg4K4A*|MSOVglANM`xs}V8405LbcLnlsi zjk|oRJThLSEn8n(N7H`SAsKuKM)NbY!ZL2jq*Pski_!LNkA&h^P z_MKFp9^JvTP9o<^8siUDLN#rV5?7$ z*Z{canB3I2%(sk>Of(I%ud_5%GHgUZw41^#fyXr2B`TyBFT#ZBoh4L~06xgHt)Uxs z%z#l7Zmpf+cg7hF{k|=HmZ4j_<7GN($R?(XVx`iMuS+43)bq zbPQ{N6VrqHta`a3yk+{fYX+V=-S{;sX#yI6m$bl?JbHbn-#Uxb?Jf;vO_Yea^BATd zQo5@%G}kJ__yt0Yd$JD(z~g)8Jf{L@F&wP204;;DaN;5l{oxS_=jC6!Y}pn+?jjHR zcHL{7sa6^D+LZ`1-}b8aoXoDy+vr!mjILob0g-;3r9Y$AHPac(Z0UAJ?Dr>x_aRsY z3wjbP4^OZ+Af=4QdBHt%#$&(TGNRZa#;FQJfrAHDuki`J{`Uh^ZJ`N8vo@2G)@CDA z6W~g22cKq|>XhZLNp_99wkopv{sw~+GFd@LV*%Q)(J}9Xaa!iFWhV-!*yO~t{FrLq zc~Ba`p>`EAkg$1|$V5cHx5s9#Qqtk{eWfgh%bs)K6l$ArLaG|2^mW!5=%a#hJi##| z4GtD*`@Ea9YN^iDExTCsPrxtVZJuYW9`N5u7=u&2))3*bQ-&UWigFHezqAda5%#Mf z>ZrHg)}(itV<4pb+?$QQxNIY_l_&5YuWV^ua-^HvJ8)(u$SY#e01nBUaN?zKatH{{ zAv{5!J?}j2g?;Dqpu}~#{iEyMWo9V!o<~DJx7dnzl5aIuV>fN4&}^8+%599N#Al2b z2`Zj?W+?3}gahSPZF4#o`F}Hbg=4*(rFNZsvDx?>qylYzw>!_elI=}LQ1v~x#$4?W zpI_cY=70}_YtZ-eq~*`QtU5*?hbK>3W(+F&xjEknJ4hQo_m(r?88|`G>k` z>T>QHxeK+rnd09|(tg|@Rew18z4dbFk=t|GS5^7gRn{HhRz$#@BD8w+JQv8jif=Rlj_jKld7Mr+u2b z;Cp=yMF3~VduAl$e;te`_>d#Gfz=oBBr5&QNL#W19ci!Q0Nn5BmCSFJjah5CK^|5c z8T(@b*7N*UH#bDDiDWt$xVsd9_4QZv*gm!J=i18dpyTJ-`b3j2H^MpCA+nSA*Si}T zK$%RDPTDc5fLW>^K$Z%X@F{7Tnu?W;OUa^lj&)s0L}QqSa~Uz$as4;FfTQ_7j{0l# zHIxRaN|Tg2340iH6S-uile0_IGXFdoqh+?BTywR+4yhJ{xOof<4Jo}YF6Z8qedY6x zcG*76$%7R&OAB(Fiy{IyD6xdA4*%?B^nL6ZBdulWyepO}FvXPec}T(RGES6( zuwH*%0bf*H?km#A&1Q*OyW1OmFHdHe&G^Ve#S0`B*QL#7fW{PHGFC%5M!m4lso|M}$^1(Pp6 zK+)$BKQz219KEyd-;|^oYJ~|ri0NiLwdwtyU*`_M5-Sh5eoG7RLO4v$3y#!yKS)du z(ee63j_c$S?>GMHc4%(%ThSX~m}{fIMCHC`hn2C%m%YyHlN_P{ofIFC7@c+62*L1~ zKaTrW^8IT`Fqo>}w{IF-0##_(%hVD-5p|EhW8aA|TiC=d^K}OGjE(yXSbFwP$J8cr z`6L7B7&1>yV6;6?S5NWq}v^B|*b3Hw*^?u3#A8jBG*e$Zxw zH}#gR7uGJYo>)a*6BbrE$Mz62FrQU6uH`vGFx+z?|MKEZ`$yE z6vj7%2vD7@s&Tme8s_oYi#ylj7u{1B!jg0qt4uty5pzo#pnK8y3Xhgc5T7t_ql?j|1HqF zxi@q`_|*V(56A1WGbZEq?W^J!t?YIlMEcJOQ+X0@bZHy;+g@p>B_yN!Y&Sm_Cd9Vm z2BxJ*Y@=6z>KR}@|1H{ERq>9J?0jC8V)!kVvLR&1^r}3E7@gX(SM^J(w-(PiWFp4} zDx3cwDrYQL3fFZyn1k04OPXSWmgkWBnTHH;Jy!lhl+Flvau)5rkzCJjECTk_j<$Gv zNNpMfqRubl;KBQ6FAJWcBtmY?l^lm`3TNS`lS>zNN1KIHz!z{Fm0 zQzrfv{GjH1^P?xNoAxUp7EMusl)lyz`^ll~t4gSIAMGYaaWB&oIHFFMv(9GZ<;1a~ z(FU%=jICmxi&SM5r`q^ydl8<1>-qDc5LGDu82sBDe3I(=VwN;y}qYGa7c~TcDvr2@4-x&=H!_$f0raz719Ci6uUN z-*+NYnl4p&w>z}K24^}{Z1zfU+}|2>MY*5hIj zCXcBuF5x|8gm6CkL(LM;lzQU?A(23qKW_(5&uZNvfV(77M^13#=?bFRd(7yq7rT^k zBhBx*k49P$Uorl})WcUgpFW`B#Ne*>B);qs|G=Qr*JZhyP{*V2vDL?WvBtxmv$!n( zZ9Cwz=X2)s{Xo(0x@oKJWobiF@MWUM>wVMft!pD52&DWh1p?8H0bHgy$Uf!bx-yWxGCC9da&yoRMet`6R-DR#g9oQ5jf%J)4P^5}ND zJL!4v>&f@M9J=z~JDeCWymT78-ul>YU<6tLT<-SbftN-3Ou4>0LstO@hrtQfx0#p$ z*F)Je^}c|+-GiwqODXkaM1%LuBZ220pkecZX29KHb}4xn;NwRU|HpGtPpOID#SqBu zDXdM{`K+qTVdZtZJCic0>&`SoNQ3?9z_fvB@ZLs`d;j!+8F1^vxy8}BbFxPW+)#X; z<7DhE^1r;`TzQOdoLqHqin_ANblLA?33%T2vS%<@I!9MDY1J{Bvz$L-O-xujl>v;BgCh0D3(VCS!}> z>)Pl2Ui{~)qd$vWU3h6Xun*AyaC>Dim+JpcDEL}=1zalgKhX3)h~~_947i>Rcs>pn z%q?7nZICe?p6L`dI+ z6MQb(nZr&0jPpNZ+)xp?y)Z1vzva*RYJWnog?Bqkm~!QgL#o?_dw&q8uBqEf2F#6LWseD#{q?T4>v6sN`Z4wT zY^i1ptSp`A+9G`V_;BXTjG=CS<_tqQm$L2Q&?Q*#L@F8xD5ng4n?wH$_EeVjg*?^i(eaZNi={iv712p5q1 zT+0Ygjx8hOd_6BZHGQ_R-(c9*h7E*|dOt1r?Xt|1D_nB$wC6g}qnNAQYbt8|IwPY+g7wnwrcQ9O21 zfCO-R-Ja(qcc)uGSp2;xgG}*#Zzp}t{nq^T0Lb-1nc~a;0z-~iOUXEvj!>J=k-SCT zXC(M~^s$c^Zh{Cy5|8EZL^*H~gexb&zrO;%gb!@fRRHDywdK26_sQ)2jGs6B0j#|r zuD6w)Y}j$g-Dx-TN7nTa(ri zqxP|*E_OlA{THX(CSlJLisY}48~(Q?JBALuqKS-zpZi5X!H)~cWW_RJ^MJo>Q~RpH z9(o9G7u$UK1X0-a1mStNSK((hl(l1DKq_;P+rjJEPBUIs zxt{KLcg8z%ol;F2N}-;jXq#Tl;l7UwY3iAj;Jec;=lx8_7$M@pUj+qG6J2>=2xve9 zWIoxF1&abK&dJu=VEd)p2&h-u0Df`^_~T85=h0&x5ut!CoPKeXKQB+8XWLTJN&!6b z{&+6R!raSS!+L0c!}S6%U6h@U^aP`-Eqt;RD%iB;Pua8;v)ESUEN&1MxZymWr8~ce z!gmiKnlhY{gy{t+eqBr4N&6&`R^mK4^6aPbaUxf3`>9*&OpeR!@Z^&i% z6;tl}>*%h&p%arA>${Z{-EqEmeKBa#Mu7&#;h#C1kASe-LBr77Qwa4iZc(dh9ZdBaEGrVQuZ{d5vdx%l{43K;Ro$~wZ z(Z+s0BBn5bgI^mz?5Rpr1Xvv}{iC1(eB_Ot4$g!RasHsIz=XXvYA7ex~ zbl#wTO4?&S8RHDAjK!z5ypSe{lXRKk96bMIdLCbyeI)@7U(eTd9OMHRl@k1Kr*^)r zPqDnc7K{yyd^)_%BKr4!1;UyJ5&~P-+(ZpU`Jo>^@^OGzdc|43+rVGkch`~wkNCh0 zd4VfnhKuANs`M%IYdmQC-`5Ni-1L7peS&;INj7YI`TU|J`yCAJ%a<=uUpkFF6%2Mx zle(b3d}#$0SddgyNuAro+SP@@)8T6?l;o3^ou>vfm$(QK=)=s-%=*ufh|8FX>n0b8 z!IFQqj&KmcXzBW787$P^e^eFLCNT89JDMTPO+D1EP(8lSTY?I?oATYqZ z;~8%-SO~1B$gC6n?-nH!S!X7lk6{lW1=z3)rozY1uDW>i=g0tBsO|k&cl>UqFQEWA zNE@QX-L1wlX7nuENw!{|9j{s9-W|m<&^t7Y=Su@7_)CqY1;Z z70AG^-|T!PeoE8ap>BO@DyI4tR#i5uc~1<3)u8J!6KQXQYvlkv*r`IrrG(?ocf(S? zri{AvVPinH7yg}L4;DjM^Pu!RGNTK3~Md8wP4%Ro}pP?u>o+iN- zL5#jN8<=(Sl^A$Qwv9#o%kxr=_bsHNZ11iKsl35n9r*Ni)-(jpfReK~_zHc`U`mY0 zIMG`hNHcdlC!7vgANTE%x4q*m5!F$uPiucMb@n}^R)Mbcp9+<~Ev7cn_R;TahAl2^ z9BC?1t|+M5ev~~$zzZ|Ybw44L^CNY|{^^+j-^b9>p%nZj4q}n6zfOWIkaeEc)r2#b zS{3O2rK=z^ZPRu9mQR}QH@1^dAorGZqT6}dgTl&@AX-4^JllJm%*E_ecKr04a|J%7 z0qTy>n04t|0Tu)F+M(!EXB`qb$Qkl}vG*>`S2*2$^tWJgn6%xEejNmsQ^iOBu9^_V z4cLK!J4Wc;h$ALGdqjT)4YB7~Uc2$P+rl{4E)}XT3m-2}x4$JmoF6U_A4tB)1+U}L zV5>u^XifQsr$f1xjgt;zsg4d4-~TsnyH2)%RepmRS*X)Ou(cG$q6u zr?#f%n`I*y?^89S!uHo6?0QaOji!cqbjtTlsh$n-B`c-U!wI))PG`%`# zgz_oap_k^@+nO_*NJE2DFo{+`Y}(SQx$8fc;QV!x^rphk$rnPPJL@zRDaUMF+}IQ- z2%;P3l>zhZk-;u{%;6^kaEnjJrO)qJ%G14S)_MfP$BUOS@?9D=5h{K8eyO-FretMk z6CQZLz3=5FZyBBRB2o`*8a}elakeHxv{vadFF-d8k5T`Od7Q|*P5-(UaNNQIp)H}F zs#&8t%)at{&g%^9CaohhrC9N+(yEfG0~Y*~qfV*#t#=FiV_8^+J+f zRlT@ckGr4KQl;7pob)y94oS_Gm&&0xpZwufj8K9OJ&9y2#OeHS&(LFMqe;;*N(|s} zH%@5NbZiRtygL0;)XaQ`G87UJdBHrOMn<(zqnKEPzxz`YQvws3nTR=;*i#9$VdhT8 z*S9PJ?v*{S+f}4~bvs%Q;frss{iswY>sccK6jd{XI|j`<}s+;^&fMv-pKbP5EcL&$-iJbrT|=6ced%Xj0|Arx>!j z`!ao~!hl*El+RHBKAulWDjM`}J)A=wSy+fN%x@<2(4#=Q2U88AMxP$FT<1>p3%Yy{ zgt;H#3EU!=={xeR-P^`OBa^XdQPA7}!EO z@y8O=a>z&4UFSNi8#NZq@$}_f5`VU9M8%KBWX-t>nt*&hVvaBHLOb!Lzn4gLE#gE@ zOj$QIzIONMha~m}seG$2c|hU;y5j%Y_V<^=rzZIRnEK~;qT1^2bgjR0S3ax{dwqW2 z+EraqHx&Y+=jSNie<8UbWp<+~Usjv+(HRHN=zji^W%gC)`m62_m2W%iPWCagKRJD* znSq>OnYcjcj< zJ7>F|uYS3~`aO_>CxAnkb_vNy8G|mRR-u-61hf=}*+^<{u zHH-2DXdg3*;}sHL$??#I_wjvA?$YgV<^a#S+S>Fjd!x{sI+>XIo^B(L=ATC=$IfwE zV{SCZ+j2=)o8OEz$LiB3I+|@MV^y7&a9~}MZ1tlOW^3OGGpnQa+>J}#W_hn_QOG`x zUTc9@MP9=Cv)EPrEk12+|8?(5O+0JvaRv#_O^wxuE?s=;81_jxPC@FWRq&5!50fw3 z559P?DN^Hz?}NZs_mIJsYE?AInPE0wfzbBfz*A+xYv?eDpX-?yi69=&#X@5)m#F_Y%heVs0w z!O`~R6L}{AM`tHAmH-; zUzcT*h|np*DZULR-2@c^Niccug_)#*Eb)7OvSb;oAMPTX*iSxn7rJ<@ZbAvv_dpz4_V} zoL_dBo^X9UWy73*DceL8gSA#wY;SAY%{nU{HBb(o(mC=G7#RJ)KoPsd;`$yU2_aEj?P7T;u z_{m}pN7vo5$+os)(LbD;zkOopeOq)xn)!IP!ht~cxAi6Sjhjz-+dBqdH%&Ku@$=Mu z`#6{1-)@C0(l9&!b6>7y@-3lDELR^EFE@xZFlcE0%u+rbq^(xuqBzbdgYti(S@N<&7 zy8AnIB6>E7CrgE?t#r4(v+UZUE9T#)ZMfCMc*6bc%uCF-Ugw;vV+`oaaOW0hBo?Hi>ou;}cXS5OvD1VRwnDtRS{zAl zIi^?ta&1|BXg4FngfrZ5uR?6il|<56k&=oLO6Xk{n47mXMqOn@IJhDY-L>eY07xUm zwGQ_X8lhzZx@Pp;g3ug#A44-R?*w?WvVqia0iicAQI$PlWYA-9H4d;abS| - - -1.1 On-Chip Components ----------------------- - -+----------------+-----------+----------+-----------+-----------------+ -| On-Chip | Power (W) | Used | Available | Utilization (%) | -+----------------+-----------+----------+-----------+-----------------+ -| Slice Logic | 0.063 | 13 | --- | --- | -| LUT as Logic | 0.053 | 3 | 101400 | <0.01 | -| BUFG | 0.005 | 1 | 32 | 3.13 | -| Register | 0.004 | 5 | 202800 | <0.01 | -| Others | 0.000 | 1 | --- | --- | -| Signals | 0.033 | 10 | --- | --- | -| I/O | 0.288 | 3 | 285 | 1.05 | -| Static Power | 0.087 | | | | -| Total | 0.471 | | | | -+----------------+-----------+----------+-----------+-----------------+ - - -1.2 Power Supply Summary ------------------------- - -+-----------+-------------+-----------+-------------+------------+ -| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | -+-----------+-------------+-----------+-------------+------------+ -| Vccint | 0.950 | 0.128 | 0.104 | 0.024 | -| Vccaux | 1.800 | 0.040 | 0.023 | 0.016 | -| Vcco33 | 3.300 | 0.000 | 0.000 | 0.000 | -| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | -| Vcco18 | 1.800 | 0.136 | 0.135 | 0.001 | -| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | -| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | -| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | -| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | -| Vccbram | 0.950 | 0.001 | 0.000 | 0.001 | -| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | -| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | -| MGTVccaux | 1.800 | 0.000 | 0.000 | 0.000 | -| Vccadc | 1.800 | 0.018 | 0.000 | 0.018 | -+-----------+-------------+-----------+-------------+------------+ - - -1.3 Confidence Level --------------------- - -+-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ -| User Input Data | Confidence | Details | Action | -+-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ -| Design implementation state | High | Design is routed | | -| Clock nodes activity | Low | User specified less than 75% of clocks | Provide missing clock activity with a constraint file, simulation results or by editing the "By Clock Domain" view | -| I/O nodes activity | Low | More than 75% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | -| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | -| Device models | High | Device models are Production | | -| | | | | -| Overall confidence level | Low | | | -+-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ - - -2. Settings ------------ - -2.1 Environment ---------------- - -+-----------------------+--------------------------+ -| Ambient Temp (C) | 25.0 | -| ThetaJA (C/W) | 2.5 | -| Airflow (LFM) | 250 | -| Heat Sink | medium (Medium Profile) | -| ThetaSA (C/W) | 4.2 | -| Board Selection | medium (10"x10") | -| # of Board Layers | 12to15 (12 to 15 Layers) | -| Board Temperature (C) | 25.0 | -+-----------------------+--------------------------+ - - -2.2 Clock Constraints ---------------------- - -+-------+--------+-----------------+ -| Clock | Domain | Constraint (ns) | -+-------+--------+-----------------+ - - -3. Detailed Reports -------------------- - -3.1 By Hierarchy ----------------- - -+----------+-----------+ -| Name | Power (W) | -+----------+-----------+ -| CPU9bits | 0.384 | -| FetchU | 0.081 | -| PC | 0.081 | -+----------+-----------+ - - diff --git a/lab2CA.runs/impl_1/CPU9bits_power_summary_routed.pb b/lab2CA.runs/impl_1/CPU9bits_power_summary_routed.pb deleted file mode 100644 index c65af49f17da56cc2995552bc64213cc6a0f88bf..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 722 zcmZ|NL1@%K6b9fKDti!xq9;M@T-u9u)x~P7>}r-(6fLx%)Po8knca>iGixT!@_R(@F+KbRiIotQk{!r@f zN9cJuJb=`bYU7cQM{~vg2tva~GWzvKyBLij)HbriP}3a;!vdIUr7ZLQjok z3UYKBa;8-EXTYQcMrXmS0(Q@X;RP_&hL?5L#2d3J73v#jmhWDptKN;~u+5|WDcJ1ZEk2Wsl3I(C_qjMqr8 z|T#{H)TC5OUnwy(gRC$1h;eilCgCzF?c?JLqqzuCV diff --git a/lab2CA.runs/impl_1/CPU9bits_route_status.rpt b/lab2CA.runs/impl_1/CPU9bits_route_status.rpt deleted file mode 100644 index e0391c7..0000000 --- a/lab2CA.runs/impl_1/CPU9bits_route_status.rpt +++ /dev/null @@ -1,11 +0,0 @@ -Design Route Status - : # nets : - ------------------------------------------- : ----------- : - # of logical nets.......................... : 18 : - # of nets not needing routing.......... : 7 : - # of internally routed nets........ : 7 : - # of routable nets..................... : 11 : - # of fully routed nets............. : 11 : - # of nets with routing errors.......... : 0 : - ------------------------------------------- : ----------- : - diff --git a/lab2CA.runs/impl_1/CPU9bits_routed.dcp b/lab2CA.runs/impl_1/CPU9bits_routed.dcp deleted file mode 100644 index bde13787aa8cba69ece470f825d070565f97abb0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 127302 zcmaI6b9ki9wmzJhaAMoGZQHhO8xv1#Yhv5BJ+Z9`J60#ZynCN>zO&CCUtg>1UiYfi zb=6a=OHY-e3@8{X2nYxi$efX!>xofEGOe>sVTchr7x3aWfS zI!Y!lM-Hp_#J9Im7BZ&bX$Nx0I#MRN5!_ihYz30FdDkY6?BXhI!!`=uW6_9fScvZ{ z9Y-z_D^EL$88xkh7NpR>hklM25-=bqm^h)Bi9#f~~REt|Ce7&=0Y| zD$Jy^F!Jnn|8Wa$WIhFphRu@wX{$^Q(-f(T{^#&Mz)zkS_VMJ!{bAU)*x7@JVc>2KmuXr=-mhVQbokS1AS}E;aW?H5g zOp$;!7no9a0iJSY;TWi{3wmb0CR)yrzp_H>T$M3JppL?J!QXKKeBS-ChbI(@m=O%c z|A@;v%A`#${~f>Oya3YJRDsX=h-$eXoo^^DeT5= zmGwvOk{u8*ygh=tg`D1R|{aO_*k@4W=7{`aVC0}~v3QUV5 zcw{bSfFz{iq962!@X8aSIQAdwtWvvM!Q=BcpWFLW$b-8n=xm4eQf6O=U239!%8_yk<*7x4Pb`Hi3d%cl<}RO zzcYJlBj4ArSv_i_JA^`Plf{jZ%x}Vx_+!YpWdhyLTY6IqoNF)=4ELj<)_DuI#t?`X z#g^)E;+1S$c}{m=UpG4l7ygLmt5c9Q_WauUQwNxZ^&u&wF*RI?ZoOI;hxpQ-rayz; zaIi#(Kvi5{1;nhdRER+5Jl)o8dI)0-pyw>96dgn}UzpQgi1|XH`et*?x(WF>sJ%f% zF@h`O7@*1(M;T^Kq6YkZ$~qm8-6~x?1m|>k!9mcRX`?cM4m3R4T|1+B*DAB%rqbOc zLhvvVn%}S+>T%xi>%BfbkFA9vli=UUeiTsLH*dXIx9a4SOjnq0-zRHx+;j8WrGJka zM{7lkj~8Vp5Z1avu(M(9jiX!Ro$X3*57|#pDDL*`Z}mF#c3nDF`cwH0kPR-B_Ceji zw&8Avr?xuHammwJzUX=Lomq;T4TjsNZ}OGvTI-^pw%N8U0;qmpvSjs;#0JZsd*)kD zfz*Ae|8S|WsCdFX4+WGmoz?2U-#wDDNJG(aU%06V8;9`C7XsD-2Kf7;V9}j6HJ0j zhX5CZ`Jt|ocM~5}kQ#y{Vh)!T`!QHOPG(FB7(i{L2kdNg@OB$ZQ-IAKpnw5f2;lpy zJ0EMQ)|7ocR!}(dUU! zF=z#zaAYlS7!5USv|b0?oC|23yli;zF{4+FoPQGs+wfa+oVW_5A_QkK5MrqOIZ2Ia zh9)bxM^2}}V<=q%vQYn{$Go0TN2Xe56_o8P4MhLfU5#ii&dv`G17)bTmj+{xO~q5Q zwst^{<6Wdd-*n~2mXipdVp!HcF14fJn_c8PcpR*VrvG+P*6N#{Jo<7uQ2KIVh~#N( z{3g;!TB0g~kQm;HoG1EJaRwpN{<5pDM^QVSBhtTTenUv z>KFW+HH}0n69X*zgSra~W>KogwF+LNJcdcMi^&dWEBoXN3)D#Gq$5@i zD8!76d#y;>7V!A^@h1@J#PXnQwbdvbwM9aEW)(3i`_)IG0k|CjMaLwpkWjs8(iUBL zA`OiwLlZlI=BY{v{!yj19}W#}CmJOIF;a zQtg8g7IW;9-J_RA6H@BBq03@Y_G9voGVttK^<848&?7bGF^yVn7KtwFuoWd6d@7c! zi*=33txNb8j3}9`P!SZB#I*M@Ei5gI z;?bCKJ~N23j~BV{u#)88!XPjqF~x&vAj4=a~~oW-~Z5$ssYIe#FN%imFY>(kbi zZgtA=GZZ&zWgh(&^N>vI8!C8&@;1++=Qc3|aGH=0s$->2<@o@k#+2hZQZWy<>&HPl zO)Hl*ek&JSEgg8BENwlOkH{J?*IgGQBTLb79NkwIZw+LWl>V3ZT`|QX=fA7}#?8O^ z0A-^##~rPgr+1vPZ0dISfS~_5=LSJKumHa1+Jdk35ZwQsbFJ)6oXz5e6ov>9L$5y~ z+a07ae;036x|uMztDLtJ>9rtJVW#TE^y;gtaMa80-fVO1P2Zcd+hbd!E!Eu_S#Dz9 zSLvy`TL4GJAW0LMIL{1|*$k+$uUmA`Ml@XJe{v}zo_$xC?LbHSL{;zWu{lqB>#@oM z`N3?6Y9_7~#{6=w4sXYp+LWYroiE3^XrP??v&@Tz?ya+0`kb>TSsV4e3kCUl=&~P1% z9>%M9o8PDV5$Zar!D7@e)H-zZ=H{-kWUQ?|1&iA`SnL3N(pV{bDf?jWcsfKF$_s(* z-xfNTS~pJEVVY?LJumCsb26SEp1@3pEd~qnw{9iTORV+c*67JHzGs9#4vM|H2 zq~gtyH!@K97p&Wt@+kVit}VC=%F!%#5;aSjtGu~=cdj@HlhHEd5V?gi7hZAA_TCLK z-PZ{|3=Dbx%$Qzh!&Y`bZM`Uo|Gm-ettQ!1+B8UFwAmsTTnI$@5F?Dj;b*RWl$*U~ zHYyUTu?o9iwRqDT<$8QN4PA39vi;#as`pflF_((RjICJt7n1g{#@y)dru~w8EGgx^ zvup;GpQ(Y83`BebnkMW<8)$F%UtN>%z2%wCXlMX;RR_C$0^7#odVQ7m(|eH!Xwpl6 zhFyJ&V?oaMXFPYE<)nxhGk$G!J3R8Zi#n;?fx)jORlig?fZIdldo7}EGlrq!r5}6) z-l**#q38NsS*9!t!^EZ62pYu$?Nt+Bz zq{+1cxX@ZZ+x2#A=Hq=95WIZuiKsvb21I9x7B+utvO-ISfs2+t!Ic-U#0&I{dKCh5 z1KUAI1vv2Z2;Iv^KRlAdoM5DL=lCXMb%dyteV%^n_Z8I0RQW+0f~sw-{$VO5%>K~W zEpP-R`v%#fj#?b}LENedm{PjwWu2|gwY-&Q?x{Bg@craUm>L;lh*KVIY^>&Rl9MOB za7ql8uqZ>)+4|XR!kf4eGf6lD!`Dj9R?-M>J~( zlx4w<91(EEOGI7AoKQ`8c>_m<2Zt(y*9r!A6^xYD%ycuuy~Mb`+d0nF|0NaX@2%$- zIfR%s&`w2}K@S{*9U`jPnIb2fy2FX$QbpF1XO9tDqI89Ht4H^;)+YRYuPgEh1_{<>#Y+2aqABTSwzYbi~=A zdePEgGt5gvx1(MeeM0wAnMZg=u8mBeDa$imj%tlTl%+ZAh_-m?Y3K*MrnNSW3cf>g zkx8U@icuy(q^%ixxe6_E*C`(Cp?C<%Y6O@u#ynTJ4xJPrp9YiT#m!E$!rc-Z7hdaU zn6C>w?M9W|JPe0!W^muvq1|W|ECkvLJ_S9>K=2E2@_IG9l<@_>1#y<;9IS8ZpE#fl zoo3MY&J{+M&10hBk#lj4*f`=x&p%UvCWTXsLV7^`nZ=5}+mgDxhd1)(=sI_4i8IRw z%+q#-9P#~4U+IXDkOJaeiZKLm3;{hKKivtANe9t-Wf&RaO8jZK-`%{n0#qf$w&W#{ z#tZeDJFt0&hZC05$_9xML~(Vj#HsSb3QQ>@z5~niQGKlv>{}87jgi6eda)`I7snIL z$w;h%CzT`7V$IPA4Dj(uoo*&t9JeKYieAo@Lm#=9I(wY52C-OUCD%WQT$VB zF*R_j^2J|}+C?Cdh&PGEg5UH1q)|)q_O(CFc=E2vWvW-7WM60d^tjCMJsPN?m z^iI3WPR&f?dr_;|2xM5}X7Vq~AC+R1JSoh!aunScj>_Za@p)PjrC>?N6*aiHQ032* z#1`OBKi&MHrvfv0XD~)O{$8+}=NYEi-I>I@*c^Uk3>iv@gFC%TNqy3}AW+WD= zF~0eUInjZ6RKgh6xZhLTmC8_VM)ilR#+g$Klc4->WQ;QSXzQ zS_p!nqNJ=LBZ*Br)uQVgazRqcThas*5nYV609Zp>Q*dN~?!`2Au*j$8rRGAgL`bWT z(t?TQ=%fi~bAzw%1=UV(9HlaS9YX2HCW4FM-J%2^t$Kh6~%naf&}MqX}gD~#MOb7Z&%^T2hm zJr9J7=Jq;f5w~~lB4BSQvPmS}hRnir;lhZ5Lg+JJ_9^VFyB|c9;ZDh5--fYDbvz*U zg&6Gop1z6=F{o(+H;KBC&vBl@k7-03$IgKpPFEnKrn0_Yr*;Y_Q(6bdvDSi9O&+TB zO%f7ppe_d-(Gu-#&xLk8v_xx~Ju|cetq|eLM0P($+FheR2*puAKAEjc#Fr7}#tTwP z#uQQoV0C*m!0-*BEx{ET|By$W`9Zlwokmr5vy{{{=gx|3f^dZ^I>*>mDLR9O11F_H z|98BFlFpvU8Ys9p&(CIB2r=AEXS+1Xf{dR`9z>pFGFQTgRCi4joiHy!IQ_!zx5DI| zFjzmK&o4)~w^1u-wI_H+X&~52SjlnJ3P&_X`V6JZ6Q&E5$_{j=E$kkMbdFqdLbyw7 zG9QGMYA;afr7YVRz2aD3ngbW+CL-Sp<_2iOXE~11LiLpQq(+Q5KhOVyHH3@bDBapaC{S?eAPn7%>gEN)Z@?wH&HPCQ%cNdC!O)#TZ*CEYLX==-f>}N zT(vzafWLx;xKlec1uk4|7Xu7kV<<-Tz(QY~3$j z&ZR2-QH%+xffEsHz8kmq!}tZl(I3N5zQJ|Bag8Bnkxx)lp55xc=aP6jXtNlM7HTqP zk@?1^us)ObZ;bjws>1qF1Y}{i^FN~-{g7G!-}$jaZVR$CIo9Q>hAEG9TuT!FbK!X} zY0z8FMiJFBw@wg#XQG)jn}{~mPImd;+ep^`N9Z0cJ>JS>C}x+SXsIKHI8GA2X3; z&X{40r|fW(YS43%9xfz4cSy|ux8-gl7t)4L97-8-uX-$Kd^}IHYQVge9@zVgmRrs zp*k{eMKA_$NUaK8t-x89RQ+{Qp@ZBY7kWV$Q^km>=cZ*On=&cdnq_Pe3R9sEn{B-69A zsn!X%J|1(G+JVnUlWbBYp|n}6-{2Gvlti_)HP;XMEhC%8a~6rqUes)in<%ZnEoFX_ z6Qe8Bo?bRC3stq*Y2vj0t=+vyxw5|u!T1?iD6vi@T%Fqh@=3r6LxtSflJ;VL3||VR zFC^6F3(bQV5~Z>8tcgbVGVDfF?m^i-{5UX`zkKZE=kjrS0OPZua$J>B;(u8~#(wAd zw!58Ag+0ek>wuG6AY`|7+cJd;XLX*o`WP$8KetR@AK`XJg}r>GS6Jn0+vNxVI?Ui_ z7$8of*&QSvrN`EZHFc(~hZWIP*lAl&N@i7TEffxInzEKuOHot1tG^c$*SEEFXhcLk zdgQChh@n|d(KlsL#5P;?)q0j8AiY?)6NvzgLO=Vn@Qd|Bj_e{G1(kyKZCa(JbR(vw zuU0l(T!KmX6$f}u}~ zW^x!15XF`Mvm^E}HQ&maPb_SM54)aS0E2ZO*qAC3S=!F7=GJMR4Y-er$3zUAt1rsU zILjTvJa2s8NbM*6-2Ql)H3;}@t^7bSu|A0TXaTGPCS{-j$i3_QY~`_PUGs04KHfmI z%Eh^Q{_S-eZY61%cK5u6;Y{YFetOldGtp1i>d{|=b{N_)=V|$mdS!G~1}a(D&YdwQ zkmt?i4~Ev=OwHTaOaQ;SHFM?v7V!s z0p?RY_B-;QdALup8=q5S9z!1#qdlOHCxrxf_U*s1!2zp?+*I}5eF%z|uRGwav2X0dv&kuKf0o;@O9q*Dp;fgmxa_04y)3 zw|Y-@#09v0Y2UPCaZ`xL7AqD-XcctjCo7(rRB3fDO1QxCabs|UqU z6d>#UMy5S&h`tg=&=@1JBKGXDUR#)oA80l9N#BTn@Do0y?R~SnNnt$(ue@uE{HeYT z>i8do$c{s6mbVz`;yU2ib6tC7tuZ?_nLOV>tB2qvkY6; zRw**e+LD&4!8M&IXD1uzMS{EIG)jgL7?#u?ROd9tfkhxD=jaa*mA zDDJKX#-=Eyh@}UtWuvV-21!15-u?sG?%sAvm;T!vmI0X_g^VRQn+h-DaN;7D6JuA_ zON|#X*%42wJHPS0SMJ(kb>W^&E{aBJ76qP$3k^0w8w5(sw~42LZc(cW?~ zmyeC8?WOkH+E+{r4a-+aD4)8!yP7vG&L2;jHyf5ds=U2ym`)?$ z_lzL3gCr>Iv#U?qGoS}f6I#Q=^_$XOOTBp_0XcgK^H)-FZMJtQyP&jb!6G^!H2gzriicj9i9deQ2C8 zEXroXx5zB7>l_uNvRx4+2sRT!tDn++3>uJ!VZ|y@;dtkWDPgTjv40BXt8ZT7oy2+*(X`x=h19ONl|7zzoN6}~+OCGXuU>U_MkfJHPb`=*8SzTWEEKHnQX_k+ zAMQ3VYUZtlq2QT)N>71&uO4pdh32{LubZq=t;DR&vYUDHOjOIc6?~<>rfcb#BR^NT z*8Ep(f}nVk#p2{z%CT(fM~;Y{{0o>{rrxlB|CvsnRYPi=E@o0q5qbc6BW5!sUoFZ+ z`T4A+c6G?I){*K01zX&rrDvEK*>v$MM+6>qK-OC0Uyd_B8vbLOaibaS5<(jDItgwZ zHuavqc3Gq`zI%{B*9_u%7{2^DiWSuA@H_rMNbC|f&62U^hAR}A8M8>is{#dDsWd9& z&N+$-VV^LWW zjhjFC90cem%9qIzc(~`*@AqU7w6l=C7S_9fZIpi1MmB5$O_)qCrC0n{6{;ndWx_fXuOOjygnMhdCs3i5z^OJt}gB}6l zw|Rl}W_mglFmFNP6^L+>n^cFkWpSN$ByQ2mVs?uVq}xq_KE~)ByFO0jnTC@=_^c$$ zohvV>Ze&v{yPJq~&ZLG-hOn-fFi*ED2|FcJRhds-V037!JNN8qCVog}+%XP%ma>G* zD;YzimeqYrWg@mFM(_{Iig{(}=UU0d>*&14+B(QyO6x&W^)rl(jSUc?XmQo>NEtSA z#BRgUpYZRs)^Wm9ggBS&IBG`_J95)t>0hr(_s=q}ZAR$!rM znl?RBM;aQgn2_ZJKUoYPIhK|aN3{*UC;VoLQnHmg*0gx$?SKv?MLjV@P5L#}OwQ!> zHFmS3A1gXo7+X3Lu6-Tr8`V@(L|}*=*$s)Eo}VV)XaNn743-BCAd|j}g(LA8kb5{m zU#zXy#VjFJkItVzgWZC^P!L!2*`Ey!8+4E>j~}+Ys*b)c>b^Ms0>4_F=z5nUc*dVD zt(6|qskOU)SZcQ0KwgOw>v;1wMw#Wcy?&S~K5JfmEjEyj=C8Hmxu5)fyvJ(S`%g}k zwF34jxl4P4h3BpFd4?2i^#xXJ=9ag4L$2B*Y^@%ixA`hbf-LV{UH1x0oX=|>#n306 z`#8x|mze%$JPR>1q4MQH27Jrz07NRW(i=G_-atPM{*ek;o1KErZzD5Z z!9=8-%ZPg}W;U=R_Kd}iDm-#;#nuZ8TBxqSd+Ll9{p+<%`AnBlyu!xeJa_{HY8%Qt zyFP^tO3FzV@<#nXA0^=^RjYe!e`I}~_>o@cSGeExj2{RGLOrF}RlXo$p*uyA3zhIy z!9JjI*m)IZXvgZ9k(=_Y}Ab)XRSSol%J#dUiMEXKbUl!2a&wonz5Z!fx+_QMqcxz=&B|eG*Yj>~={q zII>!#kW%iN-fruf*$k6Aga4RK6^F?jY;D8K)?kUT^vl>@&j1N4aIcv{K*{|WA?eXG zQIenOlxqqAEeVFQ38AU#*Q3pmu<7aA85Ro>A!Bm8W4k-L@NUr8Z(&NO>~jSk14t z{#=7zCVi;;K1e}YX)w&%n0;eKz@Ca@4d`!pgb%L$g)lEvWP0+1l4EV`Rdu&;e0kkd zn3zeeC+zbf@SiU@OnRGCjf%~WpyWl{Osr9 z!S(xxl>mL}hMmW2_jT^}=U!g+RS&RwVPVNiz}Iipx7#33e`hOCdA(J>b77j=)VG6Q z?^CH&`+fHS@zUUZn;<%i>35W5$>&qlk~NF{%B0vYe|Ntp&z4RoM~~NL`%dgvxs_Vv zMjnz90X=wNfdPX^I8#zih zrWy?sNhhbrzaM*jRIdxQc5n3t4$Fg)uNTCsXSa`li+$q&4+*5OIjq6D%jE-dC{qt7 zsa;HD_$)%x3X?wgw@x4*(&A%{4y{Jiw4mM2RyJQx2OlFwhZ|F@5as#YPQHBSVC86q z%QDfK_mal}c~kze35J^xW}q%DN7hj)c73N0oBbo6k`A@o($gdptB+4d0Kl;|z_+ci zY*W3J6;)mGR~U$>|2(zv3BM=x%}zWHyfs)})#nrV4GvU20Dv9eP}Ihvof@*4esostkC zKJDrH>TNX2W7gjOu?QbX-Q?7%SG&ArF5|}{*47P=E%DARnK0C%YKg8@q*q^sqPy$U zBCxl+P2gZr$T@2`bCEWBQqTaR{Nf7VVEfMV2l9(HrhNYAfI!jjG$E89rGcob=JPQ^ zlR@YPSC1MN1oFY=P||3~4_R}aM(GaZ?8j{dOx;>4Nj~a{qWnE=U2As^k?0Vs-gu${ z4I}#cTRmM}zp&!9>4Y^rL=JxI8+9tCk{v`H=%wryQU7#=Km{QU^ zSYgKDot?VZf8EW$k!H-feY^Sl(i;7)jQy3QH=e41<-^g|M;(kwE@>NVu$Lx${=95=>*oz% z-#S1%IIe2n94*iSTSinr=F`IIepu-erIqsxR~CKiHQbyIPBm(?Xbgh#%+B) z7Hn;#Dx-AR@!zo_%C%ri)w^(maURzyBr8r}q1qwi#IhhmIgoeqs*Get+psNmD6B?e zvbanAbAEK5kfbYQ)2KwRFRbBJR`8mTUanDrurNvsqzxtYsK`~pW34#M#iHtsQ(?#F z_G{q@3rb!d8bSzC@L7gpW0>~ga*RAELTsd2y_jjH-`H6JU!y4A6~Wj~`yj#rN(6|o zsn@^vAI<@9L89{Np7pq4ztQ_WL*Rcj8fR>Bkj7kcy0c!^1cCHQxj6meuR-6qWN%Z= z^SS#3K7HL1{PcVLd=@x}Tgl7O?eTNIwFm&T2i(g&A}Sd8ofJCwy}gxo#`)jOU;EvU z?tDxyL|p?bKR@0GKKltie)_fo?k{+DxQ=!S^87zH`fc7996moB{9Yd7m(Iqs9D4dQ zaIAS%lJtxN6P!*`7D3G)Yo|j zaHw%*o6Cq6=o?Ifi!2BCtop+Rl=3p!V}{v0Y*)ef84fl8dT^F;^VjXP#q754#|2Ct zx8LPjW9#$ic%wz0ynsJ5(DUsji)m(Kb|-R7f$Xpp`8ETS>uLI=eZJjiAHiDFR-($i z%B&3X(=X?E#3f6#fI|PQ^Nq{j_FdnFBJDm4vP0B9ge4#K$t_ zU_>=+73kta6Z{^}{h}&)m8sUiVO#cDuF2&Ak#Lnlo zNAH$ppF~~?_S_n_V#ljW02&E^%7~Qn-IVl$T6}-H2+yJU3wmo3Jm0Dn{=hy5mywn# zN#*9qOW#`Mo>8#9h$<(wHe_athk`j5|M@1n^o?-|p-BGm8kouX(! z*=2`#KWByEu_%reWN>6fjIq56 zL3j;t=X99&@Al8LCeJw?-VVd?Gw-Es48jlJ0W;@mTW2<#Fj$yBo_)dZ!QN%TVZq|3 zQ0fSGPufly9EX3z9sr}<)cENtZpAAmN@d3DsgZU&hATvW%EYQgfUMA|lYQ4s$vcro zM5F-}cOVPAyu%U3C8;|9R_UofgmUaD@-J_La)CQfMZtvuI_sTo%nF3+;Qv~z(ewvv zYx_!+DGqN*rjACBkr8D+r1fqUz+Ft)o=8)Wh|Ae?o8Ss5ncixb*8<2_1-9yFrv+Pq znBUZtZNDefN2^q=_Ws;67`kCFdL}S@PGfpUIA7*(4SRLrTKrKK&K+KvKeK0D=8_)% zTDu7Dzf678*dX}*?{_X;w12gWOJHv#&W8==ha2oCL|6dIpuymcgVA$u-_4I%3Esef z{k2cbl3fPg&rOUTL6sYf+)vk6z{~xC#h8zb6NAU;knLJpXsuS3o0R zl&AcchceQ25%IQ^SXWY{Cj}Z{Sak?P1<62G%$Mn3BuyE~Kw8X~3Jp-KI`m(J3k`7e zMZVnR#eBa=it3QYmz$KBFWLVPIWb>0G{DkV&X=2<7;WNYljn#0D`5EkKn5U@wtqkV zfQ`&tLNIxIu)s9)_a$v1u2$4KC*Dn%J^Ci@#~Qh5R(!3CpMD`hvWwuy{9SDcfl7Wa z@SSz!NSBjlmdiZY!cwbqFqk0jO_D^u`@%+F!gFL#!2BzP( zOTJtvZ+KAU=}lOq-L4h;x|j2JCeP9zH3ocS)&KIFu*kbzEA@Sx?M?NUeYsHKe@mW? zB7MQ2%KHz=p0&9*nK(JR`x-AMlV|@+7HiU|^3uxr8C3YMOZ)>kj_&LyPPUR~JE`(K z{xQ9F;oObOL$LHIgbsGI3-=aP8GHepKSV!_FczebkoX`je2oOeeprC~G%d&u903*!CNy&vJht zrAqp}G8GY+yw)F*(tLCt_u_nX9`zcW^nU*O{9ykCj#BLjNC|vcy#jd7MzKDjk`A~& zp&IwWKcTKBV_DW*7@wIKIG+=P`=h+l0>A|RGMhbgo{jq8-bDrreP6-t+B1wFw3B|0 z3;+xC4GsV!!d1q*;vDDu^xN&dzS}`~zUFwj4lg(Uq*XiXHGS`urhBbb5&6IwA$YkK zIO!z-?Cc!$?np0v_HxEDzTBmMkJ@a&(S&UFF0U-%5*UVT4snCd?!hzXt+@CSou@0<{5 z`cgln;UA^4g;H$%1{!y4ctPiUF-luGv()e(q_Q)q4PHrSAm*PXbO_{pnhsk|dYil2>!#R45hWezcx`xAR`At zfHgY^nW+czpxR!v+tT{ZYVs00S^<`MyA&_%t6~|C-xC%_7Q9L5pVVpbM}!c**C3>$3|wjnn>mth8cVF zVo?n~LGGTGKLw`Bfe^U{Tl!sTjiA`8;_1`hqJ!AKE5aEe{NxmaC6u>PF*li70fjOc z0->fh20=q(`WYFCDJUiu?Ik03;7=|sAQ1d;$D;Xfz=TV0r@W&p$mhW@^M@c2VZ|`p z79dpl0{sqC&69#DnY3j($7zpWHa#hl`m*rV&$!D9oe!GY=UlcY4c()EsAr9UP4?|g zJvx~e%Oo85?T=$A67+n|_mT#Zg_HDp?nm`aiH$T%KBgxLFdgu#iA#i>H;lj4Hhqis z(j|dR2^}WHcxr$nrUdm-(eLL?1b3PCE%HqjS#eEV%i1ZtvU`E@jWYWlc9F-o0SGOxvB~)rjLtM%0nz4AewFRmjxfm!b>!J3CU?$VrUH)=>$_p zwz7RqvMKkgT2t9#HXSdLqf=T;5{{|60VyC}jixh}qT9Y3$j8*LC ziK$Rj_=5TOA;J`>kCB*^KSI0dAPj}`(IWzL=D@ozBj%S4d@q>h1+b}3;picZ%-J-D ze*N%9ix$ERMNr?%NhxTY(9~?!*1XizG@~tpH5BHi{=Od^_ynQPE~SH;*2y}dhkZmJ zpNUPwBxrffwT}@h_Ee0x#NGD~d37(u@zBlZJiBsh!vLi?KmcaKIws$)KWW zdhn!D5o?yEGeWnD+gZfi%{0K~n3-}<(z>NqaZld6rG7i51)bA^_}vH#g7M9%iV#Lb zsLk*fP7L|UFky6yUGJM$QMS_Z+Y^ee?-2xjk25HSL3?0S?Pg!W7(7OXp^|BkSi%o< zF>+1R_C7K4j9;?Iw2`uPWrjgw+axto|C9OUd5HXP{|(|dwkgs`W~=j@y_Rht z#Q!O2_@DCryKwqRmN1zJ5IUH8Y`UlxY&w`OY_yJe)@@8EVGLy2MTUO6h@~44%Gix% z`=RDZN1aaC)-Ry>fQ=%qHyf*?MApgqM-{`V2Tp#mjpZ-q)Ec)sVWEi{0jC!xmLP6` z9Y&vlVbt+eoLeZ1RGs1q7>t`-9VK&rfu!vzGi~Hh82#WsD#ZT}qecU>)G-VPPuXkf zgKb@!tV{6NxQDM4i5qo{rLzuVV;nU8=nEqpMNdL(LBK*6+y9ngq~ySRW}zvG$b*XK zF2GD%B4XR;Y^#KFLGZ9z_D}UFqBn_7~9V4bYw@5V1GU`f zEJg-07XX%)<1j2dFf2O#G#himNy)v)I~Os@Jr&I~?;-F<`S2gUs%RXQXrx5iqTX%v z1I{Hf#^I~2R<|ugNSdH{xUkS8qwvaLVbq3Zw8Hh;zZx~h3Pb_>p9dSK&$3oRFc+P*gSi}Hlu-|eXinl|A8*=f)54Uzn??-|AI~T z#m@{;V4l<$xOykN8K|+x7%wVCh~TAg{uk8JmlYyw$Op`ms?rUCtk*DBnqdlVq}Vh= zRnA$%eFeVB8vI`=P%VGy7*}xPPlcVp&z52}oJcfnJ1meUy6W|45KA5H<~ODMCG{Ww zILKlCY_Un`TH3C0Vcw*-WVSW^Ltic4^>_BG3)Q}|e07P+52XKAzJd>^2pIAi_$T_n zwkd|n-xjAM zzHeny&ixxov42bbZ$3BOKK?t41+s(7<^KbV$&fCCs0JT6J89C1E4C)81j^Dd_u7Pw z&REpThMijto+yZ z@ftGo9oikA&96X)Bc2`iv{|9}2Y3)5W0ckeMhTz`=zg?y^6hM?WB6dN{@Oau*)vlS z2{XtE4Zwid{`S84OTkkj&$Si+e5iawIAghCd*0vsD{bz$DpxSjRxuXx1hMTd)-M5S zz>aoOvq1pwCBPJ1&)hBMiay^`iKqj*gZaGPr}5?F*{{Qex~aUUKBG2h8cZ=v)EOKR zDij|V@`H?L`3N$93S7f3%Wi_rgCq=i2ajt+U;5XPQfLqI>a{4EvowF7$6V8p=mXouCpu3jz~2i;ug|@8Q+4u2){J>mh@>;Q5*92|g;_e7sgRWK+J@v4 z%AD^q2#fBm{mf}F{cPIwU@Mku$TjoML~Y`eGb%Bueuda{KC*1ukA>E*31*!678)z9 z9#8W)8S0vFEaj6$4qP;QSZLX%Y9o447_*iGIVwn#?sDwCg+W-)F8x zfJ@oIiQ?#Ner%oyUa7ets$|9pXgqVj-~<>b6$)&TEaSh3MRW4_5NVTSp?K7xb(3Vf zxXjn(hO=&LJH4=u0Vw-YH^OKLh{<~OIXGBt%s0f}#l1F7r{Xc_d=*NBN8$oDsFLmT zZ`k0<{Nc(a>L64owp#W3sZG!93Tkxn{s`pB-*Rzl*x$O0N82h&wDFtUm|Lu0mi8xB zD~|M{XiO?oq1pb5q+au3@BE-*A-hD+Vo5ff&n0e0O4WH!J)Uuo80fLU zm<0OgYUR`}P8izoa#wsK6Hw7`))vSBgc5HjrbnJVcV{^fbUI|>@9%f_?5j;$IKiv#mvmicFfGo z%*@P8Yi4F}`!y5)%U4OQMEfrCRyg7haA`M%e{L`E)f4AW*)l8Q%C)gu z?l1Wat3MA0w-Eu&A`JR|Fjo-kPznv@BAZ3Q&D!>A(ra?LsvVbB*k^TGj|sJzB4u`f zkfn!7bu>u{=M~mSzr2ZN8Sw!{%GDi7uqfBpukMPCz!6GyVV1SvixIa-p)Xl++2lsh zSK8zi>s-dNU=R}X)0$@O%eko-!h@qrR8@@75cC-vIe&2Qt^sI8TvmK6@w1hx-j^ZM zxT8R?eZhcV`vD(u83--@Bn(;NM;fYM@`8c4-p7S}wMP*3DIO0wV`hO^m zUFD^m!iAA?IWOnRJyqQnT6uKxgg^R<*vX}P=_m_T+X>R9aTj{gpa-Ft&xT5ErzBIB zvK=!vLW?}LAyEx&f8YyYMI z5$Vj0;pzt!>X~pA&>MWbR@ro!8Mdr1dmTKinv-a5ety0?;(VE1G;tV`hqexb#!97~5jm1Ybdit{T~rxCR-C zMSvfsDq&iI?a0D!4Y|I@tcOdRaqx6np`?d>)TVJ(A(w$8_q6}{V~)be-T|~#@MG~k zE6t7bwtEUpuqf)jhI9uPucisTR&Fi2Ig3BObcJdX3`1nT*fY% z_&N+AC>3gO2|~Wom3f`~R;IlEBl-6%KmU=e9W53_xq`z(zB<#x&{EbRuP;o=yPqs7o7&)=rV z^9}a?GklaaxVoKNhCuW2OT>dO=F&`h@o-+btwuO8r0K(lkaBebN#8VKTNMOm`sT}T z@aBqZW=YMdU6&*9b*RbB{LeG$DEuEuTz@Tc{PWt_=wUIEX+e$}1vzX&i;ny+QBR3w zN;Lt`P$Q%Bw6scsT=xcmL%pNuvKD^8{$s+f-3w0;Q5?fT59!V5mrLxr+j4H}`_*-# zR30bcBnc+@9e1perFBST(7&1YCJ-u zc=sXh_q3&{uIVt^4B~6J6FS{DaXZ`Q4Q%8Zi?A;Shj|{j9!hW{a=jQ@ff%N1?igCX zh)gYs$m=KEj`x;EtEyB7b1uA8N(uLY0XJ$#*&vw*8$nTn3C>u-b;P@@GLP)g^kD?MdOklqRBnkRe5DF>!YjU+w-Vne zn2YEX$U|`S=B7a8cYc`9M5i%82prL*QS824MYszQCibcnPDLf}X>%gzruQ4s=U87F zpYnZNEe_Sc$}*+E`i7o!sFjPXoLtPh&rNrHCLJ)6Dv!tGoe@~ijh3Kpkuw%Jw{O~a z=H>g?_apvC!0lG`bf(!c+vyCUXB;2LP3pNC%vu*#<1>x<+VYKjzU<8#2P!Ic{zh`V zU3-D2dskgFHgeqrY(i8`x8K2pXwy;shu=hoP>UPsb|&Qu23)?20>XKe-+h@=%je9C z(Q0GWBuT#CS5c$A6BR;iQ&I%BDM8Rn=fMR}C|hiw4jcclt5qU>zzVSof}ob72$6Rj zNyD{V)}FnD{|>f&*tPm%s1XS^%l!0ys!Lpn=Dud?S7l4+w9*F4X*v~un`Owg2tdrE zUcp7&A&(?jmN$YS>s6{X`5c>pOcRL&~BMf|;V zhkrKrAVP}c9LkRC%Rz&KI{fEFn(bU{HJ_hsx)>7JpSn zETy<=w~U@B@KgFXTpL2sq|MNe{c{ql8aO*MxeqkhFl3B)FZM|AiYb_c=N+SpEtq&0 zSO7@$oMjoNJi+qc-|hNq2eYW<*ZTntcNI!rYU9Mg^DepbHspiIN?Ud79Pq!#IU|qY zcY2WDd*I`H;71ica&!Fji!z@3HiI9Oe{^=)Bn>p99yTb_!7L~&ZSFgh!8;|_8OWu8 z_AJa2KO-G9^JEbV8uMNWd(?lLX8^FQzk@A!#;lqVaCZnt*?*TJY^WK!0#DE@MUhze_M`|HPXYFYAP4Qb&5+XyUrDxds&Vp)gZA$ z%-Gv$!!lMRWFH|r!}tw!#tIj?x$ZR7lbu?q0N|)S*qLFqW~#d_4BD^R(RCLN5ukXk zYtZ70(PscF#6KH>MT6}WW-qW}k%}df+1Iv=%6U#a7SN`0xvE>HqWm)mdd3b2pS4z9 zfL85GH=^}w;C^peC2!=_3;drVQ=fmsqy{FftDVd~wE~7O%%u^eLbA#oX)yVKyb+;q zq-0ap-d7e^qNV7NHqA)CVd_>&vW637;E3kd!x1L9?d8%@*W4ag)_z@yI;`U+iXTYA#MS zE=&Z2GNe<($p#AtL8HXYzWQX|@w@J7-}4nh%Dd1c=(kD}Hhi_v4?HJJI!l(0p>#qS zw|->1I<3#fOus6=3*^YqqK4ntg9+yM{Fbv(xF|3AUlTGUpp)VCIfFw^zcAr9oax!!Ur@i;gY zS|PSow{i4HezGV%aZ|K7u}qa}miBF^UIT$qCL>g=b{{?km6LUE-6@G-US>e0al(n) zl>9hYC6WNEwvpNMN1VJo$XFm?64^8xofw{_%L%I~_WayvglhMesGb)=MC!*o8wmWg z(Fj*YcRk>z{32E{qBCVDxN+B>pQ& z;j5&0!27k&{VjA9Cd+>&V@>KPP`1K@)=~|c{D8nrh$VgGz{dt|vJ(r#K@hAsZD9r# zLn6#%eN)>aOJHYAAGYQt8}9p^%}O8}j~M=CdQ?i3h=D113h!9Qw#Pu_lW>v=dbeZ0 z2|N5Q_iu9cudX}Yq-04uRzdwaMGhvAU5S80SksJQEn=)F?iQi(2vvs8$!ylz8E$+G zhm5~1pW24#pOT)N;Y#-fy{!kpF15$s&-sN8IViggNa`^Dg>#gYOVbU~clh<)yxo*+h-+s(&R10P4NB<}KsVAT}S&EJd>b&mUU*Z_!dfQlTM?FKHk6 z{D6n412QXPG2XIhBXYG|@}IS5Q=@kcf$8qKATqJFUT{YNV9Ae87_hNe=-+9_p1)(e@c?ULrrVE7<4e>>vQe&>u=4lm0I?gd>s9Tx3V_qrxb(>=$ zXp*O@);5_SFnGRY0OHhFYx#YaUPH{{T$69i+bQFe*iC2N>y#g4XXkpJ+9M)A5EhQD}ho;HM|d|hWF zef#z<%wIhA3wTLEucNNZ80CQqGe zz#a=s`%DRt;@PA%26Ojhc8j2DcI zw9~taIy@YSpwx$FnN_VpLbu?5ql~83>;qHC)atY5etf{2y2o!UT*Tl&ZQr&kV@2ua z>)ErL)xNN2tI#cbpT%Q*jF?6Yt{FtXgjrt)T+$c}n0Sw@A^Fnu8@SlrFMWZ7xab}%)ca>~wX|cZUct(i-4evkb}w=J-mtg+vRBYF41iID0)UjE z7&Iq~S|++LYZ0^!xsGbVA^>Ch;aA=j9Q6m8^UXop{G;!N7syaGVEpjkNY*Y1 zG8oGOaL9ncu7Ug<{*TWjCuF4K4FRHeY^Z+8u8aN=;rkSL-${mxU*KT}WLVFI!o=<{ zko}TP7X86L4&N8x0q@4>S@*J7*Flj~ku;b)0)}~C?GQ(GF54FiPg5j*3a`LL3r3ri z+9TVOm6|FdjUfPcdutTMbq!qmN1 z+^W==XUv^$=aGW7vRdp#ubifq9((~CuCgtF@eZ@8LHJ9_UVO&zj9`srow}X(V}nB6 ztbYGw|JV*jfgA30(UI4=RX6cDzSEp|lsr$DW=n6+s}PgX&vG2+Xfx){4hrNpq|Il8 z;Y=-MC%QOgpV$K}IGZo{C~6UqdqU=WFg9Bc^-HZndS%mDOB2W>hZ_cv^|QVanS9C3 z>}MESIKbvQI0INvH)cd_PXJFKp)pE40?VLRJOgpAONILuHUbBGN9}S9`*UV^6L!}a zn8QlP*Ws%qKH+F{97fdPyNt91!uZLIB9(qgNJ;A4mx>ByBFD?d2n${MgqKYlCZ<0> z8Apz-v&&&quwW-26cfh|A`{+l_3{k#_eR5&NR6|nNm_l- zmv#)hZmC_?6dIpE*!^7=iorc?FyMnZE|txp84Cx|6H>7hE*J}TOvKsID;q=IplyU& z40+T8|4+2hO2h%QN~eB9(0WW`cV#jn;b`{(*&~X-pcsEsdV$h3D&6dRUB^-*2*FmErXW1OV)l5ki=({3c|0_x$J?R9yRn~ z#5-UK7=eIi;Xlz{s%sT{5y=th4#8S;Mg5 zAwdHdlJcX76wJf@JM#BYz9)8Z*F9I%?x5}DLnD^(!B9=6Zm#Y`Q2%6vmg6c#N*j-f zPy+sX67{GGM&*1|nf-e+0m7A z?Kh$$aCvOVS95q#PgcmIejHJz17P2p{RC4T=W*709&^0)e>P`t=4++FY~-h{P!B^th!UnwCM_>AtmZ2?rp~ zT%R}-<1oh5rl3Og;*27P8eiliVT2AJ7H7r#-^DHws}3Gqc%D$Grq{3LU$q$nv6MB20*p@39~8%2E=+#YUWvrMeJNVkU(% zLi3`sBTa}|9q~@qpXGhCIBPDk*7VzR?)W{lscS zy;JPwzvel*bOBHCqY+s9h3Yli9nCTGWLher*$soD-ry^|J+AABYC8N~D6g?W!9ah%?P;m3!G62Df%py|bIA=6 zV9_f{-sT4cS?R8Gt^BCo+@+ctR0k$F5NgvgdA$}S7_-GU?ZAv_zC4Amb4qHyw2FE{ zq#o(s_k+PQHEG8&fbZt&J7!KHgmAZ5O|ElCZ#Mn0OHM^F0i;+w3DR}_MU zb@n;v)8!%hvYPCFfN#vdz&F1QIzzaTrs4k2+uz5+qzWUm;*cDRNrB8>iQy_P+D806ez;*Lx z{eq1=BTKhyYgv=wJJM9%w85xOYM_OZZwo@2LF8p*U@4QR?W{rZA5*L=d{v2PUER=( zMe^>@C3t~A-kg@w?%(J5wgp$Ujy7i<2Rciaf%wk!r6{biHKizu1SB&A(r%P_!#Gn7 zvd-T}){edi*K_O!z2z;S9CW};I#;X!Zns@#9w!C~**+V!=NPfAK|Iv0l>KaelP%)J zVw1$wMS2UpSN-si2Q2$(_mft?wpTkS+&`me=Cmo83nFk=9)diUF;YZ{76K#OXwv{n zDDYebf<6}F94QoqZ0O7U!HYe_6M}zDy-?tZ0t7j1psga94D3XAy!O zK#m7$KjB~oYxE_F%#IZ$F0NJ;9x&gcY1i8;cIVAB*)}SP=#%D{IE*khQ}1eZlRU8z zQSv*=eT7_bUh_{g2>L{y|0);a2wNki3CqmFK=u|CMQZih^Uh@bx`sSdc*Mi;bA2&} zk#q}+KCB|0Ph13dczK#=7}M;D>d81C_2em1xrVInA6uCxnSt@Oe^0YemEOaI+K);6D zlzxE&T@Uc=(%Q4N0+`mtzoquKEFWa<>6T>j?^L`l*-%F@x(s!4XVQg{(X?NQm)WFr zK}xOB)dVY5{5O)|mg#u_#1`{jtgJuL5#T6V}>SU!565sKD?eqWWL%UANcq|J2B zFkJjBg-^9OCHPA5q=?z$0dx-LVFUVQh-4edtDJ7NylY}ujLBqaV~J(ZW!h&f;{)vi zQ+Dvfw|7WrqVg{uZ8SF;sJ$cCVdqM!!Od=yEJ}GeX(-z zehaFb+x1uMb{N>G0TOs;WF>m`BXrdXH=2P#R`Msi3<31-kyX-&ZsoAWOlAx)Q=(X6 zld82}iV-vQ69!gel`bV|`kDa5yEDJ9E1aHN%?32ejA~Vz?C5cC2OJDoVAkb7c)B&E z8H??0t1r>@!#aIPt!hnbbu4hur`cJbs`O~3E6_l-t?<)bQkw2p|3%#u=eN~q8M+X5t|Yn*JD~r7RJ=a#2SnNy(&pHjFR@P(qJesQ60#xu&8?A;QS7%8 z$spf9ikP@VM|`lP&Q54AcO=g&KI*4OYjwYdO9ey^_JlK5wYx|+JpeYB4Y4=~A2>3r z@dS7@W-1eVvlI!r_S#jg_uJW))7xv{Mn^)b+VBGU`!1h(`h2*nGP*@z1D6_T}v%&pEjSrS@Z9Frklyn0yA1*`@?M#2^f?pXQf?R8q zTt!RuI3#AS3x2V_W&i?gDA1*IO%hV#Lq1fkxU>mf-%4eHo%^j4Flgz3uAE_l5sihF9B?l=8kBucMW`!7|N`!o#Z2aK~bnDTvz4%kJ#EkX9 zsZeZ1C-=m+YvJhM>Cl$(-l=f((W!WJ0d%*P?9&wZbzy3vj`Uu>$v-REzR2k9yTWOi zGMeyQVTGbMiK09ez=)T#iP2!&UZ+^71}2v$1SPkUMVm@H2!M503$&A_(&nJ=G0Xg( zdxjq&>P*ZJyrl|-8fH6OQXy$d*k*+#tW@7L$s?&!1)GC5v0 z+Iy4CGURa!H_Rlq*6_+#-C6>m+&2;vT3=@$+y$u3R!ZD9jwcQNrDbynz_Z;v4U*yZ z)l*U->!!yY+F0{*P6@at+XZ?!gBW@)(M^nnUUEX6-kVHMfT?A5qP)E{*D2Lhk!m1;8_B4w}z4=bb}eyEeCTMqm4elQ8IFxll!59U=KS z>T|K7vDuaK_*Z`dnf@x*{}LldW#Ct@Cqj{27h7d(KViO$C8N1Fq9%ENuCwUj&P|(g zUo)!xIwI047h7$*b&j@Q+fBsxjm!1c8BRiF?N>Qlg(N+j9|a z&}Mmmf}N!kt2|V_qfBC(rqj^pZDJc`yHKyQtNAYVul|Ng{dMel_?dgN)z?b??lB#_zv`M>(Qm#NJ#yAeJ^}LT*V^UI&Aphxo1)9vn_j-KNX*XN%uqQ*P zpw+wO#_5G_*xw`7Cy}YPh}EVOGrjJxkiJ`5Chve!mCv;^obZ%?o9*KUpql~ZPO7f$*t*otyQ0>3}v z6PezD(nZmwgb|=sfnde*gfq(8Hd;uJ?jol#XJJ-u%edmvloH^DQg#>WH3+x;Mgn#h zakE_*EnRJl#sL_3J^xONQ}*m>XYdS?wp>r-b{4wJ^x624sElg3KU3t>6!f)k-!cR`G+88H*zrX4@Avy}js-#7tYry4V$`(+T}#4N6|aw-|4)%qFj zrvp&1wyosjl0k-(@bu5-?fLP|+3^&=wXeKG5*J#l@;rO3^5>g<`i;V1n z=4R@I21*g6{aw9ue85f~12U*2U{rdULi?{TNTQ5fQ?+ANdrCtL4l@HlmuaW3-osg6 zi@8c~z3FkAu0g)Vc_AAQTu#MlH`qncV^%BQ4bXeGlY-0O#(9@!Nuy|I|Hk#DPv%{&cAW0_ z_?Ip`8UXe&@t2z2{Vxr#NQcuf`3FN)ra^xSquze`6P&)>*=GMO0QPzTd&Tj5E5YU@ zglZ9LpNKxEx|C^8*@*`rxYSmZz*r1?N@`DVVIY;r^OHd3Bo*IHftm|N=K+caC60VX ztu~CH;6rx;aV%G4A&Lz36$_ldYKV(e zWL{UvjkGqq_{(<(roogd^t@#4{vh{O^fD^ztx@eIF{~w@X2Y#w*cy{7MV^cDR8>kL zo4Pb2Drh8a5M$N*#R;ZuPm+dtya6%R?Qj!LxZ!h@u;J9N=u}G?p5DP;-N8Oj-9rOd zMuBFK9&<)w>gjP~%utF3lhv3>39NHA`Yl~zB5R%eLXJ7fo(4w4_M>z0kca~ifkStT$XM2de!%Wyz!3A$LF$7o4veeeha#^}iC z`ffLfkguz1?O*Gg-+$y!Z|>&IPm>>| z>xuY*88MS-U7MOZ^eI7}s-LdtH4zm(*tY!@v^Q*ad-t_a*^J~CwQna+=zcCM_0ahS zXc62G{!2Y|uoLX#+96V=G_f*=&-X4=<)%-WAm!90TN4LDQD*j=yedf>ReU_hovsAK%P zge=9oZ?Ggmbri+@<&#Wz36&802TDE*NO%A>*^zXQi+Z$+ys-4|MM@@QEa^8wx2Qbd z0*oRT4doHlK6n{7GNOO%gxGz-7kgO{n8VRuY(*?q?5wplg)BQ3;p06|XieJWurGCXoCLE&TYq^UZt0SMx-BrUUKs z3k%8Za{w?UIFht(3vXr%@8L^*(kHapOT35Brle1#um4iS&~i>oCpR*}Xdk8{lGs^% zd~3ufHs`g0F*q{<TCW_0x*)vh1I*3l^T)ek=^`7dNwvLjwjbUcU;5UcOQcbQLXqinK)?9s2YC%RsMyMW0vF|fC(Y#_&A4r-JW3iIhM%xt!`D{b(8fS`c*q%6$DQwnpE20IJu zqy*pg+Ef@p_S1Jxo9(r?wY3vXyzj0})Ua&}STA=e>rhyle8PegP zvc#MizchTMF1~I2z^|X+2E~khv&Grs=`VfdqjW=sf2FOsbptzoNYCBsaYHDc*H7_F z-_jR1;NogtcGw@Q5y~+!=jyqHUp6zwlxnZp=?CoN_%D?UI>%M0&_!Xbzjc0Qku>k) z-t3wq+%7iRX}2~fH0T`GBy^~A-M8}G$MM+LI_YOR{}&ldf@SIdBs1eDad_CKT7>AW z?%Ou$z#1*hYG-i?EP}knR)mJ!#lc#mdZmvJ_7ZZcD3z7m<(Qh)H7Enl#fV%TTYrga zmzZElwbHZsqkyG8oPLY?xMqqQGbklWtE7^ud`Gj|7U9C_qn)03uD*DAw`Mh6=xQe- z7gfr#R!OZQ5JH=&ve;z9(Pag;O9~vIT+Vj10RDwsFy)eG(3j)auWVFXDr|eKoZ> z;-%?0j?L3Cgj=w6N20@ayx+PG^gkquAC{e`sCsPD@_S$t3nND2S^Aca!Y-ABpMMiu zbw+=pcqv7Qe}5|dJ)pqya3}RPP+wF(WOG2aEfVvNQW`YM?mr$)v!F9Z;SaS)QmS0& ziX3n<#nD*z1jGlJ=r5*Q8;Bqb|sJl`f89?vtw8Js00<^F}6AzF!-H`n6h~;hZa9WSyPI92b2_ zFYHD~-fUR)1FthB?Y62QGb$)HLc*8)NTpDgH-iS#DVQOzR^|%7P(rbAjN?!x&sx3h z*wLk$uEd9q$WD%SA8Z(b8K?c#tk$i^X&YS}pjdr#MGeqn-bkEnB=j}nX&r0~&_cb* zTeQr5HKX-!CSoLEgv;ywh?XUxxEBXEQ&Q=R@q?Y;9 z>ZvYB?Z=7WY2AYzjX)=lz*f4?wuy0AI-wz4T|!xzF;eU6rn-)Y#(hgw^ZEI)xw2^q z?bt-&NGU~pvQT6sIX0=kUG3!1-W@+L^z37K-|tflTzR{l={HN5B#UOB#eA76a31~$N#i{T z!vmkMWnTKQGOY;q8U2@$Ai-TVb$b@CF$EW2AMOQ3y+<^yYxD%}8A~k3A?m156dZCg zo{^bPWw~7m{@p<|EYb8wLgtm%};9#iO$-N>fL6?7;ahQpl7Ymva3)(#1 z+0_iSHDH2Nl9R7baM0Ar&CBC%z&h+iQ6lAw$?-Xw0E)cdnClu}tPXk-W&+MI zov2blW(Yz`G5GQE)dXm9^w&3yw+sK!9;z#%mpp%7Q0cXV(-dDz4JrBhm1{huKfu@B zzj!q$Ld!iD-rMT91?WW0U6+NKM>QdB?gZ4!$p=_ZI73PrI3X9nLJL~jtH$RTG!Q%~ zF~qjn?EtoW`!zv@bq=apj&N0-Uv)c(t?crA>N>*>cZM5p&R4T|>pC;_`ua6*N9X{& zb-QBH6F@zh?46k?ol@x(A%)SBBcBp5xb+*iSZD=R@^N=eQC?@z1+1E^C%;vWJzN zaE{J|u6Y@;NZ>5UiQ4yz%h^|0$+Ly!m@}!wpW`gcv9hhybt52Kq=i&C#8!pK{z7N= z$QG*pb=(}XDn@YvTB)T~t-c=nuOGNvON*LAJ+?l>SeE*i9vgM(rWuw5jQ-e@MIg?& zWM}61`Yi+05Msa);>v!GR~51|9hM zE)1G%(mniPw^$HF{R|x@Xq!hALZ-YO14lNQ-ueCk{-Hb?0Rq~0`2-OnOz{c1z`QCA! zq~xJTY{r%f?=>_OwgVG6g9}{Iq{8CWBnJEg<;+@xUW!cI2!F^E#LC;fjaK%2@=f@N zN05UncIBfvVI^w;slHU?#m~)odWDzI(a3K95c^O*F8E+AQUokg-ayOX!z2&i{S-dy zTn|GwiG>eD{l8EWax3J;XDo=0utf^;#1bF9qjS|1#t7r@D5870g@tw>(1BB#tKb2s zs}W0~(Z@jv6HM*6Of@`t`2d9ujIFm@c-Ef8!xwF=81eX1%s-BsroBQ{G+mtYDdmUZ zfznc#hkB=aJJ}AMUP^<+Qrg~sg~jMpN+1(LK%kLMDU67~qL^I&U^BHIYuod`B`<_! zw}vuuus4qAP^#ud%KwE9W2CeyB>qj2Z5r8~^!X(t{rCJneu6%9{?6qu`?7ZfsY*p~ z?Nb$YB+6BYD`tlXdZv2yf*=Nkvk9dL@GuJw(JNGeT#K8f+{y2n(f;t=yuU8r!6u3y zKk6a*{iq=^1gHJk9NC@JV#+A1vxsDM$ZN;-1TN)eZkDRr}Fz3~sMQo2} za&3)Sb|arftjkMAAJ5aDxp5HaZ1bH3U@}~e>5yWWH)fcEgPn9{fE-9h^B2ox_uw_6 zus$Ji5ME^6);`?IrmHu{f3qbR1*Gl^LVnDh=UeA}g$>D*L!mS?pT2js z&H79@9+OB1NG{8{x^zWZR_uYLnN;ctYS+gP@a53n<`(lTnBEnB_8MB`My*}S@Os=7 z_|@uno!{9=>fa}{Bco9@=}2LNwt?YeO|-hyB}tR4P)sZlIbBD+TosJ5Y;owvnnX=0yI*y(j?fHhI}35EwYsU> z%(xh|;YPF-ZINp9`m|iNNEHfFB^i-)8SMI+Gwl`ivW^z=mD6!r7wx7j7pnoP%b~V0 zvO7n*b3F!-p)gV@m|^L@uy5%L<;t*BsFSU3P>l zr8*g!I~VKmQ@R(EYjLlc@BWH9!dwqz7qQ&CdGKA zWGUT_0t4{y*!}R37*rwlNSb4aIIWO)%q{h8S?Wi$H7ew6($B@^oMR|BrBZp^uJ&E} zE)!ITiGKQ|{bSw*yJ;BD_PVeC`IqsJ`R2fd&A~i}1MAK^(H-{YJG}*ii}46y{z-r* zM71)49&X6@DT#m@_yVs9T=B(WsjD;uT6jYnpI*~S@YL74XmY@6PaGZ};C_A)b$U=i zn47hTW5mMTZ)D&z(EZIXm4v~+d@nxO+v##^fVL<;W?Uejq(cP!6$QwEUdIY>6}hSc z9%nTY-i7D&aFAk!!2>%8%78k~Zk4m6U`9Y4MD^8c*I}=vqsuGr2mAv2K>KRYwmboo z49+gK{tnBe*E+g|zyW+~K@IfQyla>POB8(TfExMaGkY9(CVeW5@KY8)_AB@=eSqeZ z>&4&c6$P*idAFXw9E0nIlaETfN%-%dyJdfs1s6e%c0pyUd=8*8@kPzU$oX}DbFD4wylC)+J0WyeiWgcP0HPw2F z+EPOL4xV|9blM>AtOXj1ZeD}5eBX6puB|8BMHti{;2HEW!NF-K81``v+m7P|u$hHb zKNAr@TO=Wi?z(ENu3K~J3+g8O)60<YF@yY=3`GFtCCw3>W^C z3qNd64RXaD+5c6>VxLIVVqXiKQbfzyA*6ycw`enRgV-x7i)O1YXgKWN zrxL)~^aVW`tA#xr>VwSCCKSOU5Y8*NP|-(Tu&FTdG+n(RF09ko9k?6^*GJfp*@dI8$LzFq_RWp2=r!kn=Ag zMvN$DeWcO_iR#I4_-`Rb?mAawH%$$CJ`&FJNWKmDcMyxhnz!ky4dH?Niw60Z!PUw5 zM;l|i0T!+YKA~*@!CMc>jeKH&ee!dK^zVL|x~V(%rY5J8OutNS#XSAwihVbo4N%FT zn-15C@hRw7A+v^%c>U>5H5Ql))F6QaU9fwmE*$4$#oK4v3wTjvzZ`yo|Kj{iG}-3BIlYb9{$Di(SP?qbk`Z zh6B$MqUJos2PNNv9D&V>rIG#8)lP|$RV%5IRUDa;)p6O9RWG@cRceKj)pf-Z2fBx_ z1>iSqc_L3@vL^aveB!8$RNDjcr@^UEKktKJH|~cIYhfMVXZ6+(SA*#b2*C#fHta@|?-6IcAAG z3#Wx0zLhGwxmKPV39}q3ds9cUuf5T-gEeRVTDIeSKA{1}Zm9tOucqf=EzQ&$KbW0R zV{URxtHDr|tG4qohb=l}zi~2wj&Hy)6<^)WuaBIg68POq%4*eNm}3N3xt;zKl-Qz~ zuQL${Uw`5Uz3!?HdDSf#>bYC-g}+hDgqVk^IVs-T*Sww$>uS2z+j6b1l|3sp*7L7Y zs=HxosEa={8CyZOVXByCtLxSZwq|F18*T??y;anpVP(B#3fys(?KbN{ASHXKKapW&Tk*kzEn+twzpw5)Q$hwJ54~I3T4N7WYC^$W9ylA(Rz+ z*{s=U*p(M6CaW2F_*{zC(uy~+pWr4;X5CP&_$)rxS;GXtMvbE@hM*sqmAc*E`! zcB_)+?vf31e*1eP?%J>@8pBt`A{|Tn3zQkSsgXIg*Y#)09Y*a=zJ+ybE6nF)x#2*bnM{ z1vJ6#a1dG!c7;iSf%>Eb?ahF;bCq+04Av044aNl8#k;ksdqhG{HR1+-N+VNxy1kzn zOSNslkL$hcg6%!i1VkR6+|Q(^sEXp3_$3T*HjKg_E9MH+sEm!`AUqf0Ti%A{;7-8N z^3c|uf+oEm-3kPX6lUPJ#xK*9Bj*Z8E7ztC5_;Tc0X*(!*|s&B2U>?n90B?z!l;E! zOF)lfc#)XJIjL+Wv+Q`*c_|$*%}MDjNNvta*>J&1qU%MQwbNXwCU_;3;@$Adu<0dA zvgtWOve_6F#IotH1a($&>dt@7Zn72dQc3XoS%PueK+vV&IuxvWG?UlHHTDvWZhsDE3jV!GNQJdyAv2Fg5dfRZURc?vD`1 zf07rUU1}kI$073?H~tf_G{bzxzgc~0d#%)=Wf^g+banJfye2v(QZ1PT$&vxd5nU&P zDRDmw_Qn9Lpy+k!gZatuSCEG!iU_1EVGGA_pJK<*#4)d4P=e6YbbgXiw!DhT{vW>H0lJbU3LA|xv27<4+qP{? zFtKgh6Whtewv&nNoY=OV%zy5^zusHxy|rpr?Y*l{b@w@^yQ`|d{gv@;@Go-se~fX9 z>;r{o@_+^9EbbFE><^*@W)^y~MlLB3;vvGYk+PO7F#*$U%Y2ik#vfDii zW1`)D>kEW7!A@-+8PjV~SCba~m5l4Uq#~p}kvc|~@1WYv6N+m8x}+S?!Ti4+6n5a8 zrGCEiG^|GuNJIq+Tj66uknQpAn@phZC%Lr?!uU^f-M;!r|KtS7|Kyv%hSmS!cZ44vlH-#1+u zHnGgrW^2gyV77`Ai&UB8~g3+p|c@q{N~ zS1f5#%D=F3IP)Mk_34Heog(+na&7K4i4|QhH358pnFOX=N{+nZ^o;P31>|kO-8<(X z71B29P#>QBw6bQgA|he6x&}%wDXUdH8Eahg4jBsJxfYi|*KU1WXlFJzkL^W1#d5u< zPvNl1=GOD$_=>F@y_2;m9X8dn789k0r!Jqr{DgF$y5wuU!U-ZrZy*i;BO!KE}T;jY7fD z-wF?))n-A}PXoUD3r+D62jc%axhVHHU}=%{3}teuY4 z>Pt4}35>-gwWvFGk}mV|y8yVTXvBv^NYco+TQ~2Tol9U~&-!J1Q0uyL3Gl`5!8OT8 zIR6rn9PaSCZBLNyE4KMX?EU+&rGKTeMCq&u{9f2E?;;Mt!gYu`Ij3$CyKh_VW}wmR zcG}qW-Y!bo!k3=*z7jE5ERD)>qb2-k@I_7LK}N|>a!fG|zhWWGTTYuA{W;=%@I0Z~ zyvlt-Pg&=etKlNG-I2)6au(ClJ--_m9-(*yW~8y3k#o9tBxDz~V>_2BjL~OCGjERo zwZlkTbd#%O3hqD7GP05q$AwJ*1|@W!E*>n?9tL0UT?h$F0<8JyHxoQ|j}TLfZW#sh zt?u-RDnSvM`-ZDydS!nx{iF3QA|u^(S`nskpLo}|RPYYXV7tJ4S+1saZ}AVqv2D00`f9VcO}T0`^W2zP)nQm0I8iLHO&Sz~;EpX=JDW6S7|f@g}{` z<+CQfE(e+@0+SOfZ($+gi>AX-PyX(xRkd&7^;TS}ZRs^I z#2HNiiLm}%Wua{%PCrZHK{l_E01qhn#4+dZBUovSc0{!bn$eKgou4hhiO5iJT7UZ82{aW zU=!J3#Kf)3!q*l%JW_9)l*4^9rnY{0+&*w{K}eYG5}XtV=%6$QB=z)KI=czZEtgNN zezNiWo4h{Ry!iWRL6Yw0R}%{`vZhuOwRwPvzt^R>1(+U+mpu(+jy-7}5P+TD81RAd z^j;t9Bs-ar?R?V?QJ$~iomV_QLfiRUPAJ8WV5-gjl&c*XfU^bf48|27Rmc5?{h58d z%Ni;_glN6zr?FGZ{K!(YJWtBuP^xw@zniD0xl^28HzdA4);dX!Tiv@w!q#}nzURa# zL#u>ap38;dTCr-;G+yAv!dh|Bm8JbvCgPsh7v6pu2{Nrfwr&2)bQM&THzqq<6?@bC ztcqxdL2wNKL}u|3SLo6;vZw}7X5G6;!QdJxtG}lL@GD<$TYS_x^P+d{*61*x)vryd z0mx_?W3)EXo?M*0Jzaeb4J={g;i*r4y)Au)cQw0Bg)fr1yF0o$PiTrWp|oCu%xMn> ziojVE@Y)raw#*W={+j@&UalPmE_c!*9R@iynx*GU z|2n#BG;7b7&b=Nx4E|Nc6)h>yf1r5kTY_a#Mb4F}X+hT!8dCSRJ+2$e22_JUoyirc z8s+=331C2-Nd{{GRa&K9jIwRqMf=T?`rP_)_*w3*%uFa_{2ZKEWz%UkbPT9s;b3JW zAzZc)bf~)`;bNv=MCCnyg#3y>V8LJK=t_Y0lnrZ zs_IIlE@>o0Af*HgepVMb@?7yf1qOFd<72w7*|0^YP?!F>`YNaTYM?sx1T&pw{D+ZH zA{#1t720csQ6yJL4bPpsvrVML7~nJ+SC^r%XjZ46-3J)cV#4x&Tc1*p|f!n zB`1S#sw^~br0m|E1W`&~XKa!tX|$#|=f`oLAJU}NoHr#yPLiYKz0Vf~QLL}Z(NaxJ zwY~z&k}0A6N2Mh8Sf?5GS2YAvhD=K3U5qD3!mrf8t+c=e8rz>Va_-nSfj|zPnJm=# zB_VJ<``p`u@5J5RlXkyDToSxQvwTHhPP-oL=y?56!B(FwjdyP_h<1w52*1SwZgtoM z?6RARBjvXUg0Psb5ybZOIrK@#y|k2@QM!g5x&%uN)yx7;Phkg*^iPD~LK3Q8gegOm zrzE|$pm#wB$bi(B66`pq_2?l9@RELf)j!#Xp%;)P|B&JjFr~fRAn!>Xk@1&=Fj>tD zL4y#-9I)JAKtU8iL1I51_Z_dG#JsWg$ub4KIN=L9>am@*hk}Ujrzr5ARNQhye{&~B z!XyCte|BrxfruO9tuE4dnxJwST~5tk8_3`d5gm81d?$G~qO&r}NIFNIVK z2qip72$;tkE*_H+NQ5z29gbqnA;-A57CU1jON0g4FMzS}7NB_vYyJj&)3f{)M-GB4 zon-DAKq@-q%on13eQS#xQ22%Y@=>Z0Q7k}=x*G1AZUlJ*H3yQZ0|5KLNh3Vr8)j5!Q^Vn2K z?^FJ!(tYOY33@A=i??^JRB}48#FR@;D%z3PNUs+>hXs=`WWdZgzG>vkI==KQ_t+KT z{;Z^8eD{<=ll*euS<$^6^)%w^lmW1yUnBkP$-$#z;xM7*iEs0eg}c_*jgx=#umSiq z1a5cX=hZP`>fG`(_sR+AjVdPFEbY{j6WBbwV>|h$xg3-im$b?tp~wz{H?e+Tdtj$B zz;>*NHywc=XDL2^bV-vn*=c(MR$;#XUG;9gkZ{u1ZK7R*7!vjK8Bb)9b?3HaT-DZo zP5HbYLI^-1Cq&DiF4wnSS{)$56&?J^B!vti1O)?T=aYN*#y3$Sm1o}G*K$77s8^A) z^DuC%&9GVsG{k_{^K?JZDhzBv$oB(oBrxNo{r0az0fO-3U**mB-!_KG1u0(mCdA2S zzxODn*3VtwMrEYOaDF0~|}>A`pbR7J(fw>4p)(&{aI8Czz_i|H;9KHrd>tBDMAgSbu#p#S(o?>IqK-J2w-k z5!+O3Iq)HM?S6s-iyXTxvTS!H!UH=;V2H~>5TEc{93L;UxJ5J!(4a$27N;*7|L12WCz!7qw z4=D*$64e+OA2Ph?-*5w#`dmmC*YD6{wbd?dY? z&}968T1J{_D6J?NLNz(jFiHhgPMWQlD=DULFe=%*o2-$0bl@CWVUlwDM_xhXDqXlF zYLnMHh^Zp6*jVWFY>al7-BNmUEVz_fj~d1I-bximgF|=qio4G5>aY4K6>Reo>aezC z3K3;Z8xkR=-|1UXQc~9=WF~CIN)98W=-AOqP+-MRV@1H)leehJnbug94;Q9)v1uw% z#s*BH%*Z0*#i%Sef?l*-g3O8n;w6B_o7gvq05btBBI~Ge`UDA)scw0sgq!oar%9cf z+(^W%u|%4tE!e$0aZA>ttQCc0%FCKl!^Ztqa}c~T^cq{UtL_}Of-nn9Xm>n_^8 zUI-20tjEEUEQsIlnow*k$+d_1L|a4Z(~M3`wQvnKvZzI&w?8>j_;WFTtT=dTH*|I9 zD6T6(dbUOEV9EZGL@)DKE{-Gmdl)<>hmNMPRvzsfnN5MZ5b`_sda9 zm(6L`Waa4m)cixMkq^qtkN+#04P0V-OR<*m*k@<^@J1l~*kTaFhnt7^>P7ijPo9akEhpmS4h*Zdd-=7zX9zo`Qd(LQqef0FJPVd?RIX@&HMF`9p*{09Y1U5&R^ zgd~mpm_r_>=HCx|9OvP6Hq-)JSSxE+uAG_zw0?^(n->F#a}f}+|0UNV{-;v!xFj;kJ^UZW$1kY7BWWw#Jt1F22-Oo4qKMna z4kzB2ZTDBR&vZ=M3FzC0L*YG^fg@_%j2>s4^e?{9z2`gch+9T8E7sd9{k#_??JmPx z3b(I%e*YLtA?WdsU-8cR?5wlvyw(JKOxs)1mpkq~-9HTCO7EHHUF`*HN{I^QJ9_+q zYed#{EU3PoEd@R2Ub~t~&PxGGJS36$>^9Z4wB11U3{vGDPHkxMf=VZdbkn4K9C1?N zA9j76>6m2VCm3C~EqE)x21klF-R^1=J%}ocEuxGa2Jsu04Ty<*`K%AMD+^AJuHSgzaRVmkS z*dQ-9TO_BBHELc;U9e44?SU+m9ESj?sWfpKt<7E|L)_5|^DPaQr1M958)cXTuP9w? z#(_o6Un|WXoOf#8w;O}#n~75NCK6BgY?PZKZ%P^#bh~vRqpY+j9_(| zb9(*KG%0hyrCF~$1tRElA6`0HrBVX~N68f*9K1?@Z01@9zfow@b{D+z;4a{F|MQgh z(g(ht2N+@53pkc3x)|xZ1zc}X|MMkOS-fcI6`8242O&6-O+PKiZ(ptdksI^p_kVTu z`n+wRjGXF*SL~HP1c8|B+uWGy-ySGiOD5K!c7Eun2{Og;Fr}F2vu4!}`(83h`o1eD z>WxRxaDCm4fa%(SG}rM9WOi%0wMqj&TtK){$MNDFV(MHk97C+pVANgUsYTJ0{>*lL zTxYOz43*7V+5T8!xo|5kp-SAvk4dxRPg!gH?|dqAsLePXem7#kO5sczsnAEp~-EUXqbHC;>Rv|_av zjkTksR4Q7k5K`)D)e~Nux#KCQ@G90HBbhVe0ycfm>@uq+7 z*V?|FaOGb8o^$E<-07dTEiTA4jtIlbM_d3kDiLDNu?Vsn!Ryn-V3gNpHxZn}8uSj2 z&+0JRCx+_bZw$X>Za9y=+{K*k5b+X*qQOV$Ryr-4&j)dUs3?;x3yM z3XPq#22})jWRAWlU}zZh47wtQe;^z~;lYyD+@{wln=xA9Ntp03eW=?l;+CTKmi(d` zZ>*hXlKor%6pwJ|aT~>;@d1Go5GFxebf;>)xS+wIPFwi_^1!=B~_1iToqT| zHFX8iPgm&1E>!HC%)Lo`Sa?=eSlrh67dU4v+5VVYx}~wu9SAx&qqIHf2n!kQtp1}| zOVs7_c=?|9(}R(b=;y{H9FRGt{cLP4Yzjv#Tm!B{SiDPpf87XB=hwMSseVLTjX;m! z{rPn#45uExKooxbnku(IpE0bGE!RDV9Z>zrm_G>^q~lj3(K-}z;Yz*I>{n*_V$7OlRLhI4V) zFj3m4TUQ`&sX?VT$lMvBP!o?oa&--=m^tgN{mD7e@p;-YfM~r(ZWD9_F!1=PcmK1t zE~rSEmJ7E!7hlXC_mCGzyD5E?HX&QtW51U;z%e(rn@E+q1FOTmAw=B|D|Ytl+%yv~ z6QUMB5wch?5u$a)LV|J0LNavrpX8jfkmN{bGzfHvo0Hz^{8Wkr;O-k7Q}=}H+Uh1O zyuRKfaqx9|+2V|{J6d}}F#J_KcHh$6Kl7!F_7ztzD6Fh;+L`}ZHL6jUa&Fa}-=I-; zvPM4g3tRzU<3?I02(lxQh%n^g*xci5?SV}z|q(7*9vP-K8q+sC(I*KLKe?h>nvaKcbEa5K@THd50qEiSA}?*S>D~KH z+goHH?A5`p=`N)Xu-nSPAnhuI0<*r)cEG~Sbzist_Q?H#)J03UigDI4F)=3_=hsDT z^s~*P`_1d?PmJ=U!L9wVJ)RAPx#!Tl^2osbdCHojC;`+;!NX0<&@${Z?81F+Alym? zz@Jyi$of_miw;q(7h(%*&r?mMRl@{|2sTYb1P~|(cP|L`ic7|h3L#~#5nvH%JC>?2 ze$a4&%GPG34;E8dn7tI%yukVv8=mG*Z~XA|K2s2E!rQR75?7U<@(DI>7u?WWmN0R8 z!9TV9`w#)Je^g1Uegn^|C5UPHhEob$oH398VxZ4R&2W1~^BXaUYZ> z{K`%gD4rg3U#2=hb=zax46@Y~eU`?m8Y&isxuBWHNIYj?ow)bg9Ft%_L%N;~NW*9n z4R1fOSBr7JgALO@Mle)R`II!{12JOM5X-FIsW+Iy5;v(=lf3tA8T&outoL~_9Q*g& zQ(gSVMtMvV78D9*(h2sC&5Q#HE zKD5$6!feRoS39?QCjBM&?SY#kkP&ReHFm3diqIPlAo;2ep;4`a+gj5ZvBccx*JaUgR0Y{dD#4`rNk>L@MITamt;ZsTJfobjUT( z9YNgk-m2-DJz6|GY~pl{DF79!b|$6vOp6x^D5MP-#X^hF_)|}$$ARA0k2M0oS=;mB zK(;plyXBxntlhiY$U_;PPu`xPWFummdMbktUGqf);Z3@|zB zj3k)q-LD;V-Gw7Xh(#ji&8&rsuwx6RmSX(@Wo3b{I6U{k`(kG(d4IVhTj#17v~_6E zSlmsu>6vIP(oU*r9_*;HL0iNmk$Paj4c?zCe3Je5_M21{9RdP;R|ej>MGu2Ng%|1` zTtpdbFp8J87zJXXp{39n7kNL7;bwUDdh z;Vp^$I~}$LKK0v9*CDj1 zyW!=&MbE^`n!RYzLVE5|<_xnO-&AiJC9EVSHx7uX?!)G5i~w=+)ClAvUy8&~KZw6yg`1O)04Q0xUz>`hSYV^B*lfwb!1 zt8CjHqlaWfp|<}_l@#uw<8zZ25pY&X(~YGLX3i)*nUzPD4wm}&GIDdw_ovxdBd{@M z*~#L*+j~2S3%)pY#1KxNB1{Vrp(A!8!dV6}i9RYj-h+ejFsq*83Z==5q%|smsJa8Dm<8{lsel=*c z)ff+IRnzo&pd4Sm;?&G_)kxZ+c0Kbkf>C(rqnbQbBt35$ifDU;e+)13#pp0X=<4r> zTj+y@Sm*0pVX`ice3JHsM{IICB53TKc}uZWiZGFV;* z6CbKR(I-lOT-(O^U^q|vz#QrPN7T~q&dL5}-CnY=nMgh#&BYJ^*~A;a{#qNcvYH(V zvyTN%0_Rv*nJfM7-(SyP-tHWIeOp?(YL;5@FQBsrVS7XOO5<)-M_y=N+51IqRl{G( z!EM^_mIgIWiAPqZNI2@DxeYI8p5UTFD_9IZ!>{doG2y;0z4-p}#KbfBoGOB`X*WaS15Tv1U*?1M*B83kxTV6psdpi(@Wo!?Rl( zj$cqG6_s(zG8@G;1V0xd{elff6d)z-8vGzcxkh%3k6+E9Rpvm`7QfGVsenW_own$2W zlTZqZqGL2S9z11Bl^#3+|F}Edh~eg1U|$C~OrUEtGT1o>J%omDc;6ra zb&u);b*BPzI@?1Y?g|SS+L!CzF~#KpjS~D_*orBoPHBNxDxR@P(Cs8o-(n&J0x>Hz z4LMP?>XdN$9a7BnYSq|p#-`YEHS96f)%4hx=a6RzF;f$c!UpE%r&}q8dbV{kD1v$I zelV)Wpaje}7Ph(KO{Sc&Dnq#e%dZ>~fh5PQ7B`R4mlptd0Hwtr(=Xzb0+_T{GW9S@ zJwmQzKk~!}43E)WF=J?sKPWsUX13##xRQXF{y{EBao0#xDirk7h&K zCU7tH44PyN6*&BcL@gOFXI(mvWnl37dirwY_xFB(DgUv~QkCyXkLRXz!(pntK!`VV zG#KbiNihGg2==%NW*L@*>2UxisVWPlLHRPk4SDyFRA|3Vv}HjP_?$2DhCDkGU@&x? zA^!7IM$C?6NUM4eTzRCGUVxAsDHR@k+3shacXYQox9-Yd_U2%XJQh+H<^6D;^HKRTDo&*UK1Oqn2~8rzMH zuEc96T^r#)>Gl?!l0%Pq1ty-fxduIYf|+%Q;h8H*EZdg*pr|3Th3?J8HNlz?Wh2&9 zS#YbDAqtmJh0*cvvC0roZe%JIMHE;TokWXL@o;lu3T-RPK~{otfYHRM!OSIv%-_O9 z!25}-Y6qK<)~khCPKidxC$ib95RsM^e6|x(qQWQ zOhx`Pe`je06e_jJ_3wVXWCp;lX%EBN$&$ig^JjEB>(0!tSwKDpxCLLoDE9i~*A@k$ z9o&IXjULuF3Ra9t%O&XHjpBc{GVj#l`1aT>|P3JEX zJm|80bo$Y_Inv)qCZlP6fu*^g(8GEkgA{cp76@306ruh$6l!cvFvxw0e8Y`zY&lvZ zYa+=^FFgXO4xD8_xmkUS0)jPI$`5)OQ%mxGqBcEzzG5?aW3=6~Wk>yOGY}Yu$7T3~ znQAlDY_;>^kLE65^O0`2R~a@iSdlnj!&epL3NbWN%S> ze7oi^TEV*9zaMf?yl=bqJrTQV`P+%V*UR4_i|l4~;g~nfu8Ed5#Fb8n&S!R&92l!b z1K1TkxZ$|C+1H)1Gxasi8aZk|Hjlb63`nG5*OIUYA_(8*zCR=sSL-8kN8SM&?^wBC z=Ei0t#AbbF6UP6JQ{biahi){tf3Doe2`ZcLLcfvP`l=c~Z7Dk2RPQco{8<6l6m*q5AEh^}+Sycmh z1;n+^zrTmlB#lj4Tfh@p?&GZ7-#+boz1=ok?qh)fIi@fM&rH50FpK?0&JY!oqwS`P zD?|eM{sxloIcD4dr^Fl!RaVCUf^l~?Cp}6lv9*O-IY7b^@m&@zjwLmK?{J#fPdEqaP4e52r-UiGiVtJ7UW78$b9D zh!^r&ID*_Z&0+;~sLo@)QY9g})lzVIx=b^y(h569sJ^N)u#gXDv&EH}b&*0k{Y5xW z!e88lt7;HSQ=94tK21=YkeMS5pQ8r)#;yuF@1eGU6j+^>-7l%XVVzFf(HB#{1J+oi z#TG4muJe7Crv$d?stW9KJ`60OQdRiiObxl62Scnz0WzU-Aw57ZCyZ3Hw1DI1Qq-9% z%Sq#k>k1yrVcyWXh`6BYmv$Ee_Qo%on^BkFAB``WFun=S8H6f+1MFe!(dosc!u{^Z zwmbA`Ch$9|R-)TCkh$N3bCPRt4mv0+y!1f>k)oS122(9aY(r&XtEZI0RK4t_L{Y49 zaqA>Zg{rtuJLL(iHSin5`eN8iUnCAOG^!j$Jil)b8de*dGzP7#R1vdr&|>1?1-%c4 zIf)O4)%UGr4-WD`CDfM_vv6RD4gU_Z_~TLah9l2`mnlfgK`zVISyuGNVac(&6}SvG z&&+{G@ck-UHGeeLYvwY(o+^1BKUqm5gv7Y1$p5cYn8L;`O8fGQqF%?S-TOpOrpDgD z!1}&MiP(1+I;1MiNwTF?Q*&$M@EzQw5A3aD1RdiaITD7N{9H=z*Sp7V!9(gAWjWDq7&fpxc*9P*4{__`OosfIc`YHj=}I>WuOl zJG@FaHl!S?T&Rz)jvazK^h^LUHH3_~_g=`=M{U65RU60DygXEIc@z_O1PgBm?SKJq zhv$HRXvgR>bD6>R6N1p zoSV)5?C;#Lt=*33;IuW|{b#S$-CscgC%Pg9F#HII&h`w?4Q)O>L)5u^J$HSrKS5G* zXJz|JSiw6e!d4G07`8zmphD@8M6$eQoB^l+3d3-JZP1@oB*aZW|d@ioXp zax>u)zx{UTQr9JK!zO9#9MOczKOjH~1tc zYtT$sK9Xij48;bgp&#jXf@ZRX_eqRBWGo~T5tTt^hvN%Bek(?4m-I`bD?(USS9 zF+SeEDPF%Itg^{|ueM_19kaakbl#dNxdf{?uqM_L-*E0C$n0R2@3hc7Z|x8=YZ^E( zX6(zhi!2^G4`+e#^GHCuCw5>JRVvMuSfAjkyF}u31i69`#4tqBYIbA}V_c03SAu6M}>u^y2Kh5Mh0Q$1E<=t~!L_oi70|y}SgKXU{OtIj(DGLr7Qu4*OFMct`rsFs4 z2d@aX(Kwe+yQ3#emB@&oWH1dj^#q1kGT{)UU+dfO3QH)?bB|w518Oh z!!Oixia4UUKG}d@foFnzV9rMZ)yF|R8za#2-DT)MFZGxmDHwA?o;}p{t`n@>i zv@s+TU);J-{AHp1I9GIP%k+2B@IzU2Wbx+19-)m0haR>quRl&#qsYRj>V&j(f@w*_ zM2Nl|YSGC^h#u>|Vh^h7T@Iyfz2ywkfyB>Kr;Tks7a)cB_xk$ASd!Q0q1QQFlVxWf zPibOO&?~w0$;$j^JJ(HGPxG!Pf1xw|@ru;3zkg+!&o3M94w}OJet8rMgI>?l5S{XK z4h1V1-W&?cccV3==M*!VCNK z8H9FYYMcPG47<~m(^P{DJF89lZkEk?S{JD1JfNe!L}nl8*qWz}R@QcB9gy1|kHz@Z z5#0K9yc@>X`fY5Jp01;_1aM_{+T5w@b&1)&xjk?FuIpv%50~}CxpbOZ-_&bLcWHl` z@=4#_&|9MU)cP<^ADabqyaM-kT@r7`nqxrZ)OO#bQ+n&bKOMB&Ax${o8qFUzav1?! ziWx>FZic|;Y^VDfaBl)?5Kjf+fq2b=;d!tw_Vh{TEI6?^qoPT+RYaF2j0 zxK(I8tShnW5gmnNugttp|J|%hd?80q+f z&#q;ZzW@2LQEpXB(>~PgLiZF(=Qlj&m5n^mUg|Q&Um|-&qwl16I$!PyRJ?r7Wj!iV zxg@`nWRpFjM)7!Dn3qHA@6)|rBs6Y3HWqKGjqH)kD(xg~U8AC>RgC-Jo|zJ|3VDK1Vq2a|92<@PkT9<&Sp8U4IC z%IF|_37aNOYtJ%QB$C^H!0J5(UNXejOrCuQ31hb087-@~3=Ex*h}rPz9%yw%uTz0L zz?F@T@7SIZw>nHMeEQsYou;Cfx;*4SNj*P3R4>2r&Y9w#>$nF0I6V-k)vhEUI{ZA? zs6MWpL;E=G7-kUWKFR5Usd+t#VdBY-QShh=Kh~1~#P?@#b*qNICG@Imn{D_gIMU3w zB3;ZKUt>Hig`TAEpCce5&3S76W%8AIU>mV@$uGl)b)80BWxA=HmZHXA-dm|q~yyn@#m#V2r89rDvq&;kd!eL6rl?UyDS=RNv zU=)Ax>1v;4DvW3=;-dAe=?zMt0xLnTqw&n>MdR@jDa{fI3pxc{7G?r(j>*n<6iPpWF9%{3;? z8_ST=otkZPc;Y5WPHpela)L`MPkhyl@>(cSP!8h(l7}MZMzY(x0wcu<9?F$qFz?b% zE=Sut!c|gmia$I@Z73fR&7@G+5wFPICi$yE^3Qbn~P`04zVVK zZQbdneT-(%C^~&aD4R#3(Zbsi$79(=_)Ho<t$$V;@ZKU z6{rQTV_R3{JH^snzj6wsq3?}7R}6LHrZdHipjp^X{Sg&^6=)~jksb1tOV5g3b(9`7 zDxBp^&*&^h!~Swr(JqD|I#SPsI1JY0>n6_WBr9IINAcLRLK08`~tjWOy_VxhEA6EPxt&sEhV06>oly5;S^S%|Wu zj!F0RSRW({t!kvSvCP zoB>f+*FjO~!*iLK@H5~m&@%&2qy&X;^|?IhgkCsa-z~4oi`zBB+e;IVa%D2T|FcUI zPlUvC3r5D;N5*{PlimrbPCJeMvHvrkft~U#1&70#?Z*lafc1fXyu7= zErvf3&pU?DTc7=jOWGZ9h~t%AoOM4B?{aI9X>`F-F>+S_996D4Rt}gfA1NyzIV%Tf ztJZdw=Jv@w7ZBuk_b_Af4tBNj3>s5qJ-dW!+4NX4xR$KT;~HQWY4r&`zEoOp zI!E$(y%jpTH>DDMAzU>2`AGqmQ1Jc9&yzcMdPJ(c8hT+K6zi-DEZj|UV?kp}-91F` zRslPa|EpDq3y#>K8w-PvWfnS(wp0t$)HF5&m5&BIB&wM zb6Dx?U0*fB!(2ZH_|^%e(`AJ3WOk7j!IAgaOTI|Noo6WWp$Qy`j)Fu}1-_?hosNRQ zyqo@8rj;`dYBT7T=iv*U-snHs&EW)i;8d_TosVakAF+X_!$_<@bc8(O3<}69O&TJ1 zCL_|QO*_71BcUGafIEyOs^s=E`5V+>SRpN;p0E&aw=GAUsO>)hEV{OjKlRjYas%~c zVuKuP4z$aIKF(}Zs3&&~FnWR#?^gr}gM4}Emtj!Gp^6Xf8?h`xPI6&sQ{~<8d^PTI zED#z6HqUTXBAam7+Mx|&4!q-GbB}|_9QdwC`)20iVWRv9$R{E8-g4GM z=a|LAG3cQ@d@FeigT6OkKF8PRGoP0SVXJnAwZQs+xNU2I%lLJW-#@(Vo64(DJ+bhe z!g0z&U6t6yQF+0rXQ2*?n-*A16{H1XDKx-$JtUfzH3-o;{kULlY7Hz<7$wo6IzX;Q zbBb7wWEApVUuw`vK$w`V()dZm%2w;FPZ?mb4EJg`wAE5pCEk6hr5)`NED~f!e|9-K zsWAF2!QCw@n>FL>Cr)NFa4p5a&sYa4@(orPcg;V(=?){5 z8|yHYYUZndL>S&KUHr5geA|6ImnypUiV<4jhl0xBmj*F0xow(fhaz~<7f)x)(RM9! zLRQ^fRSbeF7tS09yK|sKudn#0$&*E!fPmNvp7o|<_=hab&s>*Ydwqfi!>H|@GtT}u z_t&W=zk$ntP*$1vSKtM+Dt+Z$_b8iJ^U7E0;OS(7Z^!i9A3gRQkD3- z?kKiDM6}A?l&=Lg>z8w)A*!2GZ_?HiB!MT*UGzLqNy|4sDZxAyP=X_Ijih7yi)rXenbXTG zQk$^l(uFv|DGgQDrxUh|TbkBV16J#Hg6G7Ys|?UPN{*`}qr`F5wyeV|UlRXHA5*>JCpBCFZ*eLXR)VtnBawuP(Gj$NTLs7Uf0@3!c$ zx}tDs;45@`SEJQeTyvx}-&p-yLS6>I zBy>=Kr#J zW3dPuCs9cSJ(nfniC{*>p-=E?QYCvO>W`d9@X2KwCCl4e87oBXQLeIxmcuSTOO1<owRc2vXr59F2 z5WSpRMFEj2ldmDeW>q3q)Mu^q2Pb%0gc{l7y9^7c7C#0csraVK^7IIF{`l_yf?wtG z&}e!Z!_XQ^{%HaUEYP707P>empPx>K@wWdym+DEUYE-L>8GyEqqN95iG~r~M@@=aE zVoQ51VU*cH6%uwsEf9=TJ>L}rwUFJcz2a)^ai$h}D?k1M)^+pn@PtBA&>)$KMX$-g zm*3xLnA)uNrS-lQp(U}g%lNcxfA{rK=CZ8-5&S~Q%VRy*D%3ml)N`f}(q`$rm!Pb! z`K1Id*Bbw#`2PcaHN&X5Q+WtG9|%sY^T9ET1(%aNc349A12DOEhH9vXaahF>V+9uW zUYPpT95J_kEf|g%cR3mb1YrefJi$?SB8-0(N-&f*KC|g&^>pV>cV&$hC~l`6Z%s3n zPE2T0qq7Xmb8U1VFKEuc_lc6-nBsV2!Tw3h2gh8+px2vXU9m&SG{29SdmocYYs7?s z^+9ANzc;^hSho1o0adPyWzS@w`Be<6HVTW4mz61@Xm_~wWZ@EYX#6^>IPLJ0Z8uVQ z{T`OCFli5b5%M_Am_9(``|R$+Utj;fWu-R+WSr%qqAdtAY)4I`TlQ(cvPh@@FBDeG z=sG5CIQ##g?JcA0hPigZFf*rNW@hH3VWtKfW@ct)=7yQENyE(Ca03lP8)h1(-}_yd zb!X<+tR+2L+Oj1}wvXg}9!awijHG6(wfjuqHL}&cUdNzT9G(e@c*=xrNrl3vp0xFT zpG6H{Awq?>9bs4HiG1b$|D|Es+59gwtWyF{ZOUeHfP*z84{)%O0S;E*Pg7CZHh_Z# z;g&h;)HtD5#{d&tr7~1*|DTOHG&)XU)l#Rns06@+% zoT@ynurdJHlwu2p$f}nEjGlUpT#En_v)Pk@3wCTaJ+vM0;;6CD#x|K!-(% zn=|7wN(8)b@t_#n3k7<}2-l+aMgX+z1RqsktyI8bG0PMEsLORe^b_XH4MW`ODrGcF zgxS@I16oHx_M4=n+GN{Y)5-=l$|F2g6-8ptHY=gceKwXp!nKmBh{U|qZsm4;K*?wqay z1x;hxM&?cZ*;dkuQXl&jsu?4J@2b zuAJ7gd532~@|So}Q#vO`u!J4ag)^dIA((f{kr?sdrnaMZqseR?1@T~NSpv5|p-bsH zK5m5iFBBn1fPg8-xZvfKwJ$TW8wg+wf(e}^@t{@4;K)5;wH)d96 zfXWdCQWjigganm;N(f$ZXlkdw*J3X?Jnvv_B2binY8lcZfp`(e3%W9zE*{x(&AhMv zw=hZGK^{S%GEv|qQJ^W|YPthJtV-nQAx!JF-$pf-wu=B)g$cV3pclgF0JkQRy4Jfp z%AP4>5t!omW}M-X<8<{9>*IALCqV z_IK>06`-$Ncl%_|DiKNQBy(&mYl#Bf<&u9Yj0Gd$IlkV${)zo@aOpT}?c8jm@-`uzeu5db&&2u1WAo z_J5&c*`aFybgcL|C!PHA@0eZIpiTPEe8F3$ul=BDS@Ud1dVbnFdbDznUGeWz4{o?w z4MomQ7YoY$;BQmhXdeWI>p{&~?Ph^DDXF0QbYb*PbN97!KZP?$DG;vpj9V(Hpj zyi;sYfOI;7o8)&y#JJv8gt`c}r-82rntoJ(syd1I4zVU1L^-uuoFA@|at1EaEL8P` zf|4=@wcklOcZ-A!T)!CQG?wDJ5p#p#{?hJ|ayJVP)M*$J#FDQROf_CGiWZkSNjer~g;)3@@hcwiTi#l`;CQB+sAESh}KE~@A-p57f85BJ9n8O9oQY=yn zkjzXel1G>vtAoB2e?z%xGkQ+n0{PD+{SUe0AvS|OROM8}wCIhfsjw9-@lsBa`KyF1 zM+wuASlpTLG0mOn1(B40pbq5@z>GhMU!NnKWyY*Gw+x64kMt1Uv5BBFUYnOA$bX;w zR?5o0bJYuaLzY-<-y?=Zg~nHp8aGSBVXbPm#9eBJKsg*Kb~tQkl=Ei9z7PR#JRZuj z+n?cBfPTup1Lg}W(;EWsPa|VRN<$H56+~&ABs6Pk!Akj9Bk(bG9H&EtlAieM@rNwdR6Be0r7Q8-FeID%B# zJeQ+7uJI_zYxuC$z$st*S#0BT!C*8YM-dh??Okx^uG{Y8PaoIUM`mK5*GGT}Qfu3Y zwF!d9D>Y?Uvq)y~N8pUZiC@LnV$Yn5E*kMx=@B_Js%?~B4Ui^g86Pxp(5eE}ONbp< z=@g9g#zry7_6weII-(1F5vEwwS|}=CYk@hmus0K}oj69n$!iBEJ4BeGAAtG(6}5qr zPKI~g6*xX1Q{QS%kPD9+X0ax#HGP%)YH)bVl#tR@AGm&U)HRoCPMG!d^y zZpPP6@MTZDuuue2kMSLz@OvJg^nw2}5;bU-ARCaRWf(s-;~0%OH~SG9-Q}n!>e+(Y zk0nDXK8%E($c0sL7<}ro|7l&VE3>pIEEI2O2~e@v0V>uyK*j3Q>S_E(#cGi9^?18` zdb`|zzGh3hOESOh;40PT_RsLTp=yTp>AhhJRd5Y*Q4{g5pY7lmwg7lo%>WN;ZPy^$ z&_@m&qh}ub%W*w4u z>5kie#>obJe*GwEWWqLSF(Fky$?h==A#~oPb8?iQW2U06PMJ!%x~17CDI?!1#SX*d zsNq5;LVimF{417c?rnd_n!}!;^))FlNky~s4Gpp86D^S%+>OuNZwqy(M|5i5Pk8$c zQMLpleKtXr^$0cZPreY{Vz~kkt-^|hNHs;tv{M!ul z$Cw`Hpg-vJTzH20FgJuqq3>EN4zs17P{vO3jB$g8ctIR6RY;@{#->~X(!<8RhdgEn z&oO?}=s4NVW&Sqb-S^EuE3R zqH_p8ISU$QBu)ZoUE@Ho<&4<_s8ah@bWuG{2M36(vLq~{tjDiic7R+U~)#y)$w*TJO`y+C~UnAOY1d7C} zE#+j;Ro?&?CD<8iO~May(=;Db0}@IWL%n*jSJ`kK2VS|VncWIAPqL=+XSw>qr(byH zX1}ULd{5krJ72%&`E}ax-9RQ-hU!Hikg$fqY`nHeiv>hL64HeTDHItp2t!(f(rUra zzH}M6fhw`gL6v8CgQ!ImNKui5frLVjXe`?Lq4MN?J61*h^v8s2-v+hQ_qcN5i!df{ zWcN3rS^gF|#&V_dfU|vCL>ePyH#zchoFtG?CspNw@AyQP*un^KMF%2ZkpwcjRjFl> z;Y^Q33g`u>Q%ayi8*dD0OH{PMFz)0ezRswz6U+e-0L6F&^U8kL_4ap|++*8i ztMKehh+tZ~+>vqS#J>PCO-~yIG&@#N`(L%L67h^32_78#zseKB{%Lq=9 z=;~dyrM=-pjU-00F7Ov{>1sDG(((y_Z=6ul=+2Jbl%pO`4@?(XA& z=E8xso>@Dl4{UMHO!$BG)|s5vhrhN-yG@ZjgG86R3TOY+QRlF#VesBz`ift#MGfP< z!T+OS?Zmx-&G1aZk-!a(W>E*XAFyo|UOa{*0F4<(X$~l~v{C z;hl1BkogMZn=k>19~yW^WDBAvsBLe-CZT%K3_7c8xbyz`#y852#F(k(_Bs`6E&*Wd zKY?Bc!%WvQf|tuiqS0;DIFgx^PVk&*4ob{NQl;fj6g|<0k8rlg<6&H5V+-r;=}i2^m4d zNy{M>&xNtv9|?Y=Q}Vk~sW4&PCN7)}hEOPY`?61fTA|}e9}^SFf~;d)X;fbdtX&uT z;(G&mnb%GWtwIiuXh~VSmC_<+@}ESapR0t&h-rIX@K9B5EuoiHdJ|vkZIygA>!=+% zDB8Uo7yV_OZhx<1(~ngUsHEwWi{!j+vtlxJ2+tM5YuI&T!BQ(#e#5>bj|;sY1&C!^`M5bY#9qKi0YO=P4`CKmg=JW|fOfo&Cme6rjo88e4o;QH?2j5tO4 z$6=Rh=2VX^>9MDzq#cy;B8hRxed^q`41JjVaR$JC=-}tJ;7E6a3ekVvw&~=1w=x3^ zLKsJ$rS#sw&iH@MxHEqrFo$q|jp;?(@^MC(Js_KXBCAL0W1v6kdee5`L+p4(*-U~t zAnn6cPc~-1VtY6cPp+fJ&7RTz+RZ(jq$>|atbs4NxqyEUWk?4&%3jR4jlY%($4XjG zBSC06zXTD_`a#aXptbJVXK)Rxcs_TOJ$}Ka>O=Rf>4VvkCE}mDOl3;DVBbPx7KLIK zEe}f5u&ZT9q?yj4dRhwf^k|=*KT$IeE;xWhM#|f(WC`RzUHcG7$80)&nkl&W+i+$s<9nl#Sf*s^xIuf(={dK-<~#ei<) z>E>~x30yHOCPfg0hQWO@>-c*|awN@7{`g>$8Ff+7N}=`=;(nh`^7EV7-dFuky3 zn`5`!xe@#8S}0QzVQ4<`T>Tz3k?((10xa}YfSE8y|GR(ffST*TLZ0O_lY&~##YUNa z=wssKH~Tjii+)2|+hQ%VBQ9Q{kyt-XdVcditWe? z)%4~sr!7s(fFA#ztDG7NwI5IdOdM*aS52Wb0~Rdl-s@9#yYPxdRe}EeGj2rY=dcyU zn~*yuG^)J+tFJrOt)0|_CE9J#m#b!WhD8yMXwX4g-#>Q8~$c{@1rrw{Lh2r01@k) zs4@5%%c9GF&y^$K`!n+9Zt4u(_?n2?h5un%ok>cuX29j1?jK)zY=pP@Wn%uiGSAw5 zIXvyub9>BGWEIVVDr~qYYtyetNXqwsStkqL42zG?E6 zUR6j|p#PIO?vu9FUL`R+)2Cm=7Xu8&e&0E)0j#QFVcDxirFiMQPxcIBs>mbx{Oh(H zk%V3I6cDg)ynEwkNmWI%wQ-E?Xk~0>(!b&u5by_)%NA~HvyHc=Slpc#^RvFj<;V+J zjq<>#g5Ks}j5kQa$>@uWc%iGy%!?oPc4(`F>Nf7lce(7*aMh%5FdH~E`FYCiABze~ zL>&r_mFzC)@(RwC?5gUG;tzh>`TVtbbLMs$HRaG{EH_@3cI+jrA)71}wRJT8lP9_< zjgdI|AG^HTOzo3(4mR13z?I9?WKx;6SsK4@sIzQUN0`Of0*5$|MDpcoV-}tL{qH^r za#eO&9)H|*ajofC5^p($?8!kIz56Tu{VP3J^AXnYM*lV*Z5*$Ml<%i`q$q zi6o)b{<O{p3L($cgX0_GA?!{2erkP8pxUZ^&vxOH|eGvZ5xaA9`F5>^snt-c|#g z$z-cOa#PX{zJNTU`LPy($ zy^25xCnw61GT|m)rsftGB&=QjHZs6>X?of~Wu}c8n^aw>oTSb!(-0%5l~~b76f3bnDai=RL{K4W zRB8JW1eJ84@zI0f1JC$LHMPIqskQH?3|GdaBB@o-X6IBoO;pe>u>N_bC4KUR15YcdLu1M>?L*x~ z@fgvOYS6k&55bm&`K?K{}x6bQG01CWgAp zmt4E%d4KvmVcA8hZSKi@Y)UN0DsN>>h9Px4jdqvDtkZ7-TSS47R&RZ4)L$eW?dANm zSqw?X-NkjhT1=IURn36O3Q*%p#IQISI2&GjwuXC?xP5$b>X;PEu16lVYK%(kO@{pD z&ieh5TLl;^r!42_6_&eKYaZzixkUG0B+Ci9(LQro^#@aI=!m8!#u}cAbm*X0#@ImU zs5T;I8CvtMWF`HXgV0%^oWko{YL>p1tmhyIVaE@aPUPP`-YOA_BrumW5F~=3 z#DirhArBZqHSd4h!fT-1|D5|iKhM4iwyq(GqK{)SgtomG+C$B81s`RL+)Syo-5EHT zp_~&8c}tB+U+SySR>q3vI(^y89rUKW%+t2=0)U?{`fjSw*S6DEL&C+DWU-(D^<;Jh zW@(dCuLHHZ%eKRXOv`)SaKEH={ zagH03!DQQGj7y>{tx%W564QH;z+@Z1^wX6?eMT2A7>=-oP-ZcP;R>?YFf&0c=vbjE zn5JKHY1y^zSR3Qedkp}52;d_)^lWFdY8hWNNRn%#sv`YG_fYLi8Vv5dH9ujw`| za_FYYc6Q$+OGlqLe_@YbD16MpI@@VBBr1dS=3y8tT$K8r9BK&ZQ4dC6l8;giA}dV` zLD#GD3BC`mK1YvPWLgNW@9A}Z1G`K;V^y?1z8Q8+vR*ax0M;IYPu*hkMiNCK^m3Fd zsK)a8CUIiN7+5Dt>Sx2pE(w zh`p91g*jNHla?gEbFjqn+53x!P<{g@(-KiiTWu!2I??(rieGIXsMq^1Jo+y@CtB}B zfw;1f^Ch3M)!83(g%WS-!2JF%Q9Jv3M__nqHKQ0T z!x4u+Bc<1#tANByqqon7hhe3Qs!Qps%Y_`5RCcgVG!rV+(YZu0a?N%5Ui0ezrkP8Z zvvzZ{Xogb8S;yV7I$SX&qF`(0WYx5$n%$AJR((efAv0-p47RZ*SNmOl`LeTgs5*H; zov7Nfp|SU$XJI>R{!*kjZ^V#dYPHP!@bu!a&Wu*XQro@i@@7l;c3N6n50NF268YCr&hq98B5kNt&Ra6QiuqDM6HK+W#dtF? zTHBLl!GdJGAumbFDlFPDPG{bT%mopgyx-rF`~N%iQ8ZA=kq z4Gy5IjZE3APOfp!h8KI!9%RQhqteBB+9Jnv2u?SgV7p1)WZKYojX)?%McLL5&T; zhqzU});W4VXgdqpYP9x)cXfYWkE->XsC{Jk0VuORl>dp$(uH8a3e4j>JTXx;9Ty!0 zEWDTY#2NiOrPk>BKM0q5<)gnvv%jPYe#DEq6NUa2j6!t;{&u03oK=pgF2Zq?VnK^H z3L!LIhg6IU(v<2ODBAv`T#2`jrrP)<7gM9J9UPxMA|5%Um|h_wXqCf7nX4uv&%MfO?|`(9RxnU_`TVfAUx zav5ty(=!9#)Fq`)S)9i@L2ABq(^nN}XJWYMQB zjn7$&^ZqOb1tUZJdD#WYKh@Gqd|iQYRcdhtRfS|~v1eTdm9omiqb?!~@=kL`xWku8 zF>Zzfk{qmS6oqI{n6e@MkOkW$f17A%_0BL#7w||U-!xPG#n1&TY}cLUa`-X{J>Yop z94zNT*O;F_(fAnYO5%RWRP6pxStA`)wRTp=6H}!WQ)LlTr(XQ`HkwwE(YZ zrwq33E4GvXx(RLi#|Xu$sjvC(tcuC62OhKUl+VT2>+h|+D>j3~Hqb!Vg8A(t8?g|0 zbAFo50dLlq#FcI}S+eh0#Cy_sIWQgjN_k+^J9XYQ)cM&V z1=w2z*i8i!qU!!&zRD^nJTrMvKWiS<9Ei{P_YGKu9`_vaH8ig0Z~;9PorYUB`e&dQ z8(R8yAU6*b)o@wLQv%Lcbqb?rj?vHQ@MQ%_0e-#T@~byDgAICF=%3%s^|m=0L?nBK zTf8MKx7Ku4)@zG(HRUyysy(eit)=p>pEtSERTx>*Qglpo$A%SCqhoR^qhly2uy8Yp z@y*&sM~m&J>{KX16HAISMc}~YrN4I5SU#**|IFfiAwcjivG21SFgVV3Si8`C;>_WE zWm;CIW?0tdwmqR*w)}^l|1k0&W&)^5Q|b{vH!x&M`VI^|3`=#Ec^nX>hY+nSNl*dckhY4_&|u2RM9(RA&*wN{CVc6nb>Wr zrv-4q_XDqe09;r0lt`hO3g#Y=n8ZT;@GWpQEfntV76 z2?;h!0jQYEyx7a^*vq1FUrL~bhV423hkIqg+vWQIbWN9Z=;2J^*pk329W0}cTIMOB zsY6*6k#2%{nN&?7@anUhBrapz0+mzQvb#vHvSF8)RB~}DA(PgRSyy9ZiB21QLpF){&l)Sp?SooLrR>V8Jjj|Bi^J^wLuq`5u)1ay(=h%h~_C_dCWPY zRv2rGMmk8Lmw7mXWYFvAKV=`YP zO-C@)uw=4!y3oO2w9C_)C5J~KghMdX@Y4loRTusdr3K)Lo4dr1W2VwPgxzDJSU@P2BrnW7p&6+73q{Zpw5yJRs_D z3_Ql)iSVJ6OJkq=z9PE9A?A}BDc|}Ok9wnnh&Ope1!lhQFJU#4As^)AY zuZpD~J6T%<&tcV$wHE-PP43XJMb`knt>?l8GZ$M5XF^yj{#h=5vN~NUmk_E|`ZXOc z=F0q0uUykqP=6k;urio9RfhvsZ7=IfctJ>^N&dgvAA$i5x4J~I>i)G;(&3)5*#-vR0GR@yRx_{vbc4W*FlQ++=%?M}8)i(B@RX9!1#H!=c5tOT_bMmO~r>Ux!0wPz{mEJ*SVle;1urLQ@ zC~cK#5#07+TL?5r`l6C8KqIYJWfm=?vRt{^khIuh#aU18S|bQ<>cwj}W1cVfFb)*J zukRkKgkQoZyQ0%?1Di|{lg6yoU;8r})=Da)maFV%9P1RELKT5!9cji;R-W9K`c}2k z;&sLv;M8w{teX8xmZDj~_(tLxZ8i`rm2&c2LALNb##x4V%V0lkAlAQQotcrX*ja!& za|Znh15R3JH$AOmjYY|7Mp>(!`A;sQ1fTHw*4FDh?H+p z#O?cvOYQs&bgQCJK2YP$Aty$4A-qjm3qRxPj;*McU2egbTyD_;PX>JBiCA}ZT!csQfgICI2*xzvT-xUj6ZHwgmU_ZN>+DvRCBzV+7qk1JBi`k58`xn~-O- z1Rz!`msqPwva*@u1*~%!5j=4Sls`-*+Gtm zb@SEa3$5yprM@eKXP}`K{GrC#EmehZF~Sx~{aC3H%H&^x+hF%GI;ehSQ!16oe&Vpy z2hwcWtAWm*GiwPLsN=<2uYF!GQdla`Pf|xsF;PdS&`$j#U&T!t9sBnkQod~`y907aH{07MMlKsQ~32{^goagaFuFNqkP zgzr$GyAjYpMN$~WMZXr?WAF(L))_?%fbnG62Z-CksT)SJ;1eq+i?(Q!5v4rC4UeU z?Xx1R4?Zy{`tU4VOvCOP%jFoF@zi{vsAAj z*k96ZK`=s6VkK^|QS>;o_`m=vd~70cP(=^35FpIY)GyqNo`?CBcw0IXy+F!C=AV}2 zpB4$w!YYQL^zx#DizxH&v7pqa>p>$xoH4jz3G`#*8xk8b8KedT26UZ~83BiSN8rGC z^y?Etf#qQkp$PP+!GMeS5*0o*2KEap8E`6=cMtIvNUd;xB|Z}4l*fU2N{B@Oj;)XE z1FnIS88{g&8(TlQSNH-=KT(*81|IDQRX@I0xYt8J_8&(7!$<(p^$P$@ZWIh8+<8O? z^Y{e@RLRJIB`1Ft?1a%HLLd$#(&xK_imIIwY{Dp!aT}R%kl=140aVcRp5+J1d0{-QjQ79Y-NXz3KE&VmP3FD4m0;J z4>i3CC)_b*L4XiTE1SfDi86BR6P`uEf<=IsGzt_3QM6;J@7(o+T)P@*TTY)CIlWD- z!*8fUC-T=vCOTX=op@|>JZ}KjMl1^0?mGtv%FlSzH&0Zcho>9^VE|{zMy8&p?+(^2 z{Ne8T(Sg_Cotxi4VXSDP-1BDTdd9u)hkP5?=IisHD?dL^vHiSnGb0nnJf3GmVmh`X zIA~}(@ILPzC9{S*Y@&Km!vumz-UY)5q-x(pLOR$Zl)9mSO>yCe3{eU&5J}bAMM9!D zB9tbeU_F_``7^`_CrOYG5};K_gOB^7H3%9E_tX($I->#;HCdRiXrUzahyJ3SZB`Y} zceu*^F5L>9?19hp$7X$JG#^m{tLy%Pvf+T=im#4ZHXJAOh@2!{&EE(G0KvDlvD zI@p~lJ2yzX^YQWD@>FxM_^doy8U-v}|IYabx))`GU3M3~umpd)#5t0FxX+8H&+DBjuHbo(_eIttzvd48 zYSd>T>(L#Sa6Se6BShG9XB;nHraGeZ^!R~=YtQt7`mcy#h}O(DTESljJ|W;OZ7U3j z)-+tdt%u)kb>eptD2kCksn>|3xO|6W_ln$Th3O>N^2Mw5uWh{`@i>{-IricybiDqJ zIQ@Jnd^sODrh{rosR^Ox^L#Oe*>=0$+v2C((3@5VQ%^1HkNwlg;O_Ep`FiK+rX%Lh zz;W3!wq^+vn#-Zi@0*8iiWlxcKsQft_D3p0QjALh?eG z3PRjG2l!#n-h&dv7%9^+D?q{xe;2+^3baE#(u8#rDo^YC}ttDnp8uuPlb2*&74)5{ihx!f-KVD8(pTY z^|>rp5UvSmK)4bJvn)d|4yYIeh#URd!K1;*^66#h1H$aGJ-@4vzejrh-2^=dkZ>1B;qyBC zNRaKq8q-i+qThLNRrneRFixPoDxX1ni%UUvz!ooX1kh^D5O=bEqI8=@eFII7#vIF` zr2hp8J`s)i;iFHvB}Xw*q-vpYKfPek;K#@Ty^%=tqdaIC4*Z77@Ncid&y&#(XAHE0 zwcrjQA;Sos++kNdVJh4~gl~orHNR?MsB4HnJ842V7)gNK!v!s+YLe3<))>^m9Q8o* zeCz3Y{ren*(lL1ORJQyE@Ah~VIx}NNd_nvP#wQ-?cNXLJI;`N`%k}Z$`pzqXpk!_g zJpcAN8y(QGG~)Pv(@w0Xo4e0!S^D}bA<_WV&_;tC!{rGHgZPr|(z}0t_Ci+{eqD@~ zQMmt2;qn?|<~8UQ_ek?+3pg()l6wxtXsGksz=v z5SZ@F$oca9^6CCQvOOUrQcRg}83utWhMYPC90G5F;yJz$=WsxgN&~^c;KFnI5IXmD z8yR1^?uF?Sv6g8WF6%(ffGScjPfSQS{_u;Jul~z){I}1A-8}}!lF)4~5~KZa%@(hh zhtD(j`vv_65Rhz%kgtxOk5N`*M4q0wQ?mD>Z+?C}^KEH3A-hK4hX@eKb5NpXM&Jc} zje+&AHzf9hFQs`nMdo2JD+PjDi+%CeI|lY!TQ40_t5es&O1O_tZeFjTg5G{FkAECq zWgKM!>wWoj^`q*4eQZ^;!)(cnPl*^dP~7K`!R`^h=HvP3J6Avi19#7DJBuRb@VT{1 zL*EB$=K6V!rP~<$V)y0E(a#f(kl`CL_{DAfX{208-6`1H+mnx|Xshr|jQ!v`DaF32 zejPp9olG2u$H{#S);7(iZqU=;KkinKd<=Iat<0So!(4I7X9smZV3}1lP!J2+7M4OO z?AYpY%0QC~glAbTD!T1;wRh>Um_!O#YpK;FPt9ewu2|m+da+@syIm>L!LKO|pU9P3 z5h1KP0-FwL$Ebn>b}_;8M27;xl^baK0vaq$Ne|ReSjvJTcre8$D~M}RYW$e`1L>NB zzKhaD03sPX?{Im!t!yB16Guci(GKM#w&qzG*&gR)hn5pI%*$gSq1hHk3#%GOYq)B~ z7uefv2s2uU)miQwLOfSTWU(Dnd|pF@+!hmJV>RuFF~5u!%B#`{5S-rj{sVb4{=KUK z{ozQ0!w;+&g43GzQ=Biaj~CDzlx^857=*MEI{wX53Fm!wb|QsKp~ERH5OqQ zYT4T0pbSTWey#oxQ{BcIF`W@*C|yY-ha6;0u^#aGLPU9CztI0q0-c*ioVN&fUM>8? zd2hNAz|IqE0AVm(nZRo;hk2lmPs?9n9e3Z%=GVjC0vLTMAfTtZbgbbpT2o(u^&Z1e zK;7!kdV_oyIL$FNnTG<`R34_EOJz&22+6MEo8CDUN=Tsn*L5X-g<1)(6s~O-4m{qV z*0eJ{js<|c)r}rieKr_p+WtYduusE?h=9s0*^2?{tW;r$HwEdV$pHB$82T@mSBg;O zEXFKZEfT0N^zp`O>z0BkLO{zLCg|jY4DD|S-g1!qU^oy!I70;3|AqwF8iYsDz{W?W z2GL^H$Aej1jRG-kBozj&fx%&?1H$=zK!_`o2&vK&r%H`}cz2 zH$$4Q1e^K4=)gQ$juOf2e>kJNi|QM6>vsF~5DGHZ8KVAv*tf12GvQdjI(y#VKfP{0 zDi8Gm<@6i_CTzJpfXMQlhLPzrJEZwRfMwMo za-U`UGg)Ovx4JUU-Wk0c`kF(45=G~SLPkeMg(1$e5V-_uh(mWo9SgUy5FCk?g8v$G z$+yl#a$#HO^AjlrFY0wM?34Ks07(a;lmH0=*9amkf(1f73I+nH4U$g`4}t;oJwf!n zWP31m`69f3kGb~nmBSNe{YSK(&$19>EX78Dc|Fr7yy~MKoSmOGY2-3zG#F2>vfQt;314bxj7iHgKoe`}ZJd)^dnyxB#-C)jqCL9T7B@2GK=+LAf` zC%3m1>|GnJ4Tdh^FcRbJA>Ojzn?hdg2Q&mx$M-9FCu|y(Hk!YptCl)osN_bHrhOSd zqAu;na9`^5X$%p;W>qB@Q;qO%vP2JUXwimRphVKGV!LFZ2{Wo>du+SzqN9xW6_EJd zg0ar#Ll$pGtC!)On+Ac?<$fsWp1P!+N=_);fYGh;FRWhZXJ5D?Xx36jNp- z&Lq{q-U-z9nE$nZL_wyLXXYJ6oOTK>FUXiKg||aIH(Oq9Iz7weTUWBd``(5)1S~(( zyr^WumXna81#aL!ioP$U^6VTcTrQLSgD;Z0i(e z_Rp2-mfBFKiEZLK`+i~6=EUHtuca1e+eos6nQ1b=lC+%id!W_&?)ks;I zy^s$IGkVJ9*L`M;DzlSmtuf?MH7nRYrtc4veE1JMU=v$1% z45Z9Zp5B$YZzbU#Nh4sBU%^{?+We*!b)#CVyMP~sWe?v#Ht-m`zvd*?u;E@>p{s94 zT^k@tq zt%CGsVMcrDOcBi(6Rc$y;|!_1=z2U~Xfq%AnbjaOCF4ZZ#5?ys z9;nGS+&V^s`bbroCAbqm2nxyqjE89DFj5zt_EO!WzoIDPwI~~8qVCv1vy(TWS=Kt( z%MlkA^T?FG_VezP{~>G<%71wXzp?$LkpP`0a|*|*s`f=QLA!9iBWRK&bqQ0~o)*ip zeqGeQR#u5_%Fe6rURWzCRg}vWXJfJ8LOBc9te6sKt5@DH)dw@^5^+N=y^g0IVfJ|) z4Z}yj&U?LeN!oCI)r_d}ygy)Dzg<}Ws!|D}4z4dof)G2)aJVq*6)OlodcUiKv||Bo zs61)z5voY%4e!_0*3nD&+#_<)HPLuWDsS>N(L<1;EK5gh-E$MhI)WP;;t=P+BZ-=X zRKwIo9_$u@CGd7kv+X?7F?=M@`h=I3teA11o4RaHjJl&9%h{=LbV&a^;*a{swCTC1 z?YStsFvji^8}F8(OLyOqPcTq4*)vq7s9zda$Jox1SzVDclH?(N%rp;9t}`?5p`puk z-%&UGEOAq+8xYC~p5uWgBgr_f(kS!v#3Q)Lj1qXn2SIs*BgEXHP(kAn z(3YPX{#4cfS%pNtbS(o#V+my9M@)zi@Y%Nn?3g>Uih!*W*`N&vFl~;9MqiM0JF)RJjvlEzOiU7hLGyyvS${Sv zp?vAm)Xj&7(L~p0?6DX77v$%``3s{Pl1?1o2qwvR`%5JIw*%7=m`I!vE>N+%l&XLo zF`s?F?kKpLi(fs!WfW|@MH3n!vx)|dH(16b1i12x z41V@Fq{x1Tf!z!DO@oQFMq!TgKxv@rq)#;;sU5LKaA{bW<4^6R{cTkawu;&ZV_=T^ z&!joV_6sJ`i%Bh;e?2atyri0wMAmqJ)EWjAje|qO#iznP0HUO!iHHPr*W(K+38=S+ z6WFR)8iygWqBkjdLf-qgF8B3#@}ZV+gAziL-2_41rv$fsf8AE9T=ieCzaCz(={-Udd2Vr`VHI&!)$rnKUM)$l@G)7q9uuR4>-~VE3HLOwYR0Ixj8_-^Dj&!{g@{ znMTI5RVN0$rG1;tORsB^iWkitAnruWoHEUiI@`y;qZQ+XiZuiA%I8X?S$`aX3{XiA z=;>26J>*NiQfrp$M{3(Gfs--Wd(~xM;OmDK#L7Rf1xQo0MHTAEvQzk?)6>_OTJDg< z#&$rHlJsgT@w}*YH0|C#|Il&3{!CZ)#g)O_=UDmO24-`LB$Qz&niT|?AC7W4bTF^< zpu_d>>nQ*lXdQZ$t*+wyH+eB4BV@_w-@I9vrEf>v;D8gcRxY6!+!?A@}M-fom)tXkXP2KpTL zk;MwmQ1W-2_07%r28z&_OnTpOdQm9gm*Os)ey_#-w`^eJelWBkJ85AJi%AZ>iyV@S zdauC-EX2^mqCaJDTUCA^bPsbBe9GOE3JM>~JUTf2?Ga$Qz00pE=w)MU9wjl%mqDO; z;i*PUa(D9vZuI)bkmsXK*cfvee@Zmxafk7uyJ6M$*M(i5wpz6UO(sdYQh&PLYt!Q< zM^ury4m~)13@ZyBd1wkdp_KPn#~}O}fUx5Fu@vq};!&G%GVIESPrOYTgOMm&&jK05 zv%9?%u6Ms|LIB5u@k zKy1;S2G2MRy?hXa4<&fu5_Kkyz6WPUE|nTrtC23##x)j2NS^t#S?e zJDdpIzA_IKce>)P6KC@Poplgg+e>y6UU%ky&@lQI9g8>^iw>zrXU6stshajrc8{u4 zB+g8dHWkgx1ohghJ(bT}&q5p&SvKZSzcg79Nh3!=zK@?f{|L~69L=h|2Yhac-HVTo zi3_M~=kWZ9-j@umqgfz-{3-59Zy?DStPjAVt(-%P1(Fj>q3FXFLFGoB{zYBe&t7K=BMH52qCrL)C|D+J?A+h9mDjIWbT~|9pku*?y zz9ocjU}RZr!{>USO76-@8rw>SU3w(Q+7B&ixXU;&Bf_1u0!Grai~7kzVl2*oUWz0RtH0YPi^pD#w@*(`K0zIQH^*yZ!ZnmMh{ev3%A|o& z?*pC)%7%bUA8f)^-+CMuT9#`TSW3O}h|8eoHvdHIFeK#q=b}*`1@oBtXVVUpb2Ktq z=Y56-Q~KliT;#~J(uhd$v7Zc_UE-rYq7gf^;&uLq`>&}}svxA-E!#)Dl?(#Do3b%8 zor&Ev#xxE!GNB$uRU0d)Bjz5c03p7 zyWMi#a)@AI@y<^1?$=#Uvdv-(TxTMT4(q4!H42N>59eL@vu5>hk8}qvYDP-s$PtV) z{j7u<6EbQ)>qOD*Myb$<{lt|CLzxQ$_Q|t03-h7dElwnz3o@jNjpsrs>WJ#EQi{59xwn3+ZxSXVW2dCJDR>?(rBiX zpS#AGNUCJaL&6$Dj0NF{v7pFQ3zPoC_^s+-HVV%!aUyqFaI6se4hhfM9jX)0ENzWC zhHp5Jpv^1h6-DgvHi9yG$co_|@45Rcc#<(OcI8|VRELRnD170S`imDaslH%idrPkw z=fzL1t9kEjQ@Totii(wGDjPR&_`Z&>ndFQtE+V~58XHlnI6F)5w%PhVf*HTT1>gh%L#~PUKN&j}QtEH)D_o4@MGNFXhDb7Qq`9Fz=TqDhdzx@So$^)dUas1ZQ+TM|-{e=YAzluv zWd7u*S7BOge||$?f@(eV8dLs`DLC!o!p-twf9`nOL%onkb$K^QuLk3Sx{L&zI#wx) zXBs|)^bQRVgqIpljX8|Oq9nYYJmKe*loQTq53;0Dl(`U_#+afuif=SZ;lsW^mDq*76s z@XdWy6;aV(g6Pf8ZzaOz`vECEySwXLuIBl#PtM!xQA;IRJrtqZXF)CD}F@Ny6h_dHJkaG z@gAeaZRs=?%XqE3Q}pRX#*wfHMk8pxqbDF#bBWBkv9N_&>f62BVVr|>TxW6GVA0h- z5%~3N&$g%g&&6WUqj8I^LM^)w`fDVwtKU9)zE_wfx2d9)`kibl81`Ag!#}^DH+h9| z=MVuTzG0ySR|X|O?F%%3mlR>cc;~Ep*wv#%0-I5^HI$FV&z3`w9)!*J7Uh=gvkWwT z9!u_62EGeP`G%*bdFYo&7hn-+?oCv+u6W^&Mxr>@q~$qZ^x*@~-idSq{FkHd*YBa2 z^YUL)Uvxn&E@`uMc;i~rk4{d+`8%;7p*_MJ@bE9T=BEr$Y*rpHt8P}m3@8I6Y)7J{ zZoW~)f|#{PimQ?ZVlQ)D*z7{)z!Y|oNpM~gsKCC|#L}-)PueOlfTXMi8+do&O3je= zyiioN?jBTZ3zW<(1qD6}DKUheRe*Yh!^$$HjF{|w^II1sv|_LM!sXSpVAp(+5^b?1 z)tklig~|~i7cBs?ji(cKBy9_to>;$#Jytal=%mVeoV@6D9Qa|Dyzsvl0}|AMoAZAf zaozoQjXvlwt9O`&$-8U8_+Ei$j4HK^&On=Z7YU^xdt2Z|dMT*E7MPS<3c|Gm5)_q! zbnK+qE36@d!8%$ncm8mFeJuc#LPrAzr67U8Vko}p!C3gi6P;LG%tYD)7Q&Fp>5wMC zNSioypLiu1-#{sUCLX74jAA{BMee(TB?M%Rm0WVfA}4aCZ6@m)_NJEH_m71jmbubs z4MLFTS<*OlLj53waARxH%s`@@(XETpPE_lmm+8`t;}nB(eYNij#=tN3sOY*Q4|vDm zk}Bh7X;^;tc)BX;mhN0*yMh_Aog{Ry39j~Ms*oSnV_3wTuH2vY$1uujEC8&+k@M`5{(lxX0i-_MQnwhSrn*ozykBbLQXs#VGu!d9TJsrq3;O*ueEFRI+)b2 zD~dFoO6DgB5lW#Nn5)8|wTm4M@oN6~4Ki^`Q>LC#NrWFtfM=fKTADT4O3iVkQ&xJIE8%T zYdBFaY945_4tsMKu$^18dX?(G_GNELOzqUyV=^`Ho&Ql6lw5z@{f#77iLVnIAdPR* z5glHz+xD4u?0PpNyZIgdf~Pv?xS4N=ASidMgo~XzqSiw`psI|5tDZq{nU?)M#?&!puv?oHonz^WS>>>c?$Ztz7fBo_kqQ8Z#&kR6<-V>Y{RT0Z!}! zmFtjCL*}e4pXHZrqqek1$Q?qtTmKAh{H6?jGKC+Y4zT}&sg6Z0^PuVLShF>n?T*(G3}4C9xGidN2Tdts;R58Lj$FM0?^ucTd@b~;;>~V^ zF}6){2I1tfq1@z{7F>uZZmL)_lOBwW8jt@dzy-<8sQ6OyFWzvZF{^IBGGr&n9&~Qh zrxT1z!0KXXWkJ#ku%I6(p_a1si*8BkeU8-Y>ld9T(PxNfCNDOiR&K`eDoiYx_*IjN zrN*)7fSz!xHE17kVM{{oG2qr>e|JoP{5uP1pVyc5^DU^R6eW0u(a&35nLk@;f#n^u zymtr>L=FqPwWVW7JH^!GssXis*9yQ5g@+@J<+>f|>&6Y62eUipR#&Lm9Wtxvzhy3d zknIOIKq~O}sWbyU_7V?!=_B_I*PZrQ1N^<{h2-HT1&8(8Mwnjbq6vtq|s0 z+Lb~HVt>sZnM^DKvcGldF@NjkWd7EjBI<}hrb#?t8U5l6kU|n2&##~PMFgYjyDpq8 z5N^o`o=9mWaK*fEIyH1#bMSz64;5t<3sR?zAxmRvdM$}5yP(lwwd34MO;Si6Dc_SK z#zM?B9+udRqM;(=U=s4Fl&+mq+T-5+KAgBPJ$R}+1&igDa}@1S0}K^QCnupJkNg3a zv+P$=z6BD*h7J^h-lD<U|k0{7H5rrBYZWxs*aa z*(sGoc~(nlpDC0?H8o3VD;4muC^gxIVM>B4$_>ZC#WfQB%ch5H5H7qJU^w8`)K+TA z;;OzMb36ps^VtYCbp^eQTfpnswvkqG+7H1Zl=Z;C#E zbkFe@6MmLygVd>PK+nx_P ziO898D7l(O4^rHAlwjn1boCsfg`ay3vqdhZ}>~Qw6tn2L!Z@9Qj%Ebtz0m-GN_99 z9vpb1;+1LPQwlFG!iU`&Ox-b;y=&P9TRhx-;%nI&j2k9pM=@JP6sTS`KC=+LL6kbiD_95Fnxk_Y~NFAf)PfcvU`Ds8#6+Yd{! zl6FaKVG6_CT&h4U!S|nuwWtW4mA3?)wXYQ2$-=^gUMcGEWI9?}nykcub$?D~&xgCP zz^e>$kfX#B5yLVV!%`Zb=?q1vjBs}T_JF?(J@o?Fev>&@P%3o<-owO;+^ z6yynTitsaxm>gOF>@M@SjemIQq7~}Y&=4Umdb^1&OLY+UZ0*j>?x3S9C!uEV%OlyB z*ka7t2uCi*MT~#Td3c1v=en5NHzd6>Bk;$*?R-f0ClYjx(8@4^JHocpl8)B_{Q}wV zC*2FWrL4zqJiLR1#cN%!o-t8<;pMD@&{}4W0m|>&O`@Y8A3mE_=lOADjPgoZr_4T6 z93b;D<~$bS&^@8iFwu5hDetP;1FnA!5DKVB!cdT&k7>0CY*Fgf>alz>?7hW((e8{I z70?y*WYI}HeMK7?U;B~Jy;y4RrJ{8|kfFggJUO>qeV!M+^CYBSvzhYb+mA?e`ZPB< zEc|Wl+v78mJ}c=3vhzyp``;+yh^dRP?|qPI5Wfzh>r6&Blc1G6`_<=P{njEAkd zLF0W6TAT|^F^-9{hGV{ehJH@5ENxU+a)zWjk#CDNdr>}8)``=!{7l!+BN2vquK^yK z@=dTFjHgDJtL-!eBeEPzh5$4xn^KS;-1Q``D*|y!NCj z#IHN{FtkJ+I}}-T93btOu;azpNQ$T7S$&}8-EkSoALc;hA`jWPe&o=4P@nuR9Z#4M zjW^A(Y^8I{;jOV^8nX4Y=qg#LtmO*_RltSTi(v9%3fj&*B@HC*>B}!K77X6)8AVss zSCJF!pn>${QHBc{k!O&tTH3DIXR-!*6>T7-eZ4VzqL!{nNx9SgB_d}1o%&o`#_Z|U z+n+$#@e^w{rI9Lpq90kP;%m?MDF`@Z6`)!@VFp0u1!?+BGPh%cVNU~0b0qC&T9+;< zmqLmxR0{ZeM=c}EJW^|EHRw#9qkv6_Ea2`^Z2i)4j4X%XNnj=j+f6XQ|If3>-o&d- zvyA4VTa((8TzgzXY;)A8le&Q()-xN5k`8=DMuQ4TH%D3a$Rw_lfML(o@=?HBt7-bX~7_8%D{-V|np#aU+-goGn{9mqgf8qu#AjylhT@-+#}sMN=XgisDs;PwIKTym{(;w!U7pPM@on`{>6dfu z)9nw`Ce7G5~$1^TQ<9&jz03M&hw<9)y_?XwK^t{NX&sENT74J46 z0#T9=sU?4NXbP-zWdKTBekDB**;P9AufYm@X13;%}tmHw4B zv(6xT$ya9QH-l+q7i#F@l8_54wbSIdsttkKtPjk~xG(JdQ1^y)KBxtUZ6ihlDa2@? zQCl9GG-B<~WTA>o;SL;5*OEYBze`}o-6Qg=4BJFK=Fko)itNJkj*iaG^>wk@^n5l5 z@d2=IP5(>Y&3Sa)^OxqcaDDt5@(;}<^iFUn!7F2UwFvnV+spJdOH~ai{$b&k;3{|Q z;o{H1%HM(Vtqtw_?A49^@@gcp*V^#icPBNAqnns-ary3DkZJh4aKanHk8T>4qJuhC zp7MuYEUXeWXNo-izK7N3b1!di{(iiAy!(j%Ff&xvw|XU=xnmJW)IKqml!g8K+>vX{ zn}dt`2|GU?+4B6cMf65vvA3|~<74uxw|x;7C}zx9v6XQo^u79Abg}3NsTJ~49)$Ey z#paG}#bgpV@U9WQ!)D#L4=qB?*wz3&f3Ajo?`@`pyw`~=k3EI*)!4XBMrNvtoMWrW zHs!34|7ZHvFwtv8Sy&z$eFFscijBSqf)SPI%azkp7}!8Pp;&54#$;ECSoT)nEvqN3 z>m=!=b7bo)Cua+c-+rxQ?J?haYGs-<%KA1hssc{&Syn_ggJcKwWtLf9CkM(+9o4iN znyUAF3RXaF_>>|j>;B@g;y}hfX;X1Z$4{p~VTwc#Q*vEHSa|tv+2R>$|Eo-b-dJLk zTn}{=c}#|2gjk~|id~@nO~Z%DlcJ1hHgbrB~Bex94F zrNUUVl-5Brw6OP4dPW2Lr~K{?!?(M;p)b6yCp)NeF63bu;-OGDg%|=7PFia|Xy#2$ z9(jHfKSFc_{t`3W#nNK=D9rSZL(rPtiWRn~kHNvNU&_qy0E-t2$~GI*5T4e_CtAf2 zo(@L{mhf~FLU4qII03>L#1c%r`gUX)aKisL;rlQ6&qYZH1^-#eRqlJ6X5{^jvUNw@ z^P(4l`q$@~#k|puy*k9&ooqT>GyL}tuo~os?L5@tMt>&_oujup8Dq#*e{s;8YvmHRksbgEyfwELC=wIUBMycJ-6Zm*{k6Tva*A@DHi3p=x9v^u;%*qq5^?sOF<$u1?ijDr0Dq zio{NU)DN9VB)GPFbA6QxEki>gbNhlODl%k^#%^xC@-o-Z3MEZ*(l&Zm`MB>0;pik8Ad*Uz zEjpMofVwG~g_+xBo*2bO1)TnkxUh-NPvcE1b~910&p6bt8NP5@6)l)}T#qIP+*=1=M%}BW0%4tGdz=C<{Hdx0_=M93MY;pcK z@|QpO`TWx;-T%L9G{Q=ZSWR52xQzvpnFX?~{>D=64ReXSm(zkZ@rC;!Smn}x&_@jZ zaF~V`jFlfus>4fX(Nn?F-*q=%Q|+tLlRpfqTVdNCPD`i_CW@8WD1ndvvG?}C!u@sig2t|@jm z@=JHQM$tO?F)JCF>xIaz-LsX8)_?>M8}hffOoeW|yJ*oLTgkOOtV3rPxY0;*q}8W| z5Ynrk)eGVM7w@yQLQp@dxVot_(tdIE-k#fb0SH|-v?98+m+C|gO~m;Dst*DG{)sbM zp_B5y5DR&_3`G8o-N=L#rKs8Y_H%HC0TWh%)*i^%6OUVNHs4Xn&5(k=lR+>GZ`v1e z`6!7cm0XIbwku8?JI1OpF-c@m(uO*|u8*O%03xV5Dh>r*V&HK#k>YrgM?t_^= z3;6WvyY>d>o9Au;<;Z!}TxT*1^P8f2rHD7bi4I_L|7bj+`6q=4~Mf<5;kN^c(oDT1Z|LmGNZ=l?z5pMC*Zxoi@KS+#G z4UBN2K>VU@4AgyC6s@wDA-=N1%4!!nIF+tpC)dO#0~;FTy@V7RN@4>GOynD|>_e6= zaX3XV`Dc#MLgWxwK<<_m7|mC*qV0QM#Tz?4O(b!u{<6LF8POIJG^NbFX6T0c)rv02+V4tP z^-W{sk?^OFyR{t>Q~h~*0 z>J*2Xj}4CYM|P%SOS`lFY&dfznz^aej`keIU1c(b(XonM1!L3hhQA;z(b(Y|| zM$<=Vg)^GYy@>H|-e*S1M-pAx!Of00RO?3_>dN)M^1fP5)6g+J;r{{uxbU7SPThYd zRU$6CpyWsc{3r4oLR%+XAr5Bgs!Q6M!7D(J$7Ojle56fL1mnv$XIw+jg>^~je*sC0+QlCB3ZK4IyKfNw|vtkv2)*(+r&xg{K`jCAtm<}@;E z+tuZ7;$YI&QQ9<9Ld6gJ6X0**a(L5CU8@s1_qWFo#xM~Qm^lhcE)Y_O3I2!p<^Mzc zTK`hL;@}uQBD$A<>$v|RexLp!ek+JNM%o@aE2-#Od0ucbos1>YwWJ(<8hmWiSQBOr z#j(bVOq0_7?8zm9HdGQjYc_$2)=mU7FUN@!AD-F|#fz&h@}bA6l_1^*0rY7Vt2LQT zGqJ!y=!Kcli(P+#pNC0=sThRdf5ZBuy3my0gHU@mNE5N*hkETwSsxH_VP?fQ zDyat`qyx9KOA2kf_LDly6hDnIMNFUucxyUc1OkicE3-hjkWcgSITe|aldzRU!kOp6 zqAe1{S_JuTXrs%8A+NT$-SaQKnOQ+`)-(mO}vb@7NuM(h*nr7*!GEHht)Fp8^Yn2 zpzsA1TLcd_(O(~rTF5IR`r*jzxY-@8lu%|z(YHs@fcCGYFBTO7)DWoJVM;TkLfBgK z%y5U1xrgg!5V();yDeiRJCy|$NSZXp8u2wq8VS-qLrFq_M^ZE>vi_Qz>0v<6UBrfy zt5`ESzws*p)-mz6Z4DQtY_H3i*)t3()w1UT9<_C$D%1|Ark31>{}=TA{~7e9{~v-r z{@DKu`u-0)xnCqx-R5ZkozH{ zXURr31v&04^m_9cubrJ0CEwX_$k~3hbA`@A&aJ|KkduKdcX9Hfa zqJ|->+bWOrX?)#dE^&DwmR+;X=sXJWebYsnJ;y;GMnnN#8NCY_ZQ(ZYkiA}(|HCo7 zd4BR_e~c|X5gBRqJ^p(TyxG5+U!EO?`=WUW<1+IlEk5p>Rb8ce1EwpowTq{W*nQFD z0S5%`t7lvKtz6wyMVYn5E5(=+1=3Ln20#r{h&u?H8M!^w=)K#fkev3pB2NRW3Zfy37Vy zI{S++K-@{q~ViP{^BW8<+|G}$N#{u zjEf(bex+m_5SVu1gEJx-V173@9VEQgwMuRBq4w>~W+2U9w)bC9-&&mjjY;=Q;p;J4Uj*OAz?}xSFqIi6iRDcXW+rFb-U!Gqs7l(l{~bY^`GzGcXcyxL0jVxNbHa*xfi?wM z3Tsh^BgLEC!Pg}BXaoZv#oCkv>Y>X$PO60tuM$5U;AYe7sfZ&J#*@YB1JUV8W#OfG zvW!_LIS418l^LS3QGM12knPu6dqeP z+Rcf>!iLrvLV7U-S_a< z#!=iKZSoww)J5$h{U)1(7dg~$+4j2FmG(0GlhNjzS4`ThO7AN;^lMi32 zDhG({(Hmj;`h4)R2|Kr&rpFibA|pMG=;%av^Sbj+Cx%{ah57jSsq$xZSiiY^Pi2y4 zf~O$Iu|uEn;iLBYI?dTcf71tiD!3WQ`T+-sVRIcIEdDx6;Xo-+{B_NO&SMp#qln{| zs*j~B$tOqI`Ar+?bt&bv<`xuq+q?|F+^0$^4O8>>d_h0zlzu;dF#}9z$yOat4hnyvhd<5-lF@Pr|Uz<($ z*(XmhH)H8(sNYm;!{rw?j_A8E#^QtU5>M5TIJUQc3|~)|FAW{!L#(o!$LVW~akoTN z*`!!A^n9s$fCbpRBC=+hM;AlR%?h0ew#9q`^)JfFbLS6+Ou>uSDyFV< z&A46N!6t8eqC#0W54KUF2<~U75@YEY3gS4b00n3l^t3dk(E`IpC{!w_za_pMuwd+k-ubU)$jLU zfd{a_e``W3m9VNQ^5)TE3X1}&UB2!C%jons!!QTFEqSKC#46nh)cRS*AEbPa>-3|3 zm4c|FBgo(PVL9ge3t58KF8#wb4sOOjP>p+P1~8Sc-jDls3L`IC46WD<1w`dMrmRoS z1_%AwuKRP_=`@>cYH$vGk955IbWwe|i?4XX=Br!hr;C9xhkaz6Td1cg-sy< zeP~Bgu+sXOEBC_ka-f|b5ey^5(-4a7J=?#cghr-qDWzQ{5EVnq1NN9>EzR%mG0*~J z^M{-2YQg5C_hlS6UoNTwwh^R6=`mTq-d+oqWy#^<7>$fD#l=i-Z3`>1i$Q#|%`d?6 zv&2w774QQeic%BWx(+zZ6QIT)-l{r(DdAcdQc8aC9~Qa;Tf7iA3Z=Jw3UZJP9Pmad z2xa1Mg%nTH39H%<<~>hn#6sewtF%|H$Ibu3l6>!B|n7;NnEEc)4 z6trgttg9^rq48D}g3x(cVIVTziW-oX9T2>#xzX$8@v|BWl?#HDEsfMmVXk2VRc=Om zbqQYLfPW-<@W-3pmI`)=?MT}Y_Q(6j)@NZeiGY0wTOVv#G!kI#4igoKBsX`5f&U6= zsd#Y|@j7r!mSZ``68#5vr*+U4>!tq~*K4m_w#8eh# zK6k!BsXRl_qXZV}^3iutlnbzeH52IGNJDVkae$4Oh4y}rm%UO~;~|O>4U#8is@>oc zXaI=)-nG<%Vr`xCB1|<$+UJ{4Kb1;oGslRnRqS=zhJ!F<&k?KINgWNrJKbU{n_H;C z`~w4)zKNTJsgLiN1Oi;L9FMwSR=^lHoorEm1d zaL^9L1xk#u{Pa58Y7QW^UMVcGWM(x=-PU@!K-MwFeH_qo`g2gslRd67TiZcug&pT$&~Ie+gWs0wx3iY;VJa&T-yNws@-7cN$MLfBswi)ssas~q9l>lyR->*0&kM;K^d(HkS$ z@ZCS$&v?+ZGZrQ@#|T6)KK6=1Q;k^od-A@H*Mq)6I7%tp>=yqv^kFQUhB;1YGgn^@ z)(TSf9eVz+hCYOcudgc@m&fD);qF5e5E!sm@Ex<{c8ejq#(%o`*5B1ZT%I9b%qY2N zA(h?_%0?@Rgw0$NJJW}YA%$IC6Tc3LXre+%LYVtB`wX1`{zxotc3H!Pi@wn{9xmgw zbCqTHikJ&Hz2gY?riV)o_|{KaQq)o{%C(?Ui5e0yC zG7C{;U&SvrH3s`MmEAwX2*n1ci~X3dV~>-kGX34yfO5;8!~pX_AfyxbLX`!|6-GRb zocSk2erVOl`Or~#%ZSLGOCd!8#-ViR40@I?j`AJprU0=(WI~33L?Bla@?lXGt~$+o z$KZ!n2h=&XV2ni_8*f5W?BG|~4(0R2xQO*uzrI4l;;@gSd<7z_$jN>X6c2h&l`A8j zJz^(UKH1Wof7+q?YbrXBL%psBo%OaUIFag;SiC&$Ow?=6zWWit=bZd>y2yC31S?6Q z_|*2@X%n>05y|$o9Duy%5trn`V_(geeI2q@@9DM})whktmU13|Li_diTYmP6dFX+} z9yh%=6LCY*mlfA-Y$EP>tUj|fDJGP-DYzbVZ^`w!`>aU*!!sd|Sr zfXSQ=HDPX3#o+3gw2GjP^_-qj z2KhUJUCG$4*Dm6-HSZ*;TY80_HgSl{+cqQ`K3X z3d@q@{U>7q_KJ_VF^5)tg?>x5;oqEiI)mP~B%EhMR$m7xU6J#Z5&eGLyIvjar0(;M zA)1|RU`iv2VX<*eGbJI4jklm{Iip#8JW5Ro>dts^{3QLp`>am%Mpo#nL`o43 zsA-ep>Chs)%Idcu09)Ov#1Xuz|Hc@|vvDH)OmR2j@*?^}n@rHoZTBiBMA_E}6LJv1 z4IK?;&f$c9uMD<(5RaW4^1#55W4=5@R=`J0m(4eI5XE4J1(Sv;}qaWYp0Zw0Z2+-%j?N^t98&6AP6ML*n$ z_H>A&#`UtJ_!yxhFX>IrSjtgD@wAl59hCIo8z8p4zIp9&XL|RdcVQaD_NSg>LS%nR z##?Mo_kjAkG{%_Hog~^xgSK5J!~#FtkJi#4v4%azvhUh4M; z4Bu1vuSz`BeL6_mV?*7%jk5+*@)!&ZJy`~vt}-r(TRsx57%930vPgQ80o2r28GDJw zJ`yT_B#iw?i1U%~_~oTvNBWjq!UUPtF=uIOd-~Rcd306`ixX!FIj5UL2XptfOs?^B z2E;a=%vacW=fEea>|ceqI|m*~WwSE5s)(BZC|t?JkCU~Do4ovCuCf>NIS27Cx`uCl zx=p@4eTpIELo{kU_uw~Bh#u-2U8Q-Qy8FO1v+@g)xjbqc>rFO`^E%1;R}|l@T5(1Y z-S}^&nO|smjwwcp77xG7GxL~7chh2Q-xr<;OukjVA__FS9UtI}aj43Dle&BBmYg-J zP|eBI)}Cz{Bs3BrG~yvN;v+QTA~d2FD>{2kHv21VZn4Updt6OEZaf%KgnV%j=t|#Z z;>$L`KotA;`PhCSdf8|&P(~Ed^2YtXAZqU&(sl;;^hGN9EIgB=^YvF1h}op0%yr7A z8rMF)Ynf{oSY_l%5M}`haVcl6y-bp+Wm6%AHHUgJ^rFmoO;tnmC64jFrvk8JS0%rkG$Acf zH;VYN2YX_kTz-XWdQZKqVHf<5g7)9S;Q#!Wwq&j0#_@D8p_=J?xcjYsw)0CRAoF(~8uG}pOK&WXP(a860P`!=w_7Jc-+Q;m zAC->lD|x3~f$*sQ%ln_dPcVNkXRH`V7jrt ztIZ!Sch^6k#CEGB7Kf+;URdeUI)pY$nY>obI~(QlA0~S#PplgWF{_g&o>vWh>9;v+ zPV{~HF}+3h(bwX$org`?1@NIuUHojlt7dbBf{Pj9GoM4UAIYnsaf}Bh3VrWo%O^R0 zJX2dIoqyl?DEIyAqsHIBnXbwLT{Tbg2V}Zr#HYsC97<%1M z4kGHYC|?a4PwyWV&sz|dyY-S=;u~=!0Ty*ZKH$l4>cZ?&Hb~I0Tkxx;$=RGQ!7a;- ztP~}{(T1j@kuRWNCE1A%EAM?vai#osIl}k@KF8j=f`}Qse4SJd*2dIf60V$_uIC$5 zp9*y2Dc{-bnX-hPy+f_nt|7?*CgS#H_+V_X^P(EIeMU@?@xfs@4pPnF#*owrv5wkr zk3%q`UfEAFMds~bx$;7fQvcYsXp@;HxkqglOvOEQw)ePm(I%)(j_}5-#O}+x*=gU+ z5KNvoW0|Fzw!yWTX*7}7CD5Kyym|k!DtQr3T?u>CHH(*ZLfIOaUt|l^O_KRfn((K+ zrC-D)@yX0kDL~ef6hW&Be8(Jm3n7DZ!dicpqX*s%?o_LMZ?c{)!>^u6y@* z^V$BflcM2SY$}K8LU6>viT(AgfLo(Z(3-4MWH=vJ(BO;In&_rKzDgqVziFEKf(D75 zNYtLKytcWBCTkY8bvQEp%KfngQte^a&BfwK)g4JH{kjk*~OjGB}_lYW`&EL}`Uhf`a1sZPmPyE`?K3Tk-^%Yybpvq^IT7afm$@}XYPR;Dj&!2N* zXU=9=KgfMHv;zNOQ$Ah%v!uQo%;nPc6vn__m+SeocWkC%D{Nlhlq0ftu^m{K?>&As zlG|`|?I9?d+nw9Ri9_2z4QqpyZO>8~r`q2K{9c{{N|~rENVWz#EMOn@@FsqEt_;7@~GVB_< z)&s>~YSQ);V8)BND4HG|p&Jpyr|q;9BxF_t9{D{#{LE}Wp_rR<3@Rvf(sEQ;E&Y+% zuCmGRnRRNs zqx^B7R_{C0EcNn5GItj>jgc?oF?mwGeU?+~aA|5pa8qzI{uA}%3g~iyEX+G@ z$6HpsMC4bqm+m&_Tc-lqz2v(0c}wiK6U0W%j(`a>S2yh$TDerbOGZ>$j_jX&E%;z3 z`pbJ{0aAZd&3p-^cJw+#mlEeX}?@|f>4`1&9T}jjZ3&)r^nb@{% zn-kl%?PP+9?PMmlZQFJxw(WcJyno*Je&1TVej8m?>zv+Q-PP4qyNp2M1IB=}i7_*A zmMh5Z(OwjfN3r#xJEBSmOu#>L8s@xInIYRAT$dE5*!Y-@K3fUL;jC>Vo%*t{pZ!+5 z$smf!8KL%_%+T+ zh$Y#UtUi3Y652-G9Q02V>%`qQD6GGL(Q*2t$tv3w_BLUEVTdRl@p)k(tqWggE1H>R z--3))bGikb8gqq(Lm?~eWPRa4T4TfRz}^)g6!`1$0xS|G5=bl)NrBvGNuo6wn#_1A z2UCqn?vO~mfvGr7hr-*|_n|^M+!-Sxu8LnTV4_(Ier426CGDs&Hl7y885T30X3Vsj zFQ%IH&MzM@A{~d}pvaQ-%FL$?Gp=?C!=|O1?hJ^8hvSTmi9A(>8|cz! zA{5!V))LW^pEKe&4nzB{=X|K*jz3*Hx4xR1(S=}cX*Y^<;lPn|^>+Ny3(@(MK1^|F z_eVg#(!s}n(KGCVML%J`>+1K_Ks(g&KJqJhue~adnL@vk-q-tE<#E#XMCaS7wfj!r zp!cF@xmMGHxBjWPX1#*P^YsZX#s~0jrf@@Rt&7@58Z`Uojd&wJWA@KDH1255G@}M$ zYw=X^31~+2^fm~Ab2AVWu3o>WXF3kFPW_T?-*4v`-8PS)Sy8V9IO(I@4%a-Wf{2IJ zbJ9e*RV(%a1upL?+72}F^)LrYa9e`2LjSIg3=nq22SwQCfa)*FRp~E8ukE+>lV#Ze zE!;Lr`!XZ;0nzNjSIss`x|?~H>aM%rvJPI9JrjV1>VT!|I$sl6zt*k;RNh6} zM6ofZo1J+z-Wh`-Q>`~dueQ|?wFhmj^jto6%i{g1S!lp2sd)zr*0BL`uMzIaCtkC* zcWQ!;%m_viBlBivT3?T&xog$%NTj=~(QpA^hlWKW-2qD7D22|EU0pAQ4g=iUW?ZB? zN1XS>h+1a*;T~uDW0CQuTO~Sv+rM5^xY~nUtxU42elRDoSc1$7KI%AMj=-kiR)!mqpidPC6D8xjw~$of1!XtJ~PD$_wHi?pLn~1?zp_lpVPBg%JwIG{s2gRo<1actY<{mVgS?&E zNI8AGZG3B`?5Z5AD!Z}L^PSjVQudA7UsCX0AGH zA+AedH_Z-K)H_hy{BNb~)@WW!|M&3)~!$Nc~N7eqrVqt_|R#6v0?J?Bs z^zm-YnDCwH`H3U)dC9J8;&};}C86hT?50pJnf-nB28;H+*7-PP-^T~@rs~dAI>lF` z_0CEfay7YcW{|$=dFik$Df0${^?h`HOR9`-Rj+v0&T3+oeje@U0p>{D0^gUA-nYQ{ zn6^oePmbal)Z+@<;|k`B6vThGY+4}@RK5Ph(UQKRUg#2S!YP52itZhlpS(+MAgwBR zoUMvVCdt1lzUw}<61;}tyrLc%U5z;ryM#@5gur|!@i{SmhMv6R6U(F zI!50+aM>4nNwQ3Rs!3EF123j1xZ-~ovVrN+mA09sPi$X+=!)m5a*^mFC@QEtULuPr z`LKfXqrQ~xiX~3*%J3uI%;zcbvGSnjzhE@eF3=O}_1F`wxa{Jg|K|SQMc77Kb53E$ zA3}edl2Ubmr}u$*zYkeh%j=8L!}81&vxonBKeX_&>-laV9(~nU8jK%wF1JgK=KM(upJffvh-+S#jqvbz3=^Q{`C?a81c5Pwnr$sp?&{$j~LtUzg|^8Eb*X<{93 zX8arpZsm*36jmNC4NYxD7xmrT4)qDYc-Z_pyn0DZnz^B-u8?`9s8^eA)YHIZ?fOj= zM~sk7200ybWBRsgE?LsJZ#t0bhx;wv*QP!nOvxg`U!{y5yEZ#8U{=ANC%qBZG8-$j!3PW=%ttI8GL||D26@0*^Gpov}{B!!RZx~Ju3_DT{ip)v{b`hxhXsa)4 z*5ih_%3Y^-PWRX-Mp(oJv=+bj8eui+5{Kp?Tcp}XzguK0%1P)OKCAAj+^@kCRd(4a z1~oO=P1`)UXa2ojHuA6x`Sad2`B`=Ii5i-+m+@RO`^ospt~CFVp#joe5-A2G^58GQ4kUK@k3F#^L?M?TcwMsiP=Wm+1aPv8AR5 zAC;fPKB-1o_z za>)?Zk!rG@UJ!m&I(TaI(1t4mT5ok2{KekT`w63xo0kIzpIa!=qs4`A)x~;S+3Z=H z)9qTJ4b^LtbCoLhvDcf`G98@?t4h=b!^l19|eWWb$I zlTB~*K<}C_g(r%&t8umw$Aiz@_mA(SJeZ5#V!JPHB+i;=OL_GY>Jy+~?5S4cLYvkM z@lc~9-3R!klf>oPW^G4H;(_YM73VzfO%X3a#**o+th?XOM{8xi9NA>4>;=8Oc8Qgy z`VXnuE2od7iPOKlNRkkv8EH)xw?rzAjSuEiW`=W}=SLF{eocVUKwhFZ=KCDifZ5Lp z<{D2bEc)OMgBg;sARm6dLd;{ns#mkQpK8bWXnkuqmy0>JY3GZTww$eco6S5e3yoTT zLk8Gy_R5`J*bDpyL)V#D>OCs7uG>tTxtdL!2jYBOMXaZ=Lrab8q}kL)LZWzDjFpnC z*N5|@*;GdgquRM78xQxyk6RJCsfXZp4{waubw19mJOrR;Bf8r`$Lj>VVNX|G-jhOQ z#nR;enzch&{H-it+!EZ+S$@NSmksJ{Z<)8#NunEHQ!T$hg5APn)NK@xOgTpqYULGz zZ4!q%eh8CW!!uB|jcHo6Qeh>?Twu^ZM+5fbr2@yvTUezXi9>4xI3+u6SUV?`gc)dIQ}w^T#n6 z=zIogT=+NuUiOB_@cYVj`Z0lC{S3)ACA>uq$la9mbO57obu(%v!1L{W;iK`_mg>WJ zO!YH18-MSC*76&25_@mO_1u%Z9dv{oO9ew1Cj#42?}4rQ(z})DkLA{6gb42)4t4Yo zt1hFNcFNT~C#a+>BPtZfZB%t1;4(Y?b4~*OczJ>f=P{-&PT<*Ebq`qN7yNyc=E>W* zXRBFyt;2ndsYTo8!(`;u8r{z-pr_m0Y=72_aKr4nG5Xu}y}+@m3J8URh9V%@^-b#` z-OV#F5tnZ}OX9&@d_-rx@3)Qs&T?Gn?C^5DRI(?7e*R9+m*l;zRIi7R)cPH8upIgA zB`kJ!-;CiFU$1f;ZUBL8Rqlulv^nD<0aZ2+|Nf`eQp5i)1=0% z)%?=0W?)mx?eitN`V#&59@hZ!;#JhwNBn83uG>fHE9;R^)kkf6*Sp8q>&e*I^|@~v zQIRv4hX>%Z)PpBaR~DZh=>CTGt(be4m$&eq|BHj!@%i=j)7_nEAPOZ1v3a7CcP)z4 zgMItwhw>*~$trs}>6sELUWH9g=u-W{YHFF@Z)>zE&1=MgYvi0>`K7&wvj*J95b76Y#GRfUk%_ld6lEr3V zAY^n_ccxyA<$R*Z24#)mna8#ZqHUV(9jE4JCLK-ZNWJSB64iI=V%lHN z=Hn(=fHgLqZBw^%?~3=KZRnw}sI`l2X%!$gR(i~O;F={%E%RfA;`D|`5c2J&fF)Rp zn)rxBlF+Cq;pWjuYx*<+s$jq22WrDbaU%wb3ze--#-MkJ;~BpzHfVDsOJsh_K>BpZ z8UwYdHirm5AU}Ltobaq1^pT}WS`AAIEDIM-XGABQ%zbe4R$5fJlGP9n5M26)_pqD5 znS4uRR0v^FvQ1=<;DrZKKDv6o^89dkyxGXrn!vR_(KmtwL~*DbaLsCENiCBOYWWu{ zU_I6(%l5Rz));Joi4xaHewzd_yJl8Z)6TS#MBN(}CXxue56(WC#s~vCuEIkf#moE%`G=6FRW;jszs$#5_W;x)Lfp&AM zi#MjO3*+uX(W@sbxmZ@wOA)Vw-WCs-oN)4j#z7M74<^`4YXi2F*V^;Z$gxbG{af3PBin)ZW6Fo)8>NtS9#B%&kwhrxDD3NhNs+-puUOGDvV2tnXG5eSG%vKGuAp7Rz5M*+Vz4@A zOFClI`Hz6V&ZA-Xr*mu2H91O-U`|X+!*mM2FuqF}xcupV{M#4NSeYW+i-|3Ve~`s4m$*Zzg4%SV&InA)Xj)Y5I8kvy`#DXc)pfV?imZXIB+{Ca{2OS;%%S_q-qlNL3zm5~V$k58L;%y`iv} z4_xj?!p!`x3=Q*Y^&e9yR_`liqa=54q^Bgx$-dRcV_ z)(S=SJ$>ghlsfd=6z)2Sg%!IQ?_40a%Gq^mC~U&-u0gAuM#`N|gO43g@aV=I3dej< zv@&Nov**%)4dj(_E3+loy^l8GOYvQKPNJFvtV`d=57IW7w8l>D0vE7#4RV5Q>-2qR zqHnExDi`m!>|Q3l!|&OEJu@IXWC4rdg&*BLE4^=7TW~n;5*7D|#tQwV)1n1cBB{BN z`1a*5Fd3(wOdvg-lga7b&u^M=iyOA*{rGLOQkd&uO3+ZoZp)j~(FxeK=<9y6x~+qY zuY*JCUvn!B|L6b}3gwiAh#-A;05&`AmPfIK z^jPSs9rf*mgM!<3BAS`S54Az?6tPr|X(eFo+x}P{`qob8sB>KfRufVYdQnORr@+ZUbN^7!MK$X+bEYC*eZV2#eFAb!WAZjT zK(F$~G)KGJTRTOM@?JYj+wfM)Mica2yFy?0o;yIl`(BHGk3#pels_mZ|Gv2SXQGve z=9co*=Hr2n&8EhIE`G}Bd7Yl7S(94&;jChdP~T0h2Q19+!OXsBM*Um*eQNJ8!}|A!tNNmr`#?7&TlJ{_(bP|qju(;Pg-)rXUos39LN3a z0DdnPFYW~mTJMosj@eRYYe}6f{)Hlydq*E`a4p)4ft#GUnVnpQ#)Ov0)`kMUORX%3 z2O^OTtj_^))dV5xMxN^p5iISa@B{g3)#z;Hb#U^r9G7b5H-H7>QKVd?XLHgC`GzHokL z4Q@1zSe^r+VsEyeH1xV$&eBtGYs5rXKkM3S zvTqV9=aK~%>G%iGu5C5^oi=)>meJ~L9KTBySIU}H5VvP4@8A7ZP$M^37+Hyk_83Y( z!SO1&`x{EO4UfG%e938L)qwI(bVl7S&Uv$P1gXkz z{_Wp-1@0y~cse#-^f_SVFuew5DS+@T76Yb;5N?ieEsaali$4L;d)=Fq7S0844{DU$ z5Br(fz^N~iS_(W`*3dB6f|$)-+f zk6DWvD^oOqBsJM}@K{BzTvmi-CEu9-s$fINQwW-qM7*SWX^lcq*||;{EwHw`b(@Fk04D-fw5#&~d{K z4pf`J@x1h}BYcA|4QT~7KQ@M*3Je}6mKN_quOez-Q6Yr&)@~JUh|Lz;^gO=@N26!L zmfy8m*1K;cH^tE8smks^PNXw8&1c==bNV4#m%SldCdU2wLlN2gVh;V5-TO02l`r*l zPU^i@&_{p87S$cOE3e;MxL#M%k$lq2q2E!WMT6`TUqkyX*GpBlz`f6@J4&O^`>|mc z9IWmhw)5=jD9^H9;VBdJ;Yz(Pma0WVk)BpSw1i?S(yP@{Rc>v4m+SjSTAS}0{8l3| zDSO4D*dn)foGQuG`ESu3ipPDT7G#O8ee`0CHfv(W>0ydLOD;3KGOcTpkD_JLejjdU zw=E`R>(o}&ek;DZDnpi2jPhKke_tA=9qaRh9n__H9rHkv{p92&RbnS&5@9(ZFP)!et*?6u-v`y8Z0~3{&@CroyAc@ejx5-7B*fHTv#xy z_pUwM!BiGdh~E@GuHu>jPOW&nYMMj4P_(J+l$cAzjqPI$Q+0G~dO5Wp@jbp`V{DC8 zUifytF!RV%xi*`vi*se%Xicv|_%^3~YRHv|Nz?1@f)U>MnZ=_InYL;{&zx9HL7~;$8%KANyVp%m*3iLfevAp6`Je9TV=)?yvX&v zQ4m+0!&-oC-Lws9t<7)+o`Lvq2e<9R^WlTb2e-gf2!G4-Av-?34aCcYco!!I8}a9k zsln>mYs&;rCzATY1ocJE>RDM^sqDq$$(wHW*6FQRKs2lOmXn3`^{3xT+I^!~NsAx1 zAD_>s-_Z3Kk5OtR&>7-W5$)&-`Fb8m!ZU+5E7?|yzWu^CSUTN+9#)#_4%uwjwsS%C zEPDy|Nlz7{*6mlhzQ?SPOK!L=ty7N1@dI9&PNyDY9WGWz@Zmy%) z<~sijrIgG)lxU%^@L4;;&`c~KCtPYXXrQ0nQCXi|naq3lWY=~lKa6-AU1sriIzMsV zz5H3~%x=G1`)!iDgutHVcENSIe(ctJx0HHdCZDrXUcji40-j)l@mrIW?73FGzmB$7 z?QCe<`Qy#u@%`};xKmOHf7}A`xP!=*XKZ}LUJ3lBtXRSIRfLP~Gt5}m+!3Mn#4XtJ zM}DhtB^Rz^!B#lwpF!8wbVtj9((En=hBXzVS~8fzfxFheq*HjcN>(*A%=qQyA!iTX z&Q!Qc#*K#zxwRS546x6x+l||%rlH-rYyYgibl=bSU4&cL1s3ideagq5o_yY!Q!#eg zch@0#hqM+t_ywISx;L_A<8dLFc;nK z0YMc;c&9Erws_WD%2D&sgFY^au;}`ocsx>@*!;tQ|0@Ap8l-@Op^fq+vs3jh~mP0WInyDy5 zjcn`>e(w%1AKrGBx2bxu0NQ6P@J1dloF=eVHx|cui>MvxI#G$Bj))|Pv2R7+u)a-x zXZ?N_!1A3fan2W%xX!@@@8xP$>>%D^#B=Hal04_mMPG9|eo$;EK2!h`^rwZaR~J=^ zg;gH&xveuBHpY8nHeeJlcRo%LQYuPYU-J(5Rm_a zt|KAj&i>Jp%Nr+r!4kSVifFC3r9~E1OPYsAHr(${^F^N<8GYwTe_Dt+ z7usExS&3QpaPe8D158>x3k93dhLmFV@!vU_r_+x<2RS%GV-QCHd+cObNX2qX9D&~} zPb|mOLEgk9X3j@VJyTA@g2_e% z6pKHub?4%uSYA04t#Nlz-dfD{rG9nYBX-d&*5Hs>RRNgqku-`!g=z9}Wc#vShq863 zvffU{%{Fo(SY;}))|qs_`+!n%ti!DcB6v{kGQ6oO42pDw_Qh~G?MsB}W)C{XEaWy* z=~!H9d4Ee7nFK#Z9r#Dro2H9K2~XC4UOJ{H@E1m~HfD`Wd?Ec-cqZD-WPap~_GBE| zO>|@2Hs^FI`S1RR!{0Q~Xsi6`y^;0m2y;H!JAVx(X=7YDfSMj$(AGqMF zl_SxtdIy0Lm~ehW*Q-M$@T{r+0_2k!oEjh0=ycqeF??t61))u3T!E0!|M^lNEFFM0 zX3`->;!A|gFSvsnCE>(1z3)CC#vz9Qt~t!T5|AMg;nE1o7Ke6{32zRrSv)pu3`L#` zLfdXvTgMcKc4}>AL}a74Hhuip#C=0X`F>oP3tq!!@vLdrh) zlrN}{gK)$Pg-?2uhVP_AeHxowNwiDF$*1n5WI{_29bCOl9oSrbKhMK!%EwV@t;YOv zD4v?R3X+aY6Y^|dMsG8gi;ZvL7u~GZJ%!Js$;Zvh`~Lozt;ObR-?cvEYv*{|yS~;L z0Sk7UT27JZI%#koI8JXiZ|DP7>+Ezxh%Jk=mDeH;Q%^j`!!URVuVa8gjJE!A6*|Vl zGq~%*SEM_TD(JK1y0e$tfk<0$Xi7#2iEu$7DOhAh!`N8lpx{IdlKg%GLQ)85O2LGm zi9jfdATkmoox~p9{oDcfo0KF7sj)ozLO1@NMs8N z-M}Kpo5jZR1O zV3CN-5)j5iK*zC2gyjngk-#AX4#k48Xbj^r5V~~-?|_gM4eLTgtb!oW^$;+e#vp-+ z68tard&F=W2qg$26@#U_|2HrhsR#m={(qZ-MJ7D~CH~Vr|9DPYO7&G!u>B@4syA)2 zW@Qrnzvpy1Z;*xCX8>!*LYc{%9XC~Cp!SRJxALhVUas|cmVjQPgX}B-^;08AP=%66 zASaA&7v$m8Z-C2CLE5+hCyWZN>2wD>rgHxR#XwImp#KSjh+pF$yu%zZOE^o585pu@q)NRU(iZ>1I?UqomFaGC+GA%a6j z2DnDztEO<4uc{+vj44U~muE&4Vp9|2jgt{!pkhUuF`R?Vk~qPyD>XTmZ8z8gjW%5C9rc_r7&kh>;cMTr~~;BI>8Js#I+n)e;XbmzMg;o zlN1KN9`x=mwSl)cX=F@Qu!WdoiH2hdCrs>Ka(W$*q%EmILh7C>vQ4<8Z9xDDV`UWb zV8f5zr_3Y0k67sow@rnOO@qAc13X1!#<95A?gV`O2P6!ELBLxbWQPZfZ=WyRWM=|| zL}Z*`(@;RD9~PM?`Lyy&&Xo7(a07O*?Pl(8iOUqj+F1wcZvhT-0*&1fna;7P?7=>I@_- zIp!Flut-Vl5-ckOorVkvsToT4N^^2@PSEIY!O(CZGUkZ-+FT4!EiwhyC4`gu0_|Er z*OP#A2!x1^BhWqsbd3i}a)8OAG0xm=Tvb3Q`@+SnPT)^}y!b7+ z3ZB^re0G;wEfjj!lEpw95PCsDP}@=MR{jfj-8LH*6kB#{xaz5m8!YGtteU#iIn_`ra#17N}s z+yt~Gfp$v40pY6so3Q{c-J6d?F40CND-fYd36`Xc8znVRl!|vGl+^PP_a3l;W0o|`+iNl|PeGm@&u~jpOQ1m5EMnj%6p@Hu2i&moF`$_dX`b7lW zQD@AgQZov%#~wo5z?%eHcOKG!3`4*W@J`)sh2XOVzIK2qz7^7i z6UZ99tp&RNsOH<7>mbx5da5^+D00n$-{wL?T&nSJjs_=zEY*fK4Eak96!cWugGd(; zVqd}T20|{;J6>hDwbv`!(hfep|b=%H<+@T=%c94kHfKEQM4 z!t+5_u-$Db0?>c)a_c};1Sr7Qo2+5Xpedpbjap>?6k-o{d;8ql%y1d2#d-808H@-j z0Y=;l;INrwR#%e8qPef1ve?+%@M*Kk>0xT8ja%AlAc%z!q&=nfpzV4Y$my&4K-2Vf z5i?db8RRFbdn5%yimw@tsJlg9QAtCJi!)M*>yJhzsmTMAv^FD@?3{0`izPXvSy5nU zXIVhJR&1`YLtd!}CuvlaG^A$r^XAR;n3AwAi0@aWlC&;xRH;MOD)}i65kd~93r(mNyTT1??KFw)ZBN^DYezo)T;Co zy$0eaTT5S8SxeUpf1v73KYT-5>Fg)cI%WYxjT|uIc7pBSADe*GFpbH2qiJ!L_2M}& zdEl5FV-i+KHaYl3Gd7N@k(@?dTdoYr4OKl34?po+YLCx^^k9@`I3O;XeMP4cW-2)QkfFnnVsmgLlI z#Q{5J-)787x=CeHt=?}Rcj@~zxXo>?xzyIN@r;Mx=KW>*d8u9tvU)x9aUvgZ!t91Y z(~2EJ+Yh6F9Ch`+Vu1^1Vk%MtZi3fnqQoA*AnxlKEo>B3a>`3N`7Iap z`UB0shZOsLkrE6YEl1to^+1@hX?NlfciAsGV14FZfXXVR{bhRnUG|7FeND+3PQK>7 zG3{Z^bj>bN-{^?x-0klXq7oGn7nm?JIht|$Q~m~()k`zW?fHH??+@(Kp*xdT-?tUp zwYD6bn6|zJ(J^&;KohrPZXdfc2OTlPD{N+lu^p=_*-*N1BA_c9l6 z#UQe0R)tx*$OJrzr60k$I=#HaGx9tX7T5_EZXjhKW*}!EILJqF3kAlayKo#0TkCmA zyksmJ)5@qZc|tCyo&4MVOKRpAiC-um*)#W@BqNoC(UCd9h7eZXxpmk%Q8eSg#VeggRm?_;j>B%LxfSpSIrQNV4q{!FhTcZxZXMrOJ+`8i*#HjQRoMnx$)Q$HzE$> zoA0#yln;Nh4No7xYjqWwTNRpHh5X-idT#q@?+dq@Wp$;Ed3VD*#v?hH9f!o&Z)y5sYdh0KX4wv3-J`E-oM8<%{5-{@{Iub%wpT!&` zFG7qXiY~qTC>OfklBMDQLGv1{C*@c!s!?2JVp%GAlchh*7K-aE|7C7ajavQbEE~cc zBn!8QSm z=2K65JyQifQw0Q51wGSV^bn??4_+`8FAVV`JjE+T&ziFUQ}(-FnIn@c%OH*C{(BIV zM>tsQ5d~(rnkcwcx2g*0gYAol~SuM-4SXHC2%EaJahz0NL442`<47>;eJ_ekURk~R$Vn2VdFidpz3U^l7sEEcepjD)U9$lk(l zrJ$YIbtRm5uhrCgHVb8IA%~X%#3DV|e`5?>mYSY0R4^tsIwsb<_7+Vrvc(8;(?dVa zrT}ep;)@a39Ykt z!&J3l&vF@vR%K+N8d&orrOW`d{yTwMAA~ujN@WP#bZMM69E?KcChT-;n>G^GZx!N} zQ5FGdX~%s_e^bs1tPz*GKk2Gbdz$M&0Oh^|Sd%s0Dg-n$YEA2X-+hH_&4^D&xHZ5T z<`{lfNn-c?AXZnvlX7leK@@9Ld_pPzkN0<&FY$-UmzeV<#_0mYd9z@&i`mDF+fy{b zQ2k%}A^O>YL0b$6ahVsbx;na-ucP`6Jy<~v5D^_x#txHM8ku!sZ1|7n8q`TLi%cuS z#?%Q}GIA!4WC`|LKV<@GDS^USma~O4(hJ?mXZ5{)WfH-4N;0bF_pno@N3bNuynx4r z+)VuOcB0tA8D$UscM)U_emzIblnCyzh%sBD@7>bzA41CLIA4%5lS#y*TxncH}PI}egTJj=+VB}X1i0}Hm#Aq zQMhGuxq6kyGI!woCxM$$o&$U5)>>}p!;y-j+(vELdcKAF-xy1`u&)Y zoG-g_Xzuu|zk-&}F<`)^my$T{+Ti}8R?CLIEhb$H^F#}?)H>w$^Zcdcs^-|M(UvT(u3uQ&1!cVOhwt?*M_XVw6x(YR1bhc@2SyUFVZ z2OhdSIKt9<+iR%uAE7;7;`?-E?RY+S1Es`8Q44KV=>q4Sv4d;vf;|TZp&NHwr5lc{ z1fFb|Bpx~fN!=)E(rE4@@3A#Ps`XBUoy_6GC}}a$->WpSAmlF${s(^| z(;(8AtW5F9g9Mt8?o0i4WSI&Vymw+!nWjFKS$kiIzL*%jZ+wl4D2r*cy3BJU+`3pW zaqD;i%I?o)*)s9-Yi-xzl;p*JZDP^@}v1C1AN&mKYG732sw7JgW=_Fz0ZNHo1=1WfpyAZZ+ z_aM%0);UX$T8Rnyir??>5}RV%&Wkg-MtwhhEYtERF}@0lQuol^U(U}cw)G%J{IIRI)q?sBncM1kH)d6__r7(po|5r zN==I$ci$Ch5b(UEHpJrjj2nbdW2eHeEocY>eM?*T1kJ>0&_B8fHC#UsEI6cXn@%IM~V)e&e*J4hb1;-e+Zn0pHBbT0eCDA zMjcP5`{oEFSv*CdlQhu|dS6TEIr)E!nsq`}s^$~lDm4DEQhOOE+=+v%5ohG{BQN8v zki7r+mGB{<{~zYvrZ01)$t*s!^%B!@3|OO;q!$Pp0J*`w@D=i@!gr7QW%cpcKPIC6iC43MxHYJZF^oMv126a=I{IZ=XGx^K>&lUq_u|vCi5J&xSJcNgdQ8CZ2Ly5i zcWen!7EuLJ6j1{aD)Nm!LFxEBnSU{p5;bVv08SM+ z;*cb;%+M|9VJN%p8yB4=JdE|Dt+|)G)8FU5YJoL;tknu=E5VF0+qO#&m-D;r<_ogh ztJ-;nDLxh!wXIAyf8E<4iZIJ4tSHSWuBbw+36^xL`e}1aHHyJpRfsaUMGQc%(5$+o z#}pz1o2HZZ-23-J$NPzZlk{e1*|*wOf(W}bE`0d1-HTeUx;AaK(9pSL*RI;y<+z+@ z!z$My(>ku0vEA%!wUo{G=-{Ox<_fsEMuj5;@8lt7+JrVevZd21wRfr*iGdDrEJ!5E zsGzXKK|v9zJe$eT+tWwyXi8gxOa$RPPoEQ=Agse1x!873kv^_~rHvk)#V$}|<)F}> zNHRJ487+3~KWQktbLkMZ0t}NC7Ur-bdXT&8>0OW!I}%LsnKeRTU2Hjf2;#p1*+1c&#$; z%N-W$Dv^q@=33+k)QvWQKyoYdb`URlfqyKjI)pWK_)}Q5aU`CV^K0wDWu3b=wd%Un zm#kOKGFwU}Gxj~GhD=nzo@!yL8bQ)BM{ZOO^(E@`O$JtgW5W!7@CG>i#WKM8E7r)M zj$(DTFC!5>=#2TB|ba$NEc9GP4+Z@cFa{aO=xdfYE!N#{iU-5AeId{^g} zoz!e%4*uzgC0G0kHvC^sVB>D}a6aam4s;}O^P1JItr0Z@?ldrLOECDXutAmWG<+s( zqj;{q+~zpJWXK*50Ux-HF-HViV#qm%qLQp~cOA))ZazFOx6Hj@L07G(RiR2#E*xk0 z4-T4?w^{hw0ZL;~E0z2edzgTI9K2NehlzoPZ6l}#a z&d+Hw)-)D(=M!oHFSoj9~nmXLwp zYJoMyy=t~^nVnSTt6E9L>NPIvcb}4!(SfH|U>d{OX#1=6IP2lszZBgL@7Q0(kN475 z%Oym`tmI0&Vu~p{84eC(a>0`-dI28Oq(JDY^{iP=WEL&+V7Z*RtAKw9)T|aTpZ&B+ z8JP||rz61yKF#$~`)R$E?en8~WIcScIxE_HN4?+r(w`~Xi|m#7GO3pTM&?00d*ox7 zc?I7CV45=a+eePd%a;3SAmGttMEs4tXGwlqP+f!jxuU##*vQy>2)cf+!*#kRLKi3YGapkXU;l z(i3oFz>F&SQg@vO;)T`5@l-=!=k^2SWJ3zip7(J90meVFilT67saCq(nx zPC{}WMGjM_?wk=zV~H9M+9imgr5U8>&xo0(l0k#SVHQdTN*Rms#uK2X{Xj@}rZSxm zBC7`c_TMAbh5&O)7vQ%CksW_opt}qma))gNAdOJNm4*V2^ahUC1$Gkc2jsj_OxYVYbfqaH(%dJLKzKKahrsvbjS{W$pXDs97%?vuy6Dld=iH64#K zCw+!|MfYXu25w5Nw-Y(CdV^;t-MPyhpxOdEZAJqNMErTdX04bM^ zquqaO+aA&v;;z9nQEuA(7O82qiR4_WzlFp`s^5dSA2C7|6|vn=U$Bh=`iOL=iiF0} zLTi4usVLu4Qg|UDq=XdC_5T&kq;UTZTIp2X6uJ%?y_TY9Q^}i&gxyrqA$sWu{Up>L z3QAwa`PqV^d{If^$b=AdQaD;EY^@}gCJIXnz4_VBqI^$D;k$&8LsGaYY3z(t78d&d zRA@>HHztIb0TqMypg~4dUzixtzNBkF5w9M4;kKgS{nm>eV>bk~KwN~P`qG3-EVxb$ zM|hbGf%qi#HI4Wf(LmCYRRWZTvYHxNAW|Oqq+^LC4HRj^{yy(`wg4Y>GU}y)?9Uz` zt0fwjw>bJ+iQ7v0qKvk=uw^mkuOM|%M%i3gvKW(*fSjRJWGRJRz7lK2QmJZFV^S-Rh ztC5qWp4+_xsBg~BG#N*C7mI{iP;-w$VJ#R!OArTYelq{H*Tn}}w|w;F@fEk1uLeGbqK0U=!AhlA+#ITU>#1Yo29rIP#GZ+#23e9WrYFi? zDqr{JS-_bJN!&Amz0zc}ZJ)*LZW1Ro>(YkqG}A$GD=6}nOy^z>@H8U0+u_1aRK=fl z0__C1r(_K3c-_sZI|$Q(t7JdAY8!h(i8D^@wd1MCMJ-h-YN?*oB5L{1yP9gkJX8gt zE^4u;?i^tSA?;~Ih$6V9qB=_)l|*EFLB5Kf7MiQ-{{+L445`$W`frBi#;U?zL^Pib zi=>rAU5F{|Cagsm{Yt4-A@@QWrwL1Od}zCIe91fiO=||nqFW9d$1qX-Ey}g};&Q=R zFj5nG^6ACJ6svm?(_%`$!#uL9P?^YMV{}9aK7fQW$I0E7ri&T>*18w5l`H5R*Y+9* zrI`eq>308EI|0$yA;pjHel^_z7Y!mJ%C^V7Uw10kpq1NZ9&OKeyff6z+qu#j$}WC? zeHezni90ut3Gni87D2F&Io_E<;_c)t_`g-|WdfiEA1c1=MyP#poTBz?qe2(ZVTU2C zsRDY(nn1&>^+5c{4ydEOJ&GL>YFRFKl81Ra=i5Tnv7fG`_VD#A*9U+~ui|woAu#zZ93WW z-Q@5+vyR^AXl z#cQuNe0>CQ0WX41{2Bs11ac${@(>qQpg+_3p6SKC9IPS0mGs3Ki*L znzs+~U<_%$FlDS^OZK;s4H!sEQ@#XJayEcrK8|^k_9i3@NcoYHk|%$WmWl!93tyxU z+?I7@Ndn$}=7cD1fj1);tNC=vi(5JwD|W&cvGg$4uSPbZ(6pk-^Hw){eLcP|`+@8#ORy`F{F`7LU6ET)N<26oy>n+Es z&kW5g_5Y9U6+tzM-!4#s2HuMV9g-J0G7vv}NHDg-rOACR4w z1oI=Ut}l{QM+Lqoc8Z9^bBNrZa0LBrcPAW!zy@}2iAk(PDuNvnRs=Lh5z$aG$tISF zVu#e3Q2!M*wShLbeR`nnDpB9%bSF}d*JrLgl%DJ1U6lN<^{NAC+sb{nl10$3KG~^c z<@ISU39bEgf4!7}->tPUplFx@+?t3~CeCABXP;#5U^a zk+j;hcH_J4G%@}yrC(Qje6jjq6^9iUH1mL5B|-=+x6ff zL+>|G`8^8RZ4N1vHY|5XP~S!_U;v*4rB8YMW=Bg8*I1?Tt;C^ zh?yJ>;lN*KQz?3urh{EyFnH=-9w)62?rSN~%nYO~@E^+9p`Vn|Upoc%N=<@WSvc)2 zZS0{jyGRXyh0(ONPG}qMlgp{)71eVJYIsC-JtNw0QC-paG3i`Y4_s7N+rMGDqA{FS zG`NUv3C^@s?E_B8Mjny7r|blPex})H31`2RhNxH;$S~T8|1BT{i`&=p&GRVSqn`n$ zzKZ@8d?fkiX<*hhr3}LU4P{B&lvI7nDgmWsVDgI4IR(h=GKnWnvL%g~GQBG%pklG- zRbyaP`1#@*V}qV*iIX zV51E}ZLDEiN!?6~thLGHa1Rnga!kwDseuSH3OuOceab%P&@nA^)Mi5Oe{70D4j?-q zF|Ct&H44Fou}OjU>36Ufpy_!oL}R7WBQI8sxe8Vdr;1U-x^CJ)IdBTrEZN?WA^$3W zQfTWd>MMTU?9-RvZb*uIUV;28kZ<1+6g+0X&>|GLV#3i{FGNIzq%>RFiV5Fcru>;# ziVgwNg6I&nXp0vy;B#J6Tw%T{Kx=;P?_t+J{#v|eHW2b-$NQ-|_QHa0%l7!gqU(6lYT>>QsH;(vI<@yk#o}4Q?5Qhc%y&w8 z&-&$uI|CS_+6hE&-4G5j<1k~Ef7@8BW}pwE+0a1d6+6PwLdNxZ`lWmw-R2RP05NgE z&pViB;((+Gy!(6Rz|KlBCXT!> zylLoN8++7eTdTlfEFGym8~S2LvozpzU9U>Q;-w8*s87b=|0XF=Y*vsZy zI?&^1niY-z94v$I#^4P;(?PUUpZ1;EiBTJ2P{|rAL2^4VxPw++C$r*Zxx-Kb!mb(>_{+mRINP z<8a{Vvx_BAofnGs;pFVxqr(dIId^DbsE>c|oU(yqB7$amm^jikhGzPbnAvDasW4c~ zIob?c7HB@T0-8~gOO1|Cs#;Sg*1Q_q^)@4%R|K21ZRJM>yl*-aFfL-g&8xTU;RJ)O zcNeqA(%N>`0q>{Gz#HrOYFo3T@!s!Y)l;yjr{OdC|I%276=~$&04VVIX%5%DL4lBD zxI7Gn_$*)|V#cDtH^W6SUaLam8oLf;+@%)_IL~E|Fl|V>Q~m2Z_702{vnvjrRvc!WI8`aOPZI$=??| zB)zrzUwC=N@y4J`Bh;#g=CptH&Gf?MCJi{H+MRpxC-5y&Rr5+y(W8wRbRa=fIk}6! zzcO=G8{xAvL&_zqYh-C{3~QBJ(*&04q+FW>mKen>O=Y%T^3==tlQ5g{2OihF*%`ZV zWJeYBpV^1wk7?MQe#n2_NUyM72gervN*bOsmD-bFDRx}UnCIx1rMy&}WcEhATgM+- z-zRJ_%&(p1EirBLKauM{aG?y(Iyy+g>vV&e;0dB!x_GY*T6{ole}@W}8H zB^#gooHmcB{S9i@kn(+s=CHOIr#*CEEA|oL+C;j3OB|VXI%@jDk)#e2Y#3=8AdTqn zyG|3(?amrdlx1jyw(i+cGKVJnuq*$ow%`2=Y1qu|uzckF2-vYU*vJjEHD#gMZG^98 z-0+b}aT5PB;#zhboW9s3%v9w(BuH#WtC?&-)qTfvQ*FvnX;3o_pKg`uO}YoIw==^T z0iU%8M^rA0Q~$zRp}y+%8T9f(Ar94nv0Iiy&dEakX7{%x>>YfQzxRt2}jb9#4!-f+!~?{f%ae*NK5q=L_Xb& zU*C;RZQt$cDmz*)jxpatN8B$K4#MriDB5Sjc2VsFq(#vq1}!%Qc3JER9W&VDIHqtU zvJT+}DO@WRZD;`zksN>sXlM=tuUz^qg_vHP9l^wyLktoq@#y3M*dy@%P*z#oDMhmvlVXlUE+QrnLnRQI zST=SHVdi(_Zq_1*flz|5#9*p~Km@58K>R04Dtg_7iYj_jfF!R<0804tK0F&7DJcLE z2oXCWg>Qec7=`*(lnDrJOjuOTg>C_;3IQloNr|70x8Op@Fq28#*7^n|>zZ$%Kiet- z8bFEK`ub;)nA`ep!?__u9OQ+7Fz^)0WBUsWPqREWGX?6us8Acvg)Y~kltor*R{E5Y zSdw~UW>%%Xn3>E|TbEK*nCmeu35aO96Ix40vZI(y zyW&PsYMys9E3rDTP1cE_Cj3ed;QVGtB2E-#!U}mnoUnwe5-IV^M}e)N`1qk*$O*My zRYfF5D8S!uR8fKf0g>|?^do44g2*dmDk7`z9ClCLaH4eZG+G#qz_G3WC@AK4T7c#k zvHAU|qA@JVLHU_BlpG-k4*BAed~7@ONShC)`sM!Nfga5*H=jsZCNPXUUx zW<4@~j*GFXT!^8+uH;)E)IbIm7=VTQmE$dh*uw4J@xPFTk-75F)PMqBJPT;FPcf1QO;s2RD3WCm!!{%^=04<2pa`k|nIMd%pW#)UB)V4u z+aEX*UGnah!Sr`PCPYDnq+29GBpkSCkpTYi*2!Pl2{hu0>9X+9KX!<^drHx zdurT%2>_&h{gsLoV~`Ze*pC!-TW5Fy_Wwu>*ozVfdxkN@UiYEc;s^jE7WpV^4{yB& zC9pm0O};A7FMVwx@Nz(bivuajdb|(IP)8Yl8fq`Y$w92F4F)?4X}I|>#m4nUe*7ki z&8S^@reHY)41Vdc1xDD|SkY!u8(2Jm8mK%*;AVs9`S|2BTTUc;iKrVv72ROQzN1~3KJi82DR zk&4ar(psUsiXsYtF2flpD-jE9eN&I;INa4Xj>S;K#n3pa&X}K*y}@bzFpOU@jL^Nu z6tvpE#;xGM4z_b~U@Zre#mLBm|QIJ#Kc4b?I83b)sK|hOb0$~_{6$EW%F@BY-3SBN%Od||s z1WL3NqGCcu^04Mm1zKtdAvYk?OpVu{uKA(@Ge?w#wGxtUCCR5l4rtislr)7L5hP*d zYl9;$zv;6?lmx)i1xjEWI$(-8qPCyVht5`hk=&IdY&fQkmf2_Mx%Gu4(X$|o272IL z$DKe0MdS#>B!rRTQJ{$DU37#jxy0sOqD(%pBo`PHN{msZC zu$hm4r3tp0!5#@|3xREDS;&SN+)G1waS6>XAO+;a#5Dw9>o_PTqq&HW$Y}PtqeM_r zKgS3$nu2esQjk?LVj{*@{W0JZX<(f@sJHL^B?9&aVIwP2_g`4MZ0WG?fvuRt=Qy2R zh=yC+)xl7#m$pFS%lJDI8=}FGMd?Bm?kjZpV}V#mkczh=V4zMSMq;zRxUaht0Jyd@ zR*z{M(`HKeW(+3lvZAF9tAeg}gd`Y<{c95~5W>Ey`%V{*;;h}>=#5Dv-%nM268L=0dXN?wGjz&6f|C}4tAzgJ6@KvKT_^`P*IxHp8h7k{HUiM6FBTfGsSs$S2IE zuNA4!AqX+0-y4sZCdfWKjBpoOh_s?)6*CbD?-;WWFFMZ>795)OWHWY0A6Jt_XoAYP z@o+EAWE334MB_X_2eCQihGl=A7L}Ork6d(a6!)$dLA)4}O=O8}UaRSYpo=LwJ^H;J zBJ2MBg*c*o{&3c=Y&j15 zu}`oCxu+aL?@U+l138d27SBJAdsm4b39~Sc$-qHaVyb+SKq!j5DAJD?N7u(=X5@2a z;YY{BhgG9D7suuXYb1AXsruX0!byD(A6~{`NM|=u?YL9vVS|sOFkfk_$l<|zc4KE6 zzi0}^UFhVk(I>2y-XwwIL}aPyO%+YI;+3eTkMvnDHr z=vJJ$R$*<2ljj+NmAeDms$0=r-TvAFa=P}r+TOagyL#ib#qI20!q4iB!xp#9wcp>x z_OI;J+dHb;FIzyk%!e5+m>O-|Lzld%t}kS!oSBwp#`a;6^qi7r?z4Cvm~UBTMi=-1 z@=w5`C+7RZUK{s4ziBo1@8GV_k~vK;=*KH-!T-vj`k=y z9WFQhA*Y+(r1N>FhbHPmzXVX7U>7l=Wo>6s?cVaJ~2t8S9(`#y{A>2+-)ycj}4IqQ824pOO46!G$*5faTpzT48%~es^;I&*c2#)*|7Mr=xVqql|fF zGqUOv(NTdDkCbTZ@6m5db8QWU?ApcotPXzKSg_S&uptK~A(_FG7xKFApNlka#@G;O zMeEMy#XRF^KyYYC6OF9!Jd3Qu!e zfpcDsqV0DUs>j{M7zj>tM*uq{(D!knV4SdNQ<)tIX-wNpg|V42m}kp=X8DIo6vOh2 zQkiZWYEcf{t3kjY6~nf&NE#m-LGZ5thpI|opQkXdE1|-*OkmkzpOyTy=Y$1sbPCa~ zD2Y>>c92d)T^Yx+vrOQc0QO}R)wE~rq>x$WDg0HjB+{F=6;otPr81+oKD;X#I=+pY zQ`!Z*&i%MwO>?h}k`XoL1x!?Bk3mW#ACMA%Q%i zxD51)Mtc(cp0YMfeZ}-f(~4K#IdR^I%Fg*cWmm0yMrqyQK{KLbl5fKHBE{VeIBtmc z>im_aRaKpDXt!~l&G-d1a>i+`c)V1@CuI$SBG>3f)6Tlp$7#^~r>);17JIwy`t2A} z?g3VXcL<1GX1&kxu=&pmrGu{md@wVR%$gP=2^hDyEadx~7IGS$36J5by=q9p)Y8WP@ z!gFb~^fW)wqL*&_6Q~z>nCNLZA*TrL`jvPsH`6duutBCU?)no#A`Al#!#siBL>Bf* z7-{T+6E(G!Bo-0nu1=sYF~jT-==GdKZFLaHbW@fx+B_YLoG9&CK3Ldlid0Iu(~=gP zwq^zAtbIV;?^IKXyVFtzcBle7T!B9jHMO{{*{x`Ee2bnUnx1_Ao(}qhF)@hhm()R5 z&Gn?AKdP7U2Ej_U*uRm7=_285fhlN|%AdvNO56>*wx2yX)>YjN{TunSuh1v#-et<9 z`FYO?=&tDA>vyXRxO~@Cyv|T~nUm1|!U*ho{1Tp{J|+3Ps%T8=w2DL>^p~($ z0yT&=5nwFY&()wTG`Fl#wvTuXb;lH6Gu}4hIwmHH;s}Xm93Aj4S_$VhCpDd3e$)(p zmt_!-LcT1)naX-!p`Lthjz#*1&1$f}Wv zZuDPzal%g(k7gRNv$dc2ccYJ+gjCPgAIH=(8o@W!-P3ONa@w|r%^1nFZ={wSsYz33 zgbq`cHUvs-R^J=(@1kmPnrd)bv5NQ{kE(8hq4OUr_n-HncZWV6UD~$psU~-Pi9~jc zW=w;r0ELhn>W7FS3~CW{cLHXNo^(O3*!cXef96c!%n6*LAe;|iTdM@Vjyi>`dJyuM zapdSs@^`K3ZW4?L{z#gC_iW|G#?ZT<`>d!bCkyps!m7B5i_9j%2W>dGL5!n43XTuR z!chC>q?blH+JWPwr-OOWVcX;TLr=H12_o+EH2N&%QJZ~D{&nNx70uNh%_`UYSL%rK z57cYJ+EW!LdkktIUskT0u1;~+D@~)!q9~LzQ*ud;RQz7k}?Tyhv zK=Hkup71i z=tjo#Yhx`V9i2v?&cG!7fQEjrI`;6Q-X&ulIdddiYn-?wUNpTM9{;VOAa?x4RQzbi z#S-WUJ6ievYQfa(XukJrI6B&sQQufESKY&MK3LLITu^cIyMl{VP50~5uLBZSwRsa# zTebOgju>ErN^&CkNzm7 zyDQA{>L0ffGh(OfRuoL4_5dybEUV+j`N%-90eM{?Tly zmo(>z8N3g?EccB(JTk4+k`@d$BRD5QtIwiHe&ovR+#*%f6=&z#hSY|biDF3~91ZMP z7ySMHU$D3%nTDY7l;x5r#f~#<6xDF@oyWr)hDNOb1k5mN%rA0;HtQxNkM{j7vSJC8 z?%l1>Z=6`+x@~ot#!?MtXs61VP76(&`qmQOO{(GtRETTXTpsb#ag*>E&Adyc$^D71W6-?6bd{v zl)}wC=XmI~_C+VE!XCMu3oL3?T)J%N)}C762ecIBfEjV0V7>ttOVTt{ukVi_(KLL- z{k^c><3!Vq_B$xhu^fLg?%Em;t__E^MkCqd05;jgI&Gsp*02w(qkRimU!#XdmoZ@>pWnm-c{FgKT^rD|+B zzP{D*`*Ps^qLwhu<&Yp?Tb_6^Y~`-l0v~fY7q=twI61kvWXd9>89xvgeJ8g?RJ1@D z6(87Y`qf$`q@>Fi0f2HMbwM z>&c%koX77Zui^=34VoA=j;jx;OP>;hj}{n{CfY@O(BbbiC_g6QG8tU`B&-UHf*Wz|h zz=-H^`e>>n(lqL0iU+65pPzf_SeZv=QS@}PSWjz-6Vjz?3)jaR z9nX~$mw?mzr7=IEiTs}9$_jYS=OPg*^=la5`t;Lv;bU3Fu!1lZI@fAMWaT2`^h@=3 zIdJ4C!DC_Hay)1;TpNC0@-LF1z74;1=}J-XX4bRlFrH>iTURf(&Rg88S#Iq65u_;O-i$Mc^LCU15bobvo*a5$<7Y7r_c-_n10eI4N;Qg@PI zg;gpl39%`4Ky!E^^j+ayahJ>(0Ir_p*WIk4yPuRG4`sA$`Mj^&I@i;6Tc+m6@L{gC z4vpp3pX*SAub=ej{n~Og1P2>}Isaisk|0Ll>dj3vw*tEN!bH!IBXI}1hs8#J{Lj-9 zdh0ZFMU>xRI`Ao}k4qiFD`X3OKLYp(+4^UpiTh^}h}Ebe(P?u5LZf0vNvn5&=}q;! zR&9&oXZFIo6LCAa`y2}NWhhVjVm~(qPH(M#BqdI%AY&LPDun~Qx|J4X(<|!nxlH^Y z-icwVM#Q=Zk34=Amg~he7Ck&yV(jC_qBkdqVRrXJi#($G+G0tPx3|_+)W)4-48-^g zRg0b8u3Ur!I|VNuIP#4^(On_fP=cjCK{lZ#RH`U0IU$ib{j^AI(+HUm%^w`bqQfX5 zPK}g{*RBzg$5(h);3xD~RoMOc-fU;Vvx$Nq3`p7L6_{mqbV1dD^iied)e9*W_oCq> zSK@|JEPBK%khoA2iT}M9M%>JdENaD18nGy3gL+UY6Ps});%PgJ;>f9}hlBdnxuBDc z0{&1W`cgNgVe#rQbfgfc5Lf>OBI=_ROBEqVMj8TwV8YTpK?(5u{iDcXxGO=9q==k#H{t-&z5~DyL}cKV z3wbyHUKKFm=^ep}qgeGPY!}K?#0i&|Cy1QAXw&9(DsyV!6c=GFuV_l@Rz1)mEGtX&h0-IrPS=wq`iTaqtJ=NDbuGp ztGoPq#WRzEKritdj)J6LEet%K6uGLnS~}MA!$oE;`4UMQoC+@OLvf@8B9xej;0^u}D{GjNJnDcYS4#!vuXq(68cKi~a9v(_ zb4>^`Ek=L7>o8Pz8VzNK{A&hD5U*c~huGCq74m|3fbmBM{4n-cR8kRF5e3wZ`p~=c zZ+!;?j;JCIoO@Q^$1#^j%Lx6Qq_x>OIiB+%!BuF19LvRw$5YUx_^>g zDI^qRi0le^QB!t)lOUq-TA70l!#O>WF$Y<2%)a8!p9=2M6mj^do2aBStN&%-M0lD$ zmw4vRmSyB94#xJ)`ywn)$#5AzzJ75CPCUkUz~3==kvD0#zb^>J9H-qoY+`0 zdcwM@@a;?7&hR*vvns=Ub+)S`dq>B){CmD2-@Z_IX=viz`NF|TRoGczB{wKJmz8FC z(t_<&`JL5Suw_HHwv!cb-I@HK!#)bqmbbUJ9WH_4w|g$t4r~Xb_rNu-t>^g7iC17z z+(v0sXIs}AB-Q+)`;g`PE5OPIA zyVx@nFf}-Qb0FPuEtkzPz8~zjv>a(SIkhUQY|noPU<~|dj&(p;+j3=DD7CuGmCGCm zb@@Yr#U1CbHhrb~ZTO-SAL1!!tDy9=x~;8(ZBq zQDCnkM$7Z10iyYq&1`dcaxKGCyVA@@6KyRBNsYDga&!6F??uf0`b@3`E+3V_!ih{i8{-~?-E!dzSzuxOC(gX%P-v{&W7QM zb*=!LFY=c<(e8(e<8h5%T#EX8!lTJjI>PM|fd9wfv_qfMG7 zCB(R4_r3ao}qZZ-uWnQz9QvPLLHUmn+B!c@Py?K0t$;UCrl!ig z;a*{C+~L4JNw(X7^_(H_#1#5_w|2)k;P5WGpewW_;77eFia*~a1^NMgWHG8t`!LD#kblw*5^ZJA(8{JVwElPq#}Z2o8~MEygeTT=m~Z3 zbG}6@(ib>Fpw3~^0kFvvLN`u7!_^|hTk*z@TL4(n{0a3T0IbX{i3-EO2=j0z)kqb9 zl5r(}kDji3YU$@b$GOuS9b~OX$(WS`+QY!k>)DgJ-i)l0@lTxyb;iU+kB&JuJ&C1o zO-|L~H+o-4N=awC_?C(GqlV!qT6)EZdkwvL;-X>VjoO&btgND8Ik63e zquKo%_32VA)4-@y-h^w9MPm6V9G~L!C<-Kchv=4+BX8uzrp$>PvQp&R zyr95dJ8xpzgHC&*d8KJGR7=XEE>vLWtQzDC_vn^?3->#(MaNfL_q+@E`z1l{ixsQ{ z7LCHM*4GycMNOm{h2Yjr2GQtMa{;Vcbz(5TEc&o%Etc)C$t=sVw`gLP3>`D7hWoy_ z=XV}_c<=$2Np%KML&W*=XKi|5)F75mcxT-h2ZrRaUDopsdq?FnhLh0^smdy;CP=lz z%M~{ioo%a786Qb1mCHa}kmM~K)f8uZl>a?z2caK_z+5UrmP*)2S(CY|67$<8pYP%c z5af5}k_jvv>06TW^T#H$K_8o464IO&Bf01j^FV1VkR9Myhfl zxUQ5QbA638DF8|iTDzWczKFsavi(e;74Nv)cx`Z^{&c=5PROiVP3E@RDB&SVN;JU+ zTTSgbPJOR^S;3q$r%=U9rhIon?{6Y z^CTm7BQhBa0$-Xr?&Kcq;TZT`T!1IOKWiC$^B@YfPHVj&>CqA2gJ8iDkTk z#?R#1JWpgl8P(sd6BANz-|OyZOp0}{9iMQQ4edvzAio-fF4P1PRYn7i)Zw=m*31!B0{%ffpvhMqNs3|+00V!IMyAc z$K!%p2dcg^TmOJ_yEk}~r$WR4{{v&+)o=PRUAM{mi+l3Dh*{^mj*0Y&Mp)iw?jSzK zdT^>esg&9iga~!t_DC>ZRc^l>)@B!0*Pup9b)qem<;6O%B6HyzOq92QmA*Eub<7tx z-UP86-I7pUg!1I7vbr0!73AY#*G34uGF}$3~r8yRk{;F=n?yro_d>#0i z^F6p^B+SSpw&5VkAZ_!Y6>}vPyCF%|@f02fo3VI=)M80L4T}~BYhyR(=#kM;4qb#+ z&fHoSk4vugpT}!*wmNWJrno7MXxOX-iq*g>^yjIKd#I7AU0vO(e0nvkH9M*4-(63{ zSZ48*>*x%sOe0;|NvhQt_O(KF(BjX?$D}40aO4ha+jK%Fyg3L$IH@PyC!E!uX={8c zMA3-Y)MQPxY9mIQ^d90`8Afz%HA+4cc(kvJG0!$~`!?t$lwYu(lUnBYhMz0V{z5E;vCq7C(H942LF;(4H zEf=zJIc-v0LSq0Mn{#Rj>n`Ik0j?^9?;|h=aUe2dLZal;mn;Xe5o5vk-MsT0yi5KA zwW$8NSo7nqw9}VZ-ZNb~jsFKRjKxbhjeZp>JGxD18o%2S-%Rv@${iM!bM%rA9d&rs zb(K2T*!+xO+Kf~$F@V1wpr*NJ*`DYnCOIlK1D>2i9MG^YW5? zn~)!iFgE#&nw!{n(ySI3myBL69l2I4>ZtIwcAI#vveS!HqZa)P&9v*ZO1;Fot2bL8 z?hkZzccA{hX&saOTRu@>!-}tcQe!6yVQSj0u*RuiCARwJLqqFizrT_Tk5~N$zq(2F z*nqs}1N!o7w5V4n58d#9v&!&zPn+a<2;@O@G<{T4=o{?W^T5>z9Hhsk9NXc3e(Sy0 zShwFZuc}tjnIk6?&s>7;>bZ$ri+%<(ry)ZVD@{ej=gT)etWSlJ16hPr%RyKcg%=O}>$ojU@zRPY*md8Xv+FI$#5b zM}wBqp2+GPF3yQd10KxE9A6>ygX%7?gAcYAVUoV?(&|hMcM>1bZbJj|V@`_y)AQ_l zZI-VNZdnpX4u|>-AIJJ!QPo&h6wyRkaVMO0C00sw&u)}Zsh;EeZvZki`rZP*o;q%3 z(Hqma9p7*6ht?3wD)nMmF1l{3HxDaBwQs3ma+CJZqN=Q|KqTP1G|V!Cmp z_skAp3WWexl&!mm#R?5FXrN}E{6-JFqO#B+2@^D_G`n;}Eb_%}tYC=t43tN9B5A6hI z>S%8@I!{}UOL6i^f^4~X7d9xAOSrIFF)x~=qNKZMwH=ne(Q->bZhgq)Jc$-z^2{(; z+3I{aSCQ*5%v{FU$C@$FSeDGWVyXg@Pby!4mv*KdAQbO=Lzzk8Q;l=_4x%aU*uOu5CcJ4J><`MBNS9E}^&pmc%cug>JXWg(lK|R<4 zccAHAXC%ez#!BH()%6ZSAVA_u&VLB&8T6!@7`B^2m9Q>-Ssl|cD4$N0S|fNeFF>}pA06aUV;YW z0Wq*WJ>_Y7r;lX~Hz7?}%-Hu&?9&YWQn*!xlM(1SDw-QyFE{yRA+@qJd*0KMB&@F~cB$8c)>IyjJ<_ZGT zTRpb7|15{fefeI20VTmMA?LUzu9gR~hD79yOoM;XaLqx7D-+QI!z*ajaT6Blh<-@$ z7Bvy;T|io($b52xL}+}a&XHD7%lv3_5|8o2+mQ}Jv3gF!&Jmg10|skf8PDmaMHvz~ z#4iu5i3j5;I%8CCqDvm_+nok*p@J zKOBPN>Psonbs2PBu!Rt?tfG;r&^;*Cy{9)!WGh(ELmVIch z$3BbY#vIRQX~bP(u!t*{^hXpVkwEoW?RVrKvp+ZjFd-)A)?i@ zzx1MjdNj$FD4~#E{W(yf8WMtm`mi z%6#r6;z|AD9+upZ(4O0yt|*{6&DU$G$Jxel#Ne(MtAe}7l>glzz9=`Qbma5Ksk3k< zrAJerZ(o0bK)%C0$=mJe@Uy{dY_A*dM-|?=O$` zpP%A?O5QdX$mJgv5Oh>a9`Fus|FFOF;>|a{6x6I`kRjOeIu8uGY0Bf-A2phB?WufU zo<3Avf~8FTzB##;-r>z!n^BXwF?CjRk>$HV!Gpd}|K|{rfInLY&~cXGa2DKVx~6(J z)QNA$S$$aT4EZC`lG{F2nNE(q>&$GSC4-%AsU@5F&ZtF4$?67tLnjmkc{CdL2An z+0w=#AJ1jA$cplej`YgU0w=!Nsk0#$$st%TC)SF273FxV(5+?HjiqUn;jPA;GsQEy z!nZ#nQ@U#$U6W3#mO82_EhU-lc4o%1Z4{D%W($_fq)TO)Lq2R8{U^=kBPtt{M-!IJ zixn4#(-AI*)--^0s|;G>v++^W4nQlqsmt%G)IxR-SJGgY;i^(g%1X_(roe{Gp@M9> zjwZr8a8(lZxJz&Mg?v$$0>b8wgbpc+umxFeoQgG?Q*c$fpA${xsngUFlL<1b7`eJI{J{q-{2O zP0^HOd8SBKWZu+bOu6hdp(QNTRHPK?%T1?FSW3f{WhRibOv8*k?q%jG2sEeBr$lm9 z7;w2yncs)EYqf7H%p_{bS56OsSV>#@H$8LZ;g{4O-q^;&Qg|GeNR03eJw2K;vSg}nQ8XP~?n$&G}UMGxAkV+phgo%SJXELbR2xsFP0D=0@_0S9tu5 zs<I6JrwACy@pI(rx$`glB{%C zZ8zJgNVGEfX`y?P7}6_C`tY^=qEi-IsG}<70TWB&gSleo_%+h*r0O9U;q&Rw+n@It z{f|k=t>-C>E&tC(thbr5Rz11q&Y0V=3I65Vl|ORbHw_=tk@i>{yZe#!f1dwWS!W&( zRr~(&QTECfO?F8`*^>~Ok|oBL${K^LSw==C!dO#OszG+4NQw;E8L|##U!sR$j4k_E z#>|+R-}HOF&-Z!0J@8>-=%fJ?6BJ7CQfP-K=S!STF3d)rcK) z8Wnb-Hezj{)^(Ts6#6xw!S+rDWaY*zPo=4F7;)#7nV~JSPI-mgeGAOJ=cGyg(u1Rn zCYB{MpC#}2;Bp)8^Jh*HAj-%EALWb3&zfB%5fPYu{FVaqOb7|zzHs#>ir0z03%t08 zp+l>N$B;Yi)n<^IKw9ZbT^h5m@?FDc=W-{`7JXovy-ctL-d%VVEnIP{3U0pXsJQiPMPZ9W z85(x|dkkzbnXZl5#`~ZJdqXkV_DSOlQ2J;!G)&jNZ%DbR;YY-dC~gl-&xJ9ACDJS+ zHZYTZV0u#SetRb(6G;UkX`8uIe)M@5V~7__F2T@Wh(ha9YbkWnx+WPR7J=N81k-!g z=@ie18DPXrk8)EDmEOsinU&o4B2r?2xejLQ>#`WU6m6zs8byBP6c(YbtFw#3y}@{F zkHIWEzESTG%bND^74oDQ@cm8vA^BW}I&Jjn`=xc8?ZnPyf9<6|lt+V>puw(`m^L+8 zr>(chY&sso5OIG4VL%W|pQ$bD^^me~`U8qz&Gs|m3m8M1mb^Z2p)&75*pImaupDmi zu|`-D^etvjg3;y}SW$Ot3W2IG!|b6MTiyoajB~~qZATFD_>j*_hTTgLS+afmApvJL zD8radn|it5hdPI4#CDsG(AJwMcu1!BNDP`bq`Ko#ksdZw>^l2%=fMqs=to7G@q>+iv=UA3PUKyLjdp?yxVNB39M@>{nsumnH42-SpNQ;9afaAaPa(u9F{Kp&;7Rmo z(-ma-sFRi9nV*<|_VGH&{ZjeX(FLf5Q&=32UVX@q7wdb`O2@cA=R;IJPAac0d|1TI zfbEJfs|3;^N{>Nl87e-$qTk}igz|yD**St~or*b%Z3u(3*r$-SqpT~>waL$0t03($R6b#gNe zrb`}CZrJNdn;lzAU?+z55BXA`89C2s{{U&=f%|NTM>ft0gTiX0oTyyeFBu zYOdEv?20bv434}CbG-U2a$&Yyi20k{^C10TwrSJ38 zhRo?{^ri2{=dVk~q5^fTK5flWV#Pw`#xl@-Af%zM+?Wqg>1AXuh5^k!dFnE4=^WYR zs{z~GEu<*?niry$t~8%N-CIisRb_lD&KUMa=bZCKr&oHTvx7EyhP7(6_vNOdzOdtF zI8=f6_?5!@S)xt5A(`G zLL2LRm$8U<(*uBYJljQeQy6F6MfiEn?oU(exz{gyMODT-1A6&!(MiPhdZRSv-^$EzFDA%Ld{e`m1_v!ZAd=L%$Yru4$e!n-}A@ zq1Qgh8?n<~_Re4J)^0`6l@%TGg`)`ZO@M$=ATY|&uSR?B78G$)_wK81tg`mj-dgel z>?TVAw)&5ej%O(qyE2jz3izD9_7%o-nEQ&?6@FwpEojA`T!dWW9<*p$RcfA8sDNEC zlekDmWF}!4;XE5qk%JA?*@y>s!;kF943m%@w3%CPa>Aw=UYZru0(WX1xDE@XNnT%j zbYI$7*N}lFfphkwsk5uH;BBO(vMMxjT~stTv-y-fb;rvk+jtQD z`Yjk8M60-+x+a!aOW3&U#As}!v;J-N$kT$8bsEu=KdF$EUEu)~6PQe|z_VKE=&D~IS?FJI;<{A3zv$4t)z|X;HGi}nd-a>B{4}0# zZcn>ZKW^BV^&b_?FN_@)TezZ5?@_V23tCvw<=ss9XhzM_yWPanHq>6#h6XroSr^eF zS_IB+&`MWdUK(k3I^gIXYz8(}O3xpjRy8CzN0!KEnv3;8B<&J)=tfUB$5?7PTu-Hf zxs8sDHSUV08wA&~k2Lgm8pthVJLf&G<+awS8aVQ;Xk@_O!MfNIWG@1=+T?3n#td#q z1`S=%VAIk*_Q);%;x0>N)W(E%afv%>L9r_alywo>f6}I|6Hrk{7WQjW6yv zX)W>Pk<6s?m)5K~)DP-pgNtD_VX{#Cr%EFt7TB`IQ~n`wMdj>^*Jd4_N4GD*EOC~6 z^2Z!RX)YRzO$yFNXV|;b9`vH3sH(oV7EJN%tvw?fiV$$&ZU|+GWEm#1Nvhm<`sQiP zNdR90P8r1Kt`A#2d@Y3xip+PYk_Zk}2)&HQO}Fc3Uovu+eR8uN>8O+w)7u0JSjG7g zzTah-u6+`-wVUG2`EqYIt!^xkmmVJ?v;(*P+T3p(lk)AOil5&>pQT5Rp_xhLC0Y6b zvA3N#+>ITrn!c)T**qCcIUZUZ*_yL-{&S^o=&5@ZYW``fKV4>eEXjP8M|XFs?+;Jg zdRBvb!x{{$dP6D6gKpikx67()gxX-NFm9kplO&H?;;H_~=b*#3E43QSbugT>^;n$O zVP~@ge;5nV2d?*-zWpgO1Cq^)%2l1&aA)Bjbj!Ivq;8r%ztk#Sq;4i4tmz1i-5AB` zv>zs`#d;oj^r|}J5kiU(rSY$ey6Yl1Ko{P60>{B9ugEu*JpSVNaPi zb;xnkXA%7|Z(i#$mF59?Htfss;pbz8azh?IRkCQ_2nA*&MbxlS`EdEYn@3b3w72$E z44Zq)%taNpU0=4Fi;^dz!|YAn_R14W-_fRyM7Di$)oL3xWqFY1M->88_BtQxQf;IZ zf94c>=VPaX%U8D@Iw|-mWgp&rp1Uw$>JZ zCrgETNhNd^Di;OMg)k0x%GBQR?AZwJBCOlb!}FFt3be{5PbUxOB-B%~%J~r)Ti}Zb z-8-pRbzj)?vpm{^T@HrGPhE7?4dom7;R?-?`mx7RHjaXDy!A)T)Y=O$oQ;^g$h)qt z0eqJAK|6g~BBO0y44&$`R;wut_ZqwC*SNcVl~Iny)twa12i6>eUKx6{$2V~}B?|T( zp}fc?JXch67i&Svb|>k1E#5VZ@9DXLr*1r4HUWMX6CCh{X~!%(`^jfW-#EHd20oeq zHtD%Jzo2FHGh@{x!sxlAQK`UXdao0bjnN-V2uwP_{xty&;1XYbb$RU_;Zh1{?-2Zj zbJNMiPEn_?Zu&O3FNf zFW-NN4IygSn7 zCal7wQNH;vkI_0Ag04cYrPCZ~Zr!I-{*ZG$_K~=Gd1f%dYktP1(bwo<6UATTzhVyKUo9Tz5QBrw=H4aSNy9WNq`n+YTkaavh^(|SAND5M9m9i`C7n{I1MT4y?Qm zc(wN=LEnneee5_tQ@i}YrbPk%+?U(Hx_4*8_qSZzsOo#)Wz_G|6v^-16`#sB615UY z5|QVh=sZaEEt$M5CuJ+kZOmv+6)&56NS0dJJO@kj)D)|*5$z9Bz>YngGVmP(058AS zyzupdBIiU|>hv&GwX!+x`Lj>|o8>$)ad^4N%x>&i007>9WI0fH&^=eB;G0P;=B+Ax z`s?%rt!P1kZkEqKxpsyfZm{5gw*Bz9IVKt+?nRf-{uo>H;avTe$4X&~d50F!`>L(q zXqkm_Ux|9tcX*BU_xPrcOp>Nx4E~bIX67wF)1m2bXEw)A#Aq3N#-%cYGkqWU^~CJ4 zT*2`kt~1wHmaJbL{Qy5y?p5+B??cV=QQWuBwV1H62;U3l6uvFs^|+~Ac}=eE8uc!N z+2l`Ibhj$O6W7nYzhC_@x}Gfq`oE&IbSt!NGQ~(@iX!lDQM}xI{hnQew5kZk;kM-0 z3ZfOQ-F&|dy))ou>%6g{DC4)HbjaA)-;GA{2!AKP7mQlp$VRza*4`y*W^pD=2BUO! zk2&n=#q1IRPgeuKiyrLsk!rriv5E}JRg39{fG=MkQ}A2kkNn-r=U>H`iP)0;hKR-5L!=oJUcG%m77hku%aU7v4ZUrH1M{FHK^D~`;WrW z{9z4J>ZgflmM)`j>4OI+mVJ98SS%qd2LOP-v*UZV>+5i)Jk0q40US(;eih~}pepLu zmfP(BrTc!qze5wHp>`%rYoG=IaQK%Uv-}CAF{}S3*zRr~zmw4%FtuMjlFzg|^8egl zZut<#*E2Gcn7ZdHK`KuzCK| z=$~XUbEy2+UAUJ^fcx)k8^iN6i22%JIrev}e};NN_#Z6d-=Vsld9r|ym zf3}(+^AGaAoBO|1`u&yVFQ2QTGG|%-cKE*k@816Y6!-=H>21`?-{60q3BSX?kCwmT z)YX5%%&}t$VPpSg!_8bE%$urujRl|tFi^AczhYtRb4>#tWP4X$Mb$w4rniYofIGy( Y`mC>(s;!}q&o!S=t#f9ka8KR;17JuT_5c6? diff --git a/lab2CA.runs/impl_1/CPU9bits_timing_summary_routed.pb b/lab2CA.runs/impl_1/CPU9bits_timing_summary_routed.pb deleted file mode 100644 index 4526e93..0000000 --- a/lab2CA.runs/impl_1/CPU9bits_timing_summary_routed.pb +++ /dev/null @@ -1,2 +0,0 @@ - -2012.4’)Timing analysis from Implemented netlist. \ No newline at end of file diff --git a/lab2CA.runs/impl_1/CPU9bits_timing_summary_routed.rpt b/lab2CA.runs/impl_1/CPU9bits_timing_summary_routed.rpt deleted file mode 100644 index 33b1003..0000000 --- a/lab2CA.runs/impl_1/CPU9bits_timing_summary_routed.rpt +++ /dev/null @@ -1,173 +0,0 @@ -Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------ -| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Sun Mar 24 12:14:37 2019 -| Host : DESKTOP-CSFKQTV running 64-bit major release (build 9200) -| Command : report_timing_summary -max_paths 10 -file CPU9bits_timing_summary_routed.rpt -pb CPU9bits_timing_summary_routed.pb -rpx CPU9bits_timing_summary_routed.rpx -warn_on_violation -| Design : CPU9bits -| Device : 7k160ti-fbg484 -| Speed File : -2L PRODUCTION 1.12 2017-02-17 ------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------ - -Timing Summary Report - ------------------------------------------------------------------------------------------------- -| Timer Settings -| -------------- ------------------------------------------------------------------------------------------------- - - Enable Multi Corner Analysis : Yes - Enable Pessimism Removal : Yes - Pessimism Removal Resolution : Nearest Common Node - Enable Input Delay Default Clock : No - Enable Preset / Clear Arcs : No - Disable Flight Delays : No - Ignore I/O Paths : No - Timing Early Launch at Borrowing Latches : false - - Corner Analyze Analyze - Name Max Paths Min Paths - ------ --------- --------- - Slow Yes Yes - Fast Yes Yes - - - -check_timing report - -Table of Contents ------------------ -1. checking no_clock -2. checking constant_clock -3. checking pulse_width_clock -4. checking unconstrained_internal_endpoints -5. checking no_input_delay -6. checking no_output_delay -7. checking multiple_clock -8. checking generated_clocks -9. checking loops -10. checking partial_input_delay -11. checking partial_output_delay -12. checking latch_loops - -1. checking no_clock --------------------- - There are 5 register/latch pins with no clock driven by root clock pin: clk (HIGH) - - -2. checking constant_clock --------------------------- - There are 0 register/latch pins with constant_clock. - - -3. checking pulse_width_clock ------------------------------ - There are 0 register/latch pins which need pulse_width check - - -4. checking unconstrained_internal_endpoints --------------------------------------------- - There are 10 pins that are not constrained for maximum delay. (HIGH) - - There are 0 pins that are not constrained for maximum delay due to constant clock. - - -5. checking no_input_delay --------------------------- - There is 1 input port with no input delay specified. (HIGH) - - There are 0 input ports with no input delay but user has a false path constraint. - - -6. checking no_output_delay ---------------------------- - There is 1 port with no output delay specified. (HIGH) - - There are 0 ports with no output delay but user has a false path constraint - - There are 0 ports with no output delay but with a timing clock defined on it or propagating through it - - -7. checking multiple_clock --------------------------- - There are 0 register/latch pins with multiple clocks. - - -8. checking generated_clocks ----------------------------- - There are 0 generated clocks that are not connected to a clock source. - - -9. checking loops ------------------ - There are 0 combinational loops in the design. - - -10. checking partial_input_delay --------------------------------- - There are 0 input ports with partial input delay specified. - - -11. checking partial_output_delay ---------------------------------- - There are 0 ports with partial output delay specified. - - -12. checking latch_loops ------------------------- - There are 0 combinational latch loops in the design through latch input - - - ------------------------------------------------------------------------------------------------- -| Design Timing Summary -| --------------------- ------------------------------------------------------------------------------------------------- - - WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints - ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- - NA NA NA NA NA NA NA NA NA NA NA NA - - -There are no user specified timing constraints. - - ------------------------------------------------------------------------------------------------- -| Clock Summary -| ------------- ------------------------------------------------------------------------------------------------- - - ------------------------------------------------------------------------------------------------- -| Intra Clock Table -| ----------------- ------------------------------------------------------------------------------------------------- - -Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints ------ ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- - - ------------------------------------------------------------------------------------------------- -| Inter Clock Table -| ----------------- ------------------------------------------------------------------------------------------------- - -From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints ----------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- - - ------------------------------------------------------------------------------------------------- -| Other Path Groups Table -| ----------------------- ------------------------------------------------------------------------------------------------- - -Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints ----------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- - - ------------------------------------------------------------------------------------------------- -| Timing Details -| -------------- ------------------------------------------------------------------------------------------------- - - diff --git a/lab2CA.runs/impl_1/CPU9bits_utilization_placed.pb b/lab2CA.runs/impl_1/CPU9bits_utilization_placed.pb deleted file mode 100644 index fad9443840651607eb8fa9b5ddf321c053de7fa6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 289 zcmd;LGcqu=&@-OItPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z6&CGjvp=f}5}&Ka(6Gq)sTzpgYXl - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/lab2CA.runs/impl_1/htr.txt b/lab2CA.runs/impl_1/htr.txt deleted file mode 100644 index a32836e..0000000 --- a/lab2CA.runs/impl_1/htr.txt +++ /dev/null @@ -1,9 +0,0 @@ -REM -REM Vivado(TM) -REM htr.txt: a Vivado-generated description of how-to-repeat the -REM the basic steps of a run. Note that runme.bat/sh needs -REM to be invoked for Vivado to track run status. -REM Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -REM - -vivado -log CPU9bits.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source CPU9bits.tcl -notrace diff --git a/lab2CA.runs/impl_1/init_design.pb b/lab2CA.runs/impl_1/init_design.pb deleted file mode 100644 index e3bd36b274b696180490464c5e4d9f719017f823..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1622 zcmcIkU2oGc6kWHrW2Qib!~^Ba6B^no5+zRhks=;o3^cJ#6Ko(Vp~*|!&Rb$fw!3yb z@Wea(DgGBu`k_F|#2%3HkoaETbM84e7YspVlSdI|K?kl$P%YLL8%}-YoSx%=D?dc9 z0SYNQI|_)TeFhE1W4O70=p9ofp%G)D;K*;C*-cB)-f`b;y9U}p%K_=(L8v;@mu|^5 zY}+|mh>N4*kHSKA5%j_1SL32o!bv`5eW*B;!k0u%87;X^tG*J4n7ue5I6x1;isS>~ z6M1CMK`PtG&^NMBr{KxopnT4x62GIlf1pirEAU;Nn*&d+oqF`bxXG4wmgkp8kNSPege04I1UmW zQ*Xv#cB54<2jrak1U&(_|H-g2(#5UBv03rAU}IkpT{kJuCN1{3fFbWwAM#kyh3i#{PW;tA~tA8o>jBnbhJ&sh;rtK>wxU zq5~$5+L`h3z}g;%lY1m25n=l091=mGnpPo!3Fc7HuGqGnb>(LPywM(PvmTdE6E{9$ z!L)qik4-@p^>H{mg?e=)SzAJIAt7NtA26k%HD0n!P&>O#?tp@uXMyzb9DS~K+%39> zn{+Z;FrPt96PvmoKsoUB&XB!97+#FId0B3c!1c_g%d<=YP!{0Z{~X{|a3e#fbNS4+ r@^mv3?ahg%Gut+s&3S(4pyN2E=b`+~c~g56fGs@+?}*PuP%ZodRI$@6 diff --git a/lab2CA.runs/impl_1/opt_design.pb b/lab2CA.runs/impl_1/opt_design.pb deleted file mode 100644 index 5b78da8515f5100d754351b1725343cb33be89dc..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10821 zcmds7UvDE<6|a-np_foCh3=MYfy=Ja-3@Dd{`hZ7fn9GJrQPmE$u6xzQ8XD}+tZ9^ zhPmS;TSYt|o_L`Ms05ElNIdWX_yj!j#HZ*ZAAsMT@i;S?8Lyoto9mR;pE!F@?2G2ef7{d)Y`VEo67eHu^n%(&A8FEl*KmdXamz* z3;)^8SBkQ%_Fi!oju$@9y>e}i{4Ga*`oQy8-|-BqOFf%*nMXBh8alH$qaE9&9j18$ zm(e>b{0_z9WzgE}5Ks6d!NFdR;x$O;xRzRH>Pi zT$C$qV&%L|UVm(N4V^xP^X(g-W%$Z8wHRcHZ1n{CS9lN!=4DBaBlJ3%lWCGyVQJe8 z&I674@%r@}%gLeO#Hcn%{( z-}%<+f%wM4AwyXiWM7ABBPR60WJp!gkb0MVyCq_oW_bvxwxe~&o5)uKwHrhU)S=Kc z?ym-NHD#8N!>REikegVq892)zr@^l?8T`~V_uKJSvN2DAmxk3{&T#>>v zk1ywmCI8Nm_3T(;cj!^i(EVG1hO@K~L3)HKi4dbUt3imFOx_vFpN{N9r4~QJpG*do zY8q6^~lcl%<1HK zGHBG&pz%X;W6S0q-EwW6aW1YlPnc%{C6fh=_enK?MU7_6b48G-&oYGk{bG=qM#vY3 zLzyh&E;h`c;UcP8T}F2XNZq(EGA^Nb<=w1L#w*uJZt4-FAwDZ!)hC17-_DW$=1wRL zP)yT57{A@ZwGEfI;nf7F4oTa?i%CEV(^j~t*!vW+ zIKC{9{}iyd(cLmlAQ+E*BiMOe6)yapU*4X-Y6f>6KRQ)*4)$ch4H<`q_aaD3W=8f{B7Jt5 zA}K3xET89ezey^?9BeZ0ICb`QW}B2NGu`A=8s4&xCOy)}G|Wl;Bf~T)KQJ7so3?&{ zgq*vq^6NJX-j|&-9X)|J*RWEov7_s07wdtH&j> z95TjH#uGf91#q zpdl)h{J!v(A8D?rsRut=E=uV-Og*Ifg$+Cvl#D5}bbDYSKf~Jav1p7?8qo?nYGFzm zJfRO_4`l0H{XefqvlFXhcb>Q`sRuX|0u? zbLR5$`!;8rT}#sqyWDy}A8Y$%Q`=Ws4@&O9;$;Kwe@`yAww^cl4Ug{upQW~*7Va0} zR!~G1);Mx3f60-#6^?{-4yP{6CmN-GMLu0WX8OPnFKZ}}ijEP{DME`K!(?={6i8w@ zY|wQ)T6Ff)hCnU4&T-lh$RxS>D0_d+y{xMX{S24NV3NO-MzJ50o7=48GTsy3e{;*1 z88M}Z|zRZ!^!p0zC^z3npVvFBR=Jzb2feNTx3GWX$F8P}hPzHY1 z&ud6AbYIIY&t{?|o*KE`yi%0?1Jg)|(?`iuD@ZQkN8wnM{Pkr92e|W7ZpKGRshZ?A YaxTpnomnV&jS!qmk(GoMw6Ep<2j=2>vH$=8 diff --git a/lab2CA.runs/impl_1/place_design.pb b/lab2CA.runs/impl_1/place_design.pb deleted file mode 100644 index a0b0651869f73847988efa30ca31a81f1ad58c85..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11934 zcmeHNTW{mW6^?w-Hd8k+0_-+*x4|^6lU;X3afYNWPPeTsU+QGNaeYYwBd9@yg1mIBwb*qP0^bMDc5HtU#uH(F2sI9=2$glOG2 zf`zMvm!&tB=g>b&=ocGN#Ck#Gc;`6s@i~jIiCxEH9%s1Yhq%Mcs2?)?!7Bd%!}3*l zX0uCpBYq?_nb*|DTG;~ie2>0|-rDO0F6%KbG9w3`qzVi*p+7)xURm`EQd1*m{Oi*) zsaG_;p4IekC8X~9(R7+Ef@Va$d(E1l+2Yk=V#5RU@UZWB!hTzaE&RfXy5Jxjbwg&_ z;HbrwIjXPC+o478qY{;DcadrpDt0@R`6;?DWOW?R;az5@LStd|X4dNu(YI8*9fp3$ zWs#$I`JnFJo^NfR3?oI{2*)ZuhHf{WEeoa zz`BS4=9z6504owlHXGqS7hjvWXI?qIeh>Q{5hSgH6D|UTfal}aJFfp?QkaxAwNfJ_ zi{?wTgbum_&8s{~it|Jz`8_>Aa|Dm?hdxI4lfB|S4-jd(&X*I?733qab+Pg=bN;bZ zVCi?z0#T%kH_@tear~S`uEQgI1fd8P8`oQ=%*#2nx5|&59>b6L<8^EWef(6|_e0EF zGvMHm_@jdN8AS6nezd;{uLaD!NZ&gL0K|Wz<)xK*TD!^T3R+2(;)zlsZXv-(Op)1D zWF&Px{x_~Yz4co{HAAV@RLO6@hZa>uRV81=6$6w84=r6SC3*Q2Z70wWE+}Md{1t9> znRUVYy>(2CT3yp>3<4!BAX)$awS*Q>47ohoI652kLu!qjH`0fSN*Y-1m(&vQyMcEm z>^`g4Ybc23VFU`$Jlviq;$WpRMDHNF_elaF(bQCN%k`~`?1Rm|4{vK$;(N_)r_@r^4;m8)~IL(_w`HqAu2CE`}tR^I@9t z_I;bV;|t4Nd4N7lJ)q&q!g7|TwN8UI4b`3{OMh9^(z?vjKSAGZr5#5SNW8jlTA?rN zUnPZiA2m`l>v)2s*|+f?(g(Xtvr4{6nf)F9rT~hdb(1FKAEV`6*KeEdO%5&=qd|d1 zp$<@a)AmF3ogLTrL+DiF^IowvTS!S2}dJ z7-Kkdzz)YA9MYkc92Bu0av-`FTTXmQHBLFzzg;YO?C3O{`uoYMH-@CTLP&ia zELlSqj0S1#f@s)ck?Bkw4FyZ4CXWUvnPMR2L^wVJLN0duJr7Tl%ZDXXEHPQw?7R1O z#qy4(NYkB)9;U|e;b@px7{8C7og5!->=&$?hv;b%K4OtqiOX4=*m{%I>W%s=1=Dp= zYfHmv4L#U^aRxqmVFvgU7>xF&8Vo!`_0-N9mJ7;TxI>#NC3S6QT4$j)Kj0h7q-O?`}ho|;<6uNFA_CNG>q3|ZF=DdebUx-LHIngNV^zfrx& zq!0tYN?hLBtlc3t)9()8`gHZUW+-sckg3N_#gGk=vh}!MG=%7xyqC zOXI-t3a05_A}z&q4Ps+d$n0CTcuMc+D&o$i)!I8GKu zpJ3h`@TjV(9YZy&J3Fua7uwk`(C#3vMl)8n#^mbV>~H#K=s}|y<(O2r^XJ40Ilj^Bj4HWo z{}{dZTu{4)tRlGFc9|6oSV1oULQH{y{{k7MAD!L_?8vPw!E+LK@8+SLrEwkjnXdYkLQWKD_Il$0Ft_0n$)b zLK!5$!|X`lBlIJg0POnbaY%S9;sa@FQzA_jw;4nJ&?IR*U>0zZa7G$17$v$1BMqhf zU7h>p=+6})a^o}a~KT8N71GN!yKulc) zniy^~<^jdkW1$In1aARD6qp9XocS(7mS6w{KG5ML`hAKvTkF*m&O%=O$meYD+%ql5 zueLVuGqYWF%{FaqC}H2@RR_#;MykMYYqp(;pT*#{72A>>GwwkiCR!uMZL`qasvyUe z^tCcb6cpcGq-|d@D+VrJ2L`8TuFo7FS2~W%1e-2d%kk6Y!XQH9`vB++6aMc@=wc{n z9Zw)|i1FDCm2BumwLvCcgN=^eV)D|2Li_j9_-4OCUCCxefDh*Jqr1+0mDxV71nnEk zNZtwBw_9k;6E-@KC zGqe7n=>rnt1rV(SNW35+!2>Tm@=~68;RT7m1O5hn_s-5{X6LSVQ`r#~Qlum^v*(_B z?(dv?&hH%ZKACHI-LC1jm+3-CF3!wPRgJ|92lj#4_9DyCDVYnrUc`3VEVTDreYVXy zX3vS1lYeX%DkV)b_FwR4j%GepUbr|#{-lswx1)%4{m6FrXynm77EzNrw#D3#(T*3; z4l|=(!04L`;hPl8FX3669pDS`h-)%!sEx&<1@$~vze-+Q@A?kwGB+|K8&47i2Ab&K zAQz6T`eUu8Mt1)7c2TRBj7mMN>2DOG-u0qq)2sl^H1+f~YeKWaQ6aXWPi}7ZT$kH# zb+bjy5ccx}W_fPg=G*61<)(q@l7rLrXFIr=N=et#*4QAgrq?{QqkYJx(SE?pHo#Gs zpVBLf)9t|0FA+tTn7E)DC7oM%u#ZRN64$?DyLPzG+O#iJ2&*^K3cpIeq|&t@@Pbe# z87ONu(vlfj*>IQ%JO!-70&b9Kp9yOP%=dzbUXTCYfxJLKsmo+aqxt>l*T`2_dbZQ1n_F~sRB(tl zt)(};LQ3(H8XfvQeL`FN%z7O5y35qmRg*Q%3M`$tT3X6y3Yj57<*c?pBH!P#yNq5B zZ!A;G@6orYsxISCMW^4U%rX5C=1m?NIxW+C47fO^*YB<1Cq6SDR>W^>N0!YAeT6JfaG--E^a#Zk4FSLL^2Mgj8#7-AKixo*j)~j z6ej5*1LoT-Vphc3Wx53y5l9K(ijG4)p9N;by#j6&dcDA6B@c1C+h3h_y*7*eq*hrh zh@Wm3fTUUmNFPtI@t4VrUY0`XCVBaeeMGlB-*ddZW7%T3XiCGYTGlF!li?LjYGoB6CKFLLe||Do>`kyN0&PVeXtD5J$J;d>x{ixRTrX6eY~i~^j}McJ&I`$$sHK}7 zt!ka#-Tgccbd9L}4buGKod4}TnE*JzYgLBVC30mIsnanp)aZl9?2vvpl_E@rpZla4 zo41N=aipyCZ)bNhJJ)1(ew}<}!wVz&wuiJDY0PAnog}m)B-G>~0c$49iJ&mqnu8>s zOU?ZL{GZ@0@~s%DHJUk%^S?81GHljm*t|+!j59}+^1L=-lL6&6xfvU?-cLM}pPzqg zPFUmw`f8gaAr3CPb&b>ETq)QQ;{X%tC-6KrDu6P7wIbypfuu7c7GxE`ZKb9oyBOY&q;;m##vLBFnXa?fAfBa$Q__=Z!PIf%|&sWHh z$UmDR>kHv92Zu$|>>K_o=iS72ea4Nmdvo*CdM(oh5a8^0BbH_E&w1pS@vBShH+XAQ zbNHX0r76l}{yvKw8|h*QvYP`xIo9%tW>IDM z8JK0%+Y`+43Mr^%RrW)fQQjq2K~$z0$`GE*$w@(aAHthh`C@T?MjNKrw`W0nR&`B< zjf+Rc*v6~m$_Ly5v3=h$EwHC;GctEUX_w2w-Dc1Z0!<&cufWYa;r6Vqmb6AQL&d*E zE+=w6TwS{(?qmoRBBsIpW+fCM0S}?bpy~Avxh4}pL1>ETz;E}yG_@&_rt+S{SZSfp zEKtKDS4ebICDMeE2GV|YmOw-*!#B>Tuv>Dooa~x1u<%x6?6@l6RINxH5GXx09Dc44 zL53sZh#n-mAas{87rjbXP~tpVqt#c_wZZlA8X*>MQ1~9&JreyMk(Ji+(!-Di;nF)^ z$kz8<)3Uv#)@}Npxx3_;yL#((Iq13Jl8p$wqb;F<)7-VAa7To-cDZfIDA`%W7R_jI zybUg;-z#Klfj57Y%3={Bd9(H>3hAyLF-x?d=rS06-Us9TFIwu@4&(izcsV>umJIxe zmi%4mJ3KB0{*m+@o|C){(kh}4Jf^!GksqG5j(HU&9qaFqSJpRZ3y}lvO6`z>nnOPk zp#-t0fLNp(`r@pG_94)LIMhV^FfvWTv*?}vMv44$qu|Fu}7fRnqsbz)( zLh98S??`e?`4N&evass8jD{={cl(E{ElG1zV1LS}E*9g)C+d|($*86Q^*!> z>O5t$4fT^}8;Y21(2PuB@Y^Y(4>{;&JbI8`%ar(QrK&#l*S{%b^NafH=yQYbo`KZ- z>A{bS@Z+k^^RmJ9)P6QcMq?t6(fnF@hlca!u0hnOpGf@K_s|O;#=Uqr(tqQ(m`CWE z!Ey3c&U=SsjJp>9I@V`@su0Zjh`al_DJ?N}-YqxC5|Z~G5*&;afXPGBZaE$%Hs~SJ zrw;1eHlHNmb2xFr{W>yvq|dd*g4oD*(ZGO^!9(&OgFiJ_fA{Ig)FTuWUQlwtY|z_Q zWFOekF&fvNZ|=o|KXGj^Rn-#33Q(+?N$59-LGC1DOGykFCzu!b%0TE0gl1%(HIq=2 z)pno^WVP<|XA)9~mY^-iB>Yn1+8FPVcy`PQgcuC$g~JY>epk-rx|z5B?wEx3-x7`f zsgTcQ*+YCI?et>@En#qL$2X(>kZS6%j@fUMIFnOW{#h;@$v@+1eCZIx)sE-x9N3-{ zcYnw1I3!99yqdmD-k=YBhJdgam~FO9OZs~^`<=e6 zV;>JNnY05VNKT&L+RaRH^Mtfc7>tXTM2^lCJ9#p0TB{DSgVA$wn5N3E|8s@dxY%)V z^UK@qhdfbycWmsjuR)5%z+_c^_DcL1HtBO4J^~OTXaC10+9=1N9dfc`T30bp8oxk{ zL=qz=Y6meAgrcf~&Jgojscna1 zXt7LjH>AX){N(F%+LRYl@rB0Asp*QIo-y7oVzyJQ@k?QlK1{x0asTXaVkenc8_Lyl zA56YsgzKEy|E!Q-%lv(}7w&|-H7;?oA&rtxQ;K9O@Zk?~E?x^fiKRIuRxpN<4wpo5X`X^_Vv&N8iGrb#m7$51vAKegfuSX)>L@ltb6udx84Lxi b6|55&83dTP__(-S^HLOoQj_zGQUn+Qj^ifQ diff --git a/lab2CA.runs/synth_1/CPU9bits.dcp b/lab2CA.runs/synth_1/CPU9bits.dcp index ab1833a86d9a37e0b94e71ec2e0e5dc18a76a341..e08a20cb4b0bea0a6581a899c9db7c78ee470aa7 100644 GIT binary patch literal 184995 zcmbTdV{~Ov(=NJW+qTiMI@qyo+wR!t*iJgOt&WY3Z6_VuPHy^r$9L{Ior@N& z%9QrgW4+h(wxmdPD|`M(;0Kn16l|Zf0NvpfpsH4@Io7nmis6vhL~S?Oot6Z`QOf7o;3xRE9*#!Lxhgbhp_VJl~Xkfr5%;9rGNgnkZ$4PbZF z?72`U_IgwEWlGAq^`ht>ObErUdpBvR)>M?IW5LI>k6v>{Ma+!lS+7jGcV2n#&D6_z zG{?(Bh@zPYG@SLdq#gM@Sj0^=Vp~nfa6+NA$wurj;{H7%hmYu`OY#ezqNP6C!r1a&ysXgxLT zihH&QDQi41FI%^}^?7(?WogUaug$~#k%)?FY98ss#pmetXnPqQC#XD#5+w`Tk`sRK5Gd zRmr~me59DWY%Q@|;CLue%{7yn9R)aQ!#4Njw% z&*N#$(r+ZH9Ny3OgY*88k1c`si_|Uo$r}Bf%sVQV+avOmAit9Ofrm~z5 z-sd~N_r}kM=$A+HZasmH7k#RBtZ&~qsJxyYIe!b}c>j1<>h^ZJzE|Jsdb+5v*VFNS zO^xL2?)JT}g}OUA*ZVAiP0g0Bs$Xm8$KJQZ+0R@3h}3{w+TiVs(;PQW`x-ug z3k_gOzq8%reQ+aVYfJCd%0eoStG(T)rQ54L)$0n_SOh$)Cer&16grX;biX~>S-@KA z-rV>sW6tz`AYyXT+kA8WJo%VP)Zbj!d3W`@J)P+P_?cXD^&XghxK#7y*~{zkHiv4; z&hPWSYO7kFzx(NCHv`N3MfpMb^WY^m zaqTqpH|Hhc-B#{GD%Mu#zlR#C_Ug*{+iUUD{r=^}(Ehbs$ckhq=XR`7`CpkXw{O+tQKGOUeG~`ZR^}Sn*xImjD3eM&oOd|y7 znV<$yDd8j*F_UuB{yZua6hu`@MyO#3^Lm@fRf` z7r)1~`}h7FDnGyH{jD0kuCM1RRb}bYEug=Z!?vK|wzjBn`$hm#*c>ol0b*%GJYfaY z!jovnjhlp=t%5B49dK6xf@4c;%uHN!ajE})yoY1M+fO)!w4l!^a3Q#`)AC`dBKi5W ze{p^<-`)L6#A*NWJNogHy4cNc{Q|@<|3h@iK0fw!X{ba@3RdQuJb&w3-cl4~WcoTXHW868`@ zhiaS8F@+;B4^{VJ4gUTR%9r*SRt?W-~b> z?vIb{3N%u8)@dhiaV4uD@3y2J^$at=hdMpl_q0MyLiO<>`}Wz054!3dmaj{GcA_6* znAOYusC)}CZU1SzsnfhrB4C@p!tS!bPV+{asDFR=v_RSYa(rzq<2y08x0L?2S~6V2 z`s^Yft8agEnnT##`IU+|_S zp$VBtv~qGBlNlI}kjj-iyk7!PaY=zqT8;|`^VDI51?EYTDj4-sA|5&BQ2|u5ia;qi zoOk^R)y+W_7Bp$UR8)widP*?Vs`6#j;TySJNy9~P|1oi=#tomG@p)Bn-yj?z2c{M( z&N~p8*d`*P61tGQz>nKK+Nt}-0}(s$?THcAFDqPsf05BQ%Se0|O9wZ&dt{*IhdEZa zTprmqTy{*~mf5a)Yh9#%$)ETq2q=l2OP1@3^`*fYR3D^!z165m7~CWrzSn!LEc*4aXtkfnr0NP~7`FnjsHSDC zg(QTi=<0(>F@YkoAvj7zNH8aPMk!)~`3Gz8Ws#xa6f(@$`NWFKo*^c7qfkPDY|r_K0%#H^83eVyFswqh zKYH!~4GnODRLQ^I@#_M*Z7WwH$1Gh`DG=!MrpNG@WS9h>%-PTd-L@rQC`sdvLdVWc z8KGPf&@V3zRr8x=LTBKb;Jda#1>82h)+tl5#Qs^JSu3nl&M}K6z+X-tlH+4LuoNuK z@rVFWUXMgVY~Tv`Ge#4^>QZOzOz$P)!?iUXB2E{fEApva3SyO;aos(c{0X$PEdg_; zNfv2hL?3rJx|U3Y*jS~3I#L=97s9eK{lfem{lWx(Vc13UoJ?8p-P&Ry))x<&eF=em z(Ku}iB>j2j&nvjM4G!K6gHwyyIaQ_tku;>eQ;QzH%@1!j46mB-o`E@4w{JG*&nsFF z4a<#^R}C&-I6eXGF<;EN|3is=2QHv}_`I@u+t74o&U{qo+dTP29-3RPOc{k_Z=0XG z3wUuqFOFgRdBX7DDLrIh2+>d5>kYk*N?*mM*2@nVK)ei$xeK_%bX_VvxM5cU{oHUa z_ZWL?bVs}3e_|YsG48!DQ9M;jugDwLg1*n2cG$Op`#ICR62q&7A+<$4eox)DW;Fc_ zG=#nTmU_)E>f$1>z`p&BxeEfkr@P0kKGqWXM_;9&DR^)xoi{H|Z)zS(V1b}%&~eBp zv=c}&46_Pf$IMXquqw9&Uv+-s(2&F|Cnec2USj-R^oYdEkO`Mh0T?+B2PGMHGhg+M z&F7gw6eQKH8Wo!*ot@GRX66H9&B0rV6>Dv=xZ=7pC1&t&}n z%+@D&dr3@2l4g0He%NsMFc8z`(XJ*sty!s-M*nAj%oG;G@z{r$0`mY4-n>2C)d1es#F)?kr;Bfd2d|L=yuQ|%jvat^UGG{Rr;Tq(*aF$6 zN$1;NwWB#CSVVW8m%>@9rB|UMlx?SRLBDr=ys~1~uy!oKd0j%lpKU7g?87_uWO)1P zo^t(fV$<*at0c`KqyQo9K#q=uTD^0 zAIdlUct4Pa9rcxH#p%G#^>Aip1MS*9vLXntRy1QHh2I9l;>vIjKd6QVdhB~+Vp}lJ z_T{3&M*<+e^V4eArhaFpq(sI%d-`MBt9LPwmS&<+yI0T-@%odS*_C;)GZNe0{_=CS z@Q?Czk~S3tb*L1qLUj~+ZYDGhP*z{bKb@-(U|L@YNN)PtqFu^BVj2rECJBG6%)I(N z)JB`IO}KyMTF}xP#T==wA%5q{ME)*2lke@|<#1zS&3b2hr)~kY1Ti^&yvXAc9l@(P z)<|>VzJ*uuPSBh95Xg?CG+ETNP9v!pU=f4Ac=%dS z^Y|WQLY^f$B_5*H<49QH72bmzqx|gSsc&6K%j|lWI4U2Ir983GAzm^lyh6}zICZ{h ze~`%YIG4z=;jJRFX|?ZB?rudkZ&=7JO#Mbm3y^2a4)K@tT$@ z2fk7?kY|17;)5PfsiDfNVmw0F3Rxmlylg$kRQM#+)_7q>R>DFQUiV@ePJYaGl0G0z zS9U;T+%Fa+oG}y>SgyT?A2;xQ7a?9H?&t>%fP7sA6{=niGMupl6v%N+oMG}MrURJZ zA=S%%35P*}uGhrv|AY(x6C$K~`7hxiD6r2%91TLhph&702yxyGQOO81y2;hG zOo<^huG|2lJ!Cj?T>u)%5XG#3JBFSp_k~t` zI4!G8I(pwotA~=q`WJ$CZah&O%gi}g9qtWVI`l+zvglAkt)ct(?@4!0bi=uH1QD^0 zMF-ehP#s%#@hcA*!LceftJC0XQr4H|BeV3~5tVx^q}02d-LVziH6P6&@u@{t_+YA+ z%#OMLZ12(ev;Nsw;q$EiCRIbY$<+zjDu`Eeh+1&vX|s0)*((0U(Ebl2`^5aYSsl4Gj`ydTgpeGY7O^GK?8k0aiVW%*{z<+8vTo2}?6H)a*%`fML zQB=(iDlLD4asVX~&T2>2Q40$yrIi;_Nv0wxr~Syw=@~XYWDK%ECnYH#O+;4RmkcY9 z@SCQ-s@rIVBbkAY|F7tVTtszHU>*I5>v}ynPB=s&to)zopNlg{!E#uyagPfj4Z@)R zO&-Wvqp4~bt3Ra+P1lY5-#Gp`R&^k8Ra0Fy-f_a}%jXyQZzTU*V{|NDxsL9bOoxA# zCpU_xtnB@|^G~~x*!ZQ8wq_jy`$qL3-EBKWfo5iESA#=)MYN_~!m6waB)XGP17T+0 z&?8g5YLT}UYR_%Wyh_V`S^j~vB0DMec;ew?A&5 zTtLg$hphu|`*#31A+_E7T<0y)J;Tm~R-72uuN@%n4n@$Z(CT3acB!bIW&$`I z{H9gzAPMqo<=(}IyuV_Tm2SPdu*=QJ z+a6K*!?4e~l0dVeW2`S9EG@ekHKW@+A=rvD$4lL-AX}|ysA>C2=^1kqb+N0e3_(O0 zUDU`X3;gM=BT>qFxhW2bcpVelTB&st-vo!i$&->oL$Uty6wDtae0$aR(%YZ+3MA!9 zrD}^91AIgn1D(kV?4`yP>7d!?PYACDSBLu9tZq;J-9OZy@C**8-qu!dZhu|X%;b3J zsL(;MpHt?sv%fuetDfftmikf%?e?&dgSo*FZ$=IlZ zn&DU=(>WbnpEHT6sZ4(ex~`t)xgjpks1?%O)w{adh~;t_ANiKKi@=8h)}3Z!J*N3O z!CQ=M%lDQnA#c`oIoSxt>hh}9BrM4Dy}J?(*Hl zQG8Xwg??oW6~0YB)xp>DFP3>o83WyzzkE~;BZ0(rj8X!}?!69`+zm_}0~Xf8i2OJ# zU1J$zWB1S{jzQ*S`{ykwKfQ=nT5OWwlZdK!=@IG5W2J8&~VvrHQe+3l_sP^ zCkI?bi>_vh2i>6jU!3h0z>A5yu-`+5vP6Vo`w~eIdph8za(|2okVE^!2`h{w^Km*) zyovShGz7x1Fy1i9JatmUq+v@XP*gOsN@+@}HI-&r1bQ{VI2 z(;h3xNI|XtH6WupY(Fs0DW+2EjqaC?IAGhwMj&w!fr8zn4G}L${`Uw;cEH2M*q=z~RS&e=K_cv6`>$OYe*Tu8owrmEGaRmf zv5b)a5OQH+8fk+YEY(09HmcBoxYj{@TnuMX!gc~up(!EEF|P_O3?q_|R!D*a8qp4^ zjCt#kRE4i%kX`?pfq!C3JX+C_hmzj#-4Ass^SF;&oLY0EL=EU?{0NfJ;l}SFnE{hK zJrt@EpU?0e@k~>WRCUPpQs}}QNN#fDC1grm(2DTK{Gt9=Y$C8AB%GpcQ&iiU3D0LD zurM^7;>;p9{*j*B$BQ^cZ&4;kqI}*adnYL6P~lR2#vZpYqEBESP;0neri6M1GbcoG z^83FK7LZ7ZM!%OkR6o=8GjrgqlRZdIk#pIT^D?tbfR$yIG>idYe2~!BKFJ7AvZ><| z2+2g6nr`Raa27~`xOjh1k)Vn|ENzL;t$;ju66)yC_lTU$YmXbtUfKmd;7#7q=|D)l zKef1VisCY0V^57C-lr(*{0xD~egV?^-3FKa#bG+ryWOT=#L>V`xeT$dlbe^%ee$i$ z9@%~Htle9@ZT9k?X8ys4aK$t4hz+!Yqe8?5sn&jrno%)()s&ks9b7~wMGnu%dLgcD zAA8mqI|d&Wj+kp$Dg?!#E52DPrvn$j{3I z$f*fO_f2hCx{#~l@n5>Sqd~Ixp53opyDuc!7!LS%hHWjL3|O42qUkeqv*}QR2=Z%_katkX++C-v-accSFWJqX)gvIg)9B;6uGK zxdFuNp>mO9MhR1`q8(>4o*gEx3@z>iL7yZY*=kGp@bh|nUup?s`j2F|BBElRhq8w+ z#u_A=F!2x)Rp9FCz^&m%NT{+K{r-eUT5QcpSl6JevM)kI;hWjmE=5$G95n_MXiF3Q z@1f4Dy&S)*7Ka!>(fgttq?XB+%?5)q|e9R*BPdC}Vi2~2S#_**p zycGmH=zE+v&s^Jgyoo&`rkvHUV}Dbf9<^wEw>XwM#K_Ek@#ecfx&G{5D*JHJin{G( zwd~u);IQRiE8l7$5P#|VIf%nkQ2xPqtz)6WdAn5lq5cJ$vhLeOz#OaN>%! z%dV|4oNCyuJKce~In<@h46Z^c=3I!?wZYOdq#o%~a;2!rX_=P45}N#+-UKe? zk>5ve@;5?Q}<^s0{xH^Iy0it9#1;6r|A2x^MhqXTX^tYCw zuFIcGa-ZLZJVw3y7^k$uY=56u))?88j6*G_jC#8+d{}o^D*KiG6D=d!3@&$#+nO{| zVP?6j4xH@F5B(k784o!c#DaAyq1~hTJ5?NMW}cG&s25grvu2!kf3gD};{`7MuxiV% zp1eO#LiSWg{fqN^n;`2_OyE*2(yjwaG z3wOnb(W7m{?s%E*)mqEdl20}sK8akcKG^K>wr#RCu1SLJXM?UX+s{N@dFJ$EG#kn> zqZyehk%;zyT!$=DTx1NgRi&GxFJa^E*(AqZ5>HP~{`gyndJCSSqtzm;@MYVOLiWr;raLB?I zoTJ_Mr=spQ@K}6ToX#na2mxS=%A4X^i$kH|r?wB#1cysd^iu&$D&9``=^e%dw@Xk- zmK-ttg)<|%A4iE-?S1hd82E`<9L;;T@KYf&xDwRjEgG_nQ4e2UVJ9`eRIYEzQ=P=z zqjcM(t@qBRGaa3`os7lhh*0c>?Cp^Ycyye%|?{<(`kYIgg7}2FAYzDdgXz|KtxznuxFuzT5i>D zXD0RT{1r;9A_L-9Nj(ff~#KSnF0~SlTPJ~Xj;Srb6 z&MW6U6y1IoB0|na@y6{wkA{_V#*j$Ccg^S%99p5I-AtZ7-~C$*f8-%Kwv5D2DByh`@^cUK+hNyzPOZ(P_eZWr-G6(+rl3*CH$K}*tu zDJ4f5W`@7x)8~Vj)hZCHgi`OMe$aB(D|>%&9EWa&b(99{1{l=*`!4ajBt+$p=*c7j-Oml9W#C@d*3Oz z(`(Z9>Df#<82T02LZ5IFD+!mXz}=Hg7u~q$ii%xcp<(L_=8`j2847aaDQW1!)Go-V zEok#(U{Ncm$EYoYy(S)I1YI@S5>CM{0|Fy-ve{@XRyESm$uAff3W--?H(4Q86knyP z#pw%j>4`+f_yYsanEWVGSyL1h7SZW`jCv_eJMWAY5?@cI`q4pXlE_5q!%+-|CLE~j z6tXvQX^(9!=Kt1>uz!E^(5(oSyubY6okcG9uDiQ_;G_l@z+cg$U`7V!Y_d;n6j&c8 zif`3>B8`DFDhh8bER2Sz@kl?=YRMtHF^~6dt~t3#ukdfyu)#5&WPYzgoop;T`ST+x zUtdS!`-u`;LSALokMPpu;0T%{q1z&YZE0Kg@*2{N?DVQVG9kH7dKJa_&A7UVqE@Zr zhP3bB23cNtdRVAP8bk1{p*^lF@^B3Jh1BP1E7^ax7-)o@58SA1gg(DD{t-S+uVU>x zxkNn-Chd7>E_pg$6 zxqw>trDGu*&J8opPrIWx2gl|~=!$=9Wu!Zv#&Mj^R$^MdJ3 zcxU&!fG8C$SuoPMd34XGKjz z9{=Ug!5Mdhx;zT(_cFMkzk<4E)vQP{iu9x*Ma#Ss>s-Y|-*m)a5Nd*gPbj2dY$$Ae zL>oIuG1E&ENsDX5$th%JgP|>_l(X1NWVK_*>H4UJcCy9OYNSzDqcW`KN;>PlWyUc* zrjWDo61keUA9##n80-X%j*}42#~3^DWR|5~@{#6M=RX&(o9pjqIHA z+0U!J&#LZEzxluyzs-$xy>4dD;8amMHS_7%#qgI`N6oI*dV^6=)k+`%-Shx#V;!h& zC6I`YX#h4VsAtLb^{Y=o^BlAiqK&Jg`sL)oee(Qj)ao8>(^*di+T>kJ0slr_<<%-N zVB;qvnSi*z1BX1A{BV^Wgkzq(z}`&va-74%O+36xDmOVVB+FY$pOG~yz>DW<2m(<6 z*+20iJNJ>2!0Xf~ln8p+8dd`IfrG&7@IM^0*HWs-UXLP(54%;6f7b-P5OB+12%0U- zKlc-hfE4We`~%<$^hMSX1@r}i9tCum4A=s1Db`$`KVB-3EjDAHy%?&9jYjG-i3w%v zk_<9ef3Mf*j9QFFqL`@)DQB+MhXh{PD7vSh2+~4P6mFbZB7b)XL|f)GH??b z#7AEz2r$kh<=>3+Ln@!;^-8wM?K3 zN=J>wY9(yZgn`QZ4$2a#D~dq5xj7E~?Ra~lQm;FK7r=WA$0s_vJXh~&o67hQSDDR* zs~ygA)tE#mGVxcin~oR2RpxBunb0!_8YlN1e*1+!4?goIScL(uKl(4PVvD)HySuSV z#J9gG5Nhf;Obq(&-tEnmCi6VVRU=vt#SY|P|GQIJ{ZjoG0svtJ>UP9mpEzGZI!0iE ztW)-C9Ex^^FQvlJjcowS2+-x1aGx=8e~CCfrWcO`E9jA%%^`p)6BUM+0rbeF5JV?O zBPBo?#eX%k$AK(znR?v`oU3DBGXkKopHOkDp=;XHXtsYHJzt+N1MP1iyI9W|NrvNo zWqPIpF43QZxETd_p;!UguColMOCX|uS3?#8z~Q`1l(zuNvKr&^7>JlBqvA-8p%ZtU zMU>=Xn4v6V>&LP1^ar@WYNR56;^$ZaiH_6)Ny3}Cx=4P(*lu#lU|lP5HZbBESMQUH8;TwNN%;QU^G_4wbZ zWpkK9mXDF6hH~Cb!XwrkVeBX~$MB2<35vUR-N)g+RUE ztkZ8_Y>zF^k%EV%PpWpqass|%;wjaYJgXzK^F{kg+X7t2=ZK|}0!mtOI>)R50v(~M z;BpfRCr88~cq>LRedm<}u{FxcGX)iz;Bx-{sWMkAFB&i;{x~eos93Hsqmt^jPL+fB zdX}^cMo0d!d(Ca5{>R!tF`g++K$(TT4e3`poNC)OK(|Yb)r&9tzV4Tan1L@KfD?>N z(jDI9Y1s%CW~~EY$M=@ByAD;A>wI2nGow0v$0{hUVIsVJ#Su{HVbTR_fM^imz!Hx=G__(9%++nAVuDn2szTE#68E>22Tt_^WETgi#- z#Av64+^q)^!=D?UtOsLhhWV%D)O3$YkP8uQVx0TYwG@$+gi;Cm>l3Le^VpD3p>Gof z;>I}>QjKv|Ksd*NB?K4+`-SX_1S%mI4*xM8bgZcHSLDUyFBFX+J(8VXQb~0srWC6z zx@T8lAm1$yVV2L!P$G1RV4N-WeYyc42awr?dBlxW1fRSzK0{`pVH|KTa z^hxPh{jkSA?80CA>6f$h2MJQf^18~BaM&^-x;+M<>!6Sr)BE7K7#sxP0y22+GAF|a z5gHO^bL!)1&ZYr{f@{jFf%0RX2jtplP1^<8xg`N5dz(l(P(Cj>vDwW$q(1o&VTa+< zy$~QqP2#i?%u-A7i6lQ`Kv_)^>JL5oO`vxx;fr+TC>Jrj>{)Xyob04QP{fnHzF)YPsTz}oC9;kd`{J? z{=Uz2^|d50Pfe~;QueG+%5r3tc?xGsyMC=QsqnCM#ziku>f=O17RFKpnn+; z#A)9S{MX`C-RdtBc7ZYcpv~7w(CI~P2QMhQ90*R4Rv*Om{`Q4fhn@QqHXXL6MOBbl z+Vc;V9-b6pY*3znJ2ndcS=50CRvsT9UQjnh!+JzoF6KM@0(S|(ofl%xqzpztQRjb@IeoDs`N6>`&@i=zb zg#^yS06t|;R$6}ubQp4C@9d8uXzpIAFx67+I3-k>1Ez8sAxoBlaRXCo^-x&{JIz2? znJnZzX*^_IRiF|pXuK&1&LZpbA}jP_|G0eu`gftE8>V2mQDIZ^$UPJ4uM0X-7?PvM z5vdHU0vZoYd&HF0CJHf!+`Kk-%enLbwPRExaPF8+3dw}}wI6(0l?!vYkF;?XSpI-+ zD(vO*0tDl>%oXfER+tE=o`d8uHI65Mblz?`$rPnl#i3PXPMRr<#ru&q5DM#|$fM%4 zp5Ew~$l+F}XrOE-<1gD<=P+Kho&u_rT#`U5>`}!#nRXL&tD|O*BQarUHy45vhkB30 zW>+s9674u0N5g^>!4!#Zs}(P#5u?#*O3ZEzTBU>5lahrZk(jzoYsnhtYB}e>u`v)u z_eYI5Pv+26E}hYK7BKFAT;(|iw9;}(HCtE@TsffyE4G}~O_vPqlW8_@YB1_Kn>*9w z;cvLO}11GcS{PF(;Ewcl_@?gH5(gp7mHEZG^H)E)jG?3&)d_ZaQHdzbmowkToz;ba>6=0+va8%#W#=N%56ry2DTiAQxM~3i0 zEz_mEQZ;Oo$Tq3Py`N|@v)NLPK=f8#c+c~zkfd*xfL)~Ws$N+K)OkY52J{nT$qLU> zrS(Zb8-V9Opr7l_W^pliDeG+liV6mK2(saHQliEh$b%2n^g2Edn?+R$z*BKGHJ~ zi5WERRw+(P1UM`t)Tit`)Dyehf+vqQfbe=0{X&h%WBx3%d+PeD*DvyVtN3Qi^X<3h z8%%>+d(>Y)X2-6u9S@Lo#`-5@n39(x2}J#za{=}1qYtf5Xut3sP|#X~pvwVKvXitH z3^E1)lxv@IH(e6uGd5^5{1*+3=nS_9DWO z;mqIswPA2v4PYVTtYmv$n}Mpfg4(qz`nl1OEMPJ~B79Qt+UfEsfEaX7;4c<UA= zF>FO)q_Q*v63S9|5_8a6Nu6Y}G+yHAN?)4jI=7u%D#M!@3?$o|a=Ri~y>i_dOc_m3 z${yUp0)$E8_+oVULK@RJ3FXl*8l{o?r%1XIWH?(9JgTJ>Ho2kBj3~dYPWfADv^1?? zesjKc9G8NYKQ4p}kjo^vO{Ei02HN2E7oYR-nWH0REL&01Pqt_P@E7lFk`l*k5{t)| z?VB&#f8&b>(oiUgbZxscIf@vKl@6sfAax?f0G^g|QHv_Ool#o}Z9xoW(vH%wSxU7W zR8h7==$%9^Fk_KpQANRFG@h&{sHj6@Q6`E5=$&XTFevXzF$ZbZaO)9mQCkshK^hJ; z!yxc#+j{e+Z+i0-XQH|hUW(L}Jrkv4PZOom%TaCUoCOLc$Srs-INf+IFzt$|yLRYS zm&hEQ8Gn&AX1*(xSGyZun1+&}?A z#W2`Fp#ip%$!s&lTAI!Y&8xVQgW4D041gl(=j;7qw~+zdiFugH04w})ag{&`PotCa ziV+V?ib|zM6(WUX{O`>R0ec)0I8xn(0N!0R^1XBl_NO#qsA$Ehs%g}uQ3(*M5JS)e z>mifnT_nm}>3GL1e|Yw|G=JB9XDX8c@;MZ(KwMNMQWkng7;4m%{H5v>unR*`$gPnI zmhmj<;-4e6{Qj5{$3d>Y3gPn{wTLr)O1FB}xi2{-U`7!PK+lqfzzb<)M-`ND(Y8yd z7zA;!4?b7^!lD@%^V0xlC?QI1;L+lAa`5_voXE!~epJI?aKV#C$6)5ur={f1%SbWB z6FD6y<%^6eP)foo{KBB@IjBklC{Q^E52PM>R=xOpQCIc4{qR7zt?gLrC)a@b2~+EY zgSO3w${>h<$RIe=(?Mv>l;+PxB}oziP16%3p+p(d&K604!-=RYd>j$nCNs|0fcVul zok>L5!vl~3j&3mF@O?OIiH;74z>V}Ku&6)}gHuulWVLVzbHk6%)$gF98L%>c0SB0H zI4DRUN|V6Rw!ye)1gV%MG$J-vRfrt?ikj87+Z)d@Tz?pW#uL(7;Ks4cv-NZ@12YW$#IOYUEzSy2u^Uw_-AFO`Lpp!;#heafg16a1RLc;=KP97jVf_brwo|E zaXPU<4T7l^L_;%Z5LNP5!uqNT&9ADssza4Qr!u83pn|}j5*h`krQ;$X14CfHFkz2D z2KFi4(d2GjT>A32x6Q>ulvdsEAsIC<#R{ogMZQ1%jCS~gsCJ9k`z=`{F8wKH}WBTKN#ULy7 zhXs>&?h%mW5}-wo#Q$PaRFhyUX$)$W$|4YhmS}&A84n7_K9MejdRItY*nHZ2O1aWJ z!T)>KkT5AMrcHGk$Rr(Uf%`auB3ouCo(_2uc&qWXTo{wc(!>U{74c%uCf#T(uP4J3 zB^qX7G9a%@7S5qk7JPRzB?^U+;E!=Y4oabh+R=G5`S)VW1?@uZ;fh=rnuvB_QaP#F zkOz_PB8Nct>x8BM z@(_w5VZcB%!TF*ulC(@Nf1Er~t^ha@6@K@ZzUo*D8uQErCG0G8Hpb~zKgo5T|D-aj zuu}!33C~)nQJC4~fA%Oy>Obc*{+%1k(IB$<^Zve{>euc0ygL?Iu@RYy1-sOLKehBo zCCk3J@xb-Ejr6qDu(V{qmHnUdUN`UO6F)y*`*XT=bG&`8_Xjz@O(LRBeYiNihpx!I z6Ri4pTyKnFZTY%^gZpfL?k$|2CX$IMEQ~a$KR(uc@FLDA%1^SB2(bBWlqy<1g54h+ ze7xNEPi&lzsBif_-ak5hdr_uZ{ahdCdD%;C|Ggye{&C^A-toNE%<~b8`_e98_xSQ8 z@(x!v=of%uF`??xS!LP!{5_Lw{j>PlMnHo9!$8xm%^uOM4GOj3Ot~Q9XFzAGHw5-F zo{zeJKqtX(WcXK>%pSQddo+995@&YZjR*3h=il|Rsr7s?o}J<~eo}XDr+Y z*NQU``7P1_xMiV&9bCSJojwhb*@r!UkRBj-S1FEz^Bc#&ny&ix(Yg3|1H)G9 zgkqZWLMU)AsZzmBVL`|I!VXdRHrq<$S{aW&Wafk*he<8+2)jA4M6r4a*HpEERm|Pf z($x^XpffOMGgJ*30^j2Zsi01=U8DQjDs7n!da@V0{GM`P>x*BJ0EA~6Y`HW7oREuA77ndIXO6; zZiayEEdT8A$1SZ3Tz>}+?I1Z&p>H06FTFXMd?;c1_QP$>8HhD=$k#vn{J z33}Y}=;#n}p$+HEZM>Oq^8OMk{or9*w6OV@k^vIv;{PvQ3HHC}Ka|6u(*-u@iv=pM zI;5eP{)D%i4oO<%1f=OEp}kR#!pi0}K%^CBR}&e4XPoooSUs?0lEvRBbhOW(XINL* zUyU0$!?(gzs+!}^KEf^AceG25e3k}io&cOua|qSg^3LK{8Hs;-Wy0IXdWmU== z(XqjsRdVcThKuALF&YA7{yb6ocs3|X z6V(^~CjuC*bxwH$e6GeX4tR@7pYnh4lz@R6^i^BpkMpnahcm1)rPV#a#P$_~4W8^8 z0*%j=T~a{M>9RsWqMPLZ9YVYND*=$1Z;eKEHPn^QyUpCF#Pw2s@T^VWME%1=oTG2r_G=ajW z2FBhKVlJ4_^D9|}*IWp~R~n=N?oq>a7W85T$oRtt0odbnoMX?ZQU-$$L75KQZA}O7)PDn$!6du@_1#{;Uo^^C+rgYh6 za?c_|0)b^Nv#l+>>Fo+r%>w}}Qvu+CN+Z{;X=qcrDqjdMr7r~jt&R-CAtT2ZZ*3(6 zl#O@36n>mk-;nwNzfmlV;Lv|dWf{ur7j={_yVApU|7V35*}lW98_{gUN!xpld3ddP z=39#sSi|4tFa5b9yi><9-h1G0P9|yJtt5h3=EL!*3abLj;h}8&*PP->qzlVyCRr5+ z5AisTjue6Ot<(5gKSzP6>yzflp5#-#~4yv6_lZLdL@)`w6cH*e>~P*j69x6 z1(C?(UXAO)vVg7xN*NgA1Voju*3}~>4CgZ1+?pyFWe}Z4lq%4Lul80?8Hl0QMJ|kK z^|hcfVEd8R!;djA62$2g zC?Q_SW?1Ai$vRd4|A(=60PZYk9spx=W83D&wr$&Xvf;+&#RT!un8zoP|0bxqOXR)G{AOi~{wdrDE!*=)t zP$=qIa}tZb+i0M%q&EtJgiUZoBm%Xe5Ng0i=qyA1f-$!O?9HJom4`$Nd~NWBaiLH@ zkU`czz1jX^s_&`HlwXF^Pl~lCu$LF`5^yO0BIXgZFVdyqR5ewXTdmisvD4%X9xqoo zmSW2(`mJB)U7^`=$Za0}>3{G-O)HiwFDV~nT9vb4#*GO3A(^-X^h_WvPQp?)9>Nh>XyO5{5;tU`Q`nt zzo;Sft~y|5bC`9J3F-%4t}%ji#}U2GUwq=G>j$LP@vY%r7arxCXIA65!KD=T zUaDAy#l}dXTXj-|M*L&CDaaz+eYcn>aOCum07+B5!M5q-nEJ$nVw}t4-gS^Yn@mqC z=O<*=E6pin1t}^6slf4CsRTP((t=&n>$()>!g{<6uIuUxn8ex%nII%-)r(esz64jW ziEo8Qi9hY_<&Xp}NtCQN7<1oBBMo7IRzX|ku0NPn)ag|P5hrP(IH_oZVt-C)kXJST ziS!!^PHY##pP_~Uoc{isi9641QN}FTX=3-xq%w{Z(5U1OPh^uvOBB%UN1)oeZCk`^ z?3Qc0SOv7Xeu1u7w!f=Ylk%Kazl%S*`hYNfmmcc}SbpJ_K1uDc%2H(7lnzVhfGko; z$*5EyW|m*EFG#6%uaC;qR-A+kGR9!dhYRgj&jBgsQC%8aA(64soI+hcv!yq&6&^;0 zT2xI)sXlfJDkuM46qCgpasCp^&Dk-H)+}GR;t?_}ylsiL>vHd2^orXc@_HyCfr4uX zqC2<~HhI~6Q{=F7UYU)hd$wjFiY zwlyb}twns<^a`leqo3m0MpoEpVzSDM0hO@XvWcwJ#i_~%8weMlikuPk0ab-TN=GhD zM2LY+x3J?6qX1_wcEs^{2b(OP8P`h>K+04shJ8QHqP$dDANKvh@`fE|1@}c70`Njr z^l**V+p+7dtQ2n~edA)z8y)oKQKuDw8n%s5p_hLT`13cZ*b;|8_{Yd>@<2 zt+k9(Mmwh*KSr!RA3p}-S?Tl47-n?1%*`H#WR7ok?+(nlLEk*>r3W9^awvd^Agu%{y6| zSL+Mhjob9c7#g)@Bxx;{S7T+{$+RV6k8QoR_CkH}ZfxT3@_^(7*M;Y_ zlOWNWgf*PkTYOf-Vf#A;|J}ET`@0&>Z%}dyUke%Qm`r!FVC>e#%fc06|7HLUN1qXH ztc2LuY70o)H!SC9Y+z57&u`2$#DSKFgn^pP*u+07+Run8o`4f`3~P>wa7>!nXr|bE z_Li5HaM|Dzd@ClbQn^eP+R((|uQ{kecq%Lc^KY`Y4;6nPEa=eyuO)*LI$mGmYmbt@QRd=->Uc9=x_N;bA(kxq{ zqbRphENY8KThq<6=0gN~Vg2bCe`)cp)!)OYCWjO7uu$n5!KMBpnAMdHv)7Am>rGpB zq~F!Ox`9{F%=U;c{x(&!3+@rbQu9v{)#YrU8TtYG!uDIfpi}qj_WAEeZ_gp{9+6>l zH)6WZ6%w-iiq$P24lhIu(u%CA;q}ZC<)9{N4{&&)R>S zt%thbiC-OT@piQR_+fkI399^XeW+zBc4{w$_Sx3@vG?&xK=FGS(cXUZ0`lbHVg2_h zBt!RSHNWTM<6+60`jcNd!Tasu;py+U41IfiyARjDmn>5D3|&4q8$3uq-cA@Y9F2d( zQvd@!q!^3E15it1>Y zr+50=mlviN!5J=R>)FtAJ$HWkF*PTyg03r>efs!(_A zEFZn`XXh*fvJ-JvA`&~s&DQ~!=bh>ZrXwR^y=1;_7!v6H!BuhbK&&Y&2&T>7JUf2T zlRWbNwWWr3fq`-4twDQ}dzKWKB}IAn8?&IdLy!ipR{!AgqLi#MpR-qoji;DJHwMPBK;p0Nts z)~Ky@SN^(QSk0}>skA4>1KQ;GUSZ&^QDNW>CH&KuJD4u^jY}7IFRj9IAe$0SSerr4 zcGUH@>L)dw-$zGBZ%s#@(9K@$))DnyzbaIcHtc@?ZN55LP?``qO-a(#5w9vLP@9_- zVprtnx1ZL2@cY}2yJgvD-Bo1v-dojlRQ5rac9oQ5(PgAoKZCn+K|d53UzQ!|oGZg# z?aJCJT17I;Kwnrubr{cMiZ}aOZojvAR)&B6x>*p_d>yPBWW#935#)5aY0^rYI!NEC`WE?TBy$SmSkR?vrGA})*YQ{ z5B^l>U3r#oMN%-5Ze~p~_d=0VsHM)JM;)R5k6ht--pm7o)yt{w))8~bX+gIs*Ap<$~{hkIn2bKSUK9z+3@3&NnLHP~FANwUWDPQFm zzT&>GTHSz5-B*YG5(aM%K<1qrAXBitq-UA~Ju*lAcT7cM)UR>-1*-PLMov{4-UstW zh}~P941Qd?yXSta20z%3SCz(beS&cM0YCMtwB@^+{P&%(gke8lTXpCUZnoR@4TUp( z(U<-c_*j12J<37-RX^^=pt-=;21>t`F&mwa+7haz@95=@C(c837cYa@q10?h^|5&@ zor=e&GWM8KT-qaM3qGqhA$G62N&KjwJQ)G`{uV5-H~hGA{2B<#=K7i4UL)|(>8C`$ z^A(jf{a>xt|N6bv)ME?u70(H*wrF1PlH=+wb&pvAL1(_Y-jcC7Gy*?+o&Ru=0i~-B zPVI?pDnX<}X_%uv8{NLtt#N2sb;1=ysf<2 zVq@Rpf$KTB@fBtoxMI<%hmF<$z+d$ScjocKlnwB@Sk4K!cGbh2YgGhifd_AZ73bS& z%n6@qJ2f?_Ph-&&{+S4&7W-**QM#H?pVU0(4C!GEY{f8GA%1#xe}A9f6AmK-+STa~ zA>yrBddQ*cq>#edtTu!3o2U<1>4`cZTP!7jn13%j%x|g8!1tr!X}1MN*Qg6g_)wm9-KIihJl#~@lFMSja0Jo zDmwZs?61iJ*SNT|_KjdqTTJ`DPF_*_RFW0oYoL~5YY6+R_`2`lzV&tRxp3I{(%Z;@ z-fPzsWLeGDbe?i-IG)Z{iBm#1!xNb*6(X*lWy8RgY)Qm zH>JsT-(7;nUKVoz(ya1WOIG8dQE7`&zw*YWY};M6aT!VVt;!9+UKS|x4mYT|+ubEj z_w=$_+)ci0b}91i)Y>Wprm#$9B_xN3wKQn3OrNYibK?eFV}~~wuWP<`veCui&;vdz z%{@1*^+r3t$URFUUdXXN?)e_n18bBMgW#i&)aY&O!|rkFt9FoUxuosM zjso;}t%rHN*5w|>eK{3Jhtjr4U5)#l>d#5?)F(b4DC@S(x;(bVk9A+qq31o4;2eBK zYjRaKFTPWNRWX(>?xQIVZ=Vx({8(|yyS6e^{a7)|d)6`({m{tQ;gHu$=5LNy@gR`A zQICe5-Px{|tg(EL`|pEcKm z4=3)J?U_V8*2SyIH+RD;iiZAra3_CIPjAs9%DfMr_Apx;x5d!Ejnp>>?k^VQ4CyV3 z6MDM7nAf4bZB%nDcSqcc-=1z$)M4z_i1}r8VEYla-`}nD_$7CI^TTa_pI@<_{Dhc9 z&Omb-IQe}GD*8ggX>?LjuYM4_fF{kK*tvM=f23F9HD*(%%tXTHFy0vfXV?m zC8*08pLFS;jlp*WiEmu*7j35l`jr**cN%vRDCm*k&|^}39<%wQM%o;jI`(x7|9wm5 zPoR~HbxPUqo}$^)V%4Pm5IC}`k+GgNsk`rwvU*=${h6J;dGm}RhrUdmvNL^|7| zv+-4^2K_oyR|`0m^*PLyVq3}?R1MVi4_?+oX94vXHku+B&$5Bcs;IZX%aR{yJC)RwQs;CF-rxPPqvO-rq7j|(lxoP z`hrn?Z?U<7G1b1jUV%NMb)nFrRj+8pM|hdU>H*l)H6PtFwqUOk=uyoD^}hB$PByTbv2Zk1;-|2GD|r08;kj-Y znjlm&hDgm<^~S2V;e){*)AE8^Fw1^uXI%rEfew#2UU z_aAfWW$#%VC~*&><9s1qaceyqE$oahXr&d-&5xMx@&Dl3azD`}d*hpj^|kklhxGw{ zoo+f6`OPfr4^sPC^P~=c`^aj^=D}rBFFK_UHU@20iY@f1(TCn{wy6)>*-Rg84hntO z_kivT7V?k$X^rA+sVjo;uKYYxDqXT=<=+;*b#$5fl~yzozID1(-4;*!r+!~*JJ{^v zTX*;j>gUbNe1ow}a`qN6yy@Ng6`tXJv7csUX`gz60KzN6lvVUfNzrDHw%=Ua*k;ff zZoIbWD8K@Pj!xQ=x}Ux$m_4SrPws`TfNG6?lSMY5!4gk4_@at--W*LOJcdgVyAmpYS zNZ-)N6q|mE*h*ub4BgZXSd1DIMa9`jBrWL_kwtYK{su?Mr<+SdUm1{sGXx=T+dJsJ z$shK9$`>U|c7YP)1*iPW{f&I0r3+3{knM1Q6$f(*XG_Vst*hSr;p3{WW2iXpgVt(p z79}sMI);Swgh(Z%z&jd4HU$?<(u-DHZw^21e%7YiPF2c2isD@aQ_;gUnYk?6{sqMsi`B+Zl$U%;+7Q=m!gJ{%vi)JlJ_EQ;Fek3Ju)H zwSEMC?A<2KJ zOqz(sT1zwsT4u3Ggb*0Qq(U1@3gK!yKUy{u&Bw}Vg!Sh2n#=U8!6gIfeTo3Z!mDCG zbJ3|;Y0jHkUlY;eWK@K2&-*l|T<~09qX6@qOyG*%x*&Js^)!zgtb5z+P=ILLBwX8} zHOR!!QTI?MEdjzA?%uO_yawcu9*3Grw@r97H><}OaZep!Zv!O9IOrclrXW){AT#Ym zoVjwX)KX@&aVR1CR~2mz%&ag_GgBGhzk|5M-is;&A2fQhbxMU+RNjnDNu!u{{IjP# zvhb&(`$fswc0O6?i6N!XH|JdkrTDSGsdVXD$C1dkiK0bvS*uoVT1AoUC;7Vd$CMIt zuHL3EmI(HKEq^7&T(%9l zf>O;vs+;;^!B}!hkOEflWaEG$L_oG-IA#S1JY&&$@lz;B>rBC{3!}qL!QXN?Uw|kk?_d zWpH|lRWKy?NA8x|0D-3krGVntW==?o$Rwx>l~L(u%yfD%8HTTE2!gk6Ntn-<&7Rx{X?tSP?ai8Iz&RK925{N&Oj3 z2uEkwe~H?^5qv})z2`#_KX|6JjWC(;AVw@BgX+UA;2}tv>j5Jg`>6I8v?K9w(^3*W zS5X`lyvwh=xX#D&*Q^;1ldDTCAHK=2oMg@y8r4LSUA$JQnm{Tdhh-QGfz)GNBrOye ziLA#DMow;)@t9rcMdsn9NrU;m0psjZjIGp$SCpYxk5=B$YGayk;u(D=L;=7-4Nd5i7ygLS|_ul_%Snd75c8BZ?CnM3VY< z@zZW$B4{Nk_qc|nns8&G)U=^MnGytv7BtCN7`gP4P)x5B`Qs|-(<fv=ic{PKwiqr?ztlSJWL;8v}os6uOlT>6`t+ce9n82dE#i*i#_rz?%Xrh9# zR3v+#ljSH?WbBnQk`GdI9`v4sZM_i)qtAXB^DAMvf$fx`&^{Nr&eR$!oA#GIpTnu{ zY}i|)uy4ixend%PE>f~BS8J-XaT6mVlz@-rs2z)*Z1q`5dM2bH$==qGpwnS8wIzp5 zJh91Mh)aVDcoM1{Zf6&ier2!!{GPkW;wp++CCezzT~Lt4q{)2(Tb}Z3{~dD#S+4gm zEsu0K7TOMuyC41~%VkLPAt_+4?oNUQ!!RFYTcd0shCO*tbns`PG4)KcTLjZLpqNz8 zQlO0DxkH*(a9R=wcWKvPXbXO!EcF5wwi_r!lJxAv2nr)iMa%ezEC(=Pt5POlyhac* z8ww22sr_W4HL^&lJpt)rz=}i~VKkwDP|}=n?md?bT(~Ic&5;K*-`mMSU6q)GxN)~Z zyt+Gu0-e0)RYAtz-A1m-^D;0(sZhT}0^q~rUJTk>$0(x#MzKa(qa>E6Oq+h)i<-EN zM}9GN#^KToYDC8Ldn&|d&0AtmOuGC!oqCNtQYv9Z2n?*$QjD83oPdtX#+doiF<*QF ze0(tNpRJO7vcQM39|Yae(3(D3IHdwDdhr>+FS@q@J7J`94}rc0r_u^k2&M^Wl`*Qs zQY=G~<#M|{0n-YUx?qC7prUe3{H7A!lkAX$Q2^rYQ4`e zl--}~@P}zU5)M1*B%wM9n|_T*s>ptm#7dY{#fTHGA^4{AhVH}$dwsP>8qUHzs8xjC zu+eKwV0Ddh2ONY|%6)?AO1Lx`3%S=`(}ATlUH;&zOlo?6eqN345Ty*JtPK2nP`Re5 z^&oXddx`)E=mF?47T1fiP{L9?QY3A98TIKoxbCm!T;m@YT)`5Y688M?bg9rhFn@(`eU@{k9CXy8i7LdJ} zj0XHF1P!MC>*zdu`)CIHWqp~IQmxnNEp5kFQx}yXq?~Qn!>zU9$S|fVvy~Jd-4-t9 z^Xa)i8G(IQM@2SHQY~Z_OnK?ZdqQcvMtyzGBWiuW&rKeWj0g@7DRM0MS8>0*gC=e# zf+_{dlpdG1Ba5Y^oP*2G^jad3*3AHeB-zG+Btcjb(Bg#^lNA|HHWrR;&69HLCB$O> zY0=Ie`;TLg7blC+y(DrFjz>iaT$0(q@nBdl$JnF8L2=ZQ_cIj#xcsCHvFlbUUcYmdN?k|3@0KrKD`#<1(`Q1)J zF@_-n1wpHc?c=D)V^PYgvyir8XOVwP>^-GMJM0QQVJq@?{)50}WqQin}sl{Jng^5P0do+s-cR$Tq_LUPq6Acdz3Ejz9dBS^(Jpz2s- z-i>TxHakp_I!{zwFhMSNz`GZ7mP$1WBbm!J#U@wH_eP50R%Mc~m_@iz{%d*3HLfE1 zQ)Sjf(vTDjk?o}!2r(JgL5zDl%BaUsr^hit@h%ZLtJ#P0NigzJ=vI6=iL|9r9;u$+ z%uP<($w&rkbo896@&4zk`v`Bin6|<6u<3XhGu(_$b5z|pI%nKJC!Ak2#`jL5Lnl%k z^Ps1T0}>ATLfQRcF#XdK)V7X45=Md2>Sr1>s2ye!lsH4^dzHT3<0eH=HSUiT6q;W- z?iVfQU{^(X8uIb7it+QBfTYA2%;qOL!?CuA;?}#+iy<9ABr`#tJR>EBON4%4Dt~*A zHATQGHsMoh`A)VIE=2pSR+_A$0xne>=a3zyI8TiTxULQE=iA|8e+5IeUuVk!5)+DK z$89X~#m|?X$kX^p^s3;{Y|Bw{@dW8o4@nOtW=UqnR%NR!Qs_r42F{E40ckhRgz$nn zve>akk;q>vs(mJ-16W+7>obkpd88-L)bub|vm+?b#mB6=VG0yseLj-Ms?;WP`E#HR zjr**NyKk8gY%-xQM^cAY@H%@ERL2F zCLEV$)GCbL%nq#GluUDDE@4-cC#rNG4Re+qua;FDFxxtaMU@&LG!`bQ)g^>;B_vR* zCAf+;ntUyG%oZrln&q2c`80)v>*3)?Eg*Ym8xq2K#C=s_4t(zPqXBEti`(wk>jgT0 z%Pi?d_b4Q5(4BM0dVkjP zjzTHa4|KTt}Il&8_OmQ~N zg6*Fa%^7PjuPpGa2Fu^3==Tr-n$+w{0q#b?*e^)YI@(N%=nHP zFimCzJjeW4hWSPUVUi9v$7;Cad^2yG2EFl};DwWlTsIsn2N1h1J@;?;U~Zs4u7SWk zF4A<^Y!F2j@}39{U#MW&QQ7o3RMPE0lD|6cQE6wf=XGbLK<~5!Y~gFtGAm-&FD%Mu zBdZ?k99T`gd7fuIx7=;>Ldb+iteS$InS8x@ox_;r1wa&#QCevhm}n4pITfu=mVBYr zX~@)*lc${RH_sPeJj1pD#gY4FUUow-%f9Q&2$~j8S?3)RH3A13ME<=3sD13&u;?Dj zXdrtb9mx^vbXa{;NcG<%GMK7Epr531JK{kk{b6=5wG1A1YDtcQIDFE^<)Y%cS_$Ex zb90~|pQLb5D5#_x*H#BZ%mUw=)ks`HU2WW}Re_V4&8nfB9BVA`efZg`Zy_y)Np7NS|yQE+$d2Ncd1Hran5pSs(5S_$#`OOTtAi4O64`xMS+|t+Ob=D}iVs@ftX;pr2C6MX0e9wN_IS zf&MBeZX@sc=GxjoRRBKf=>Sbw66s)xE|Bt<6KuXt8%>y#DH^#Qm7-mE|2iDS$ho`E-b%)g7 zJw%+*3PxW%K9(pT0DrEqd3!LTv+FPefJn;81=9CZe%0$d#38N@s z8i@o*rd#w!dUfuf;xNriu`3UKhyC-nIE{R7dGV~Hjq9Ia+PtDw`OZ4VC;-rV<(T;w zqfu(AYQmg=mOX}+wPN7fD zb{IA_tjas;pzE~W>jvr|Mk?fIBgKGa-{V*C^us=NzQGzr;P8_iFy_WHMP3Ka6v8oC z;%YS_4WJ`$E4~t=z`}0_DTDx4!$9X}wlbPF?Hy|;#FK+n0J%f30!tH_frwYq=33JG zeBS_i<~#{;aSKZOJGTn2mju7mL1`|MS=#u#FRI)sWy#1e_KK#*sw(TsWnjn!m0yOP zGUnT8F~B}<_?mX{wJ&dlKCh|B=x}YyW&2VJKi!S}s)`+!pdIt7ij2{6UdXjW-cZsi zP0UvhjSSJWqyl6wQ>7S5l%JGKtmcbz1{Epf28(@^2z)FncJ*p>Z8ZGn5ImB7`*w9; zPI!neA^TJ55*n8i8K~l+qvtUzw4)w@A7k~<8k!I?jDZQutQcMCamUX+lG$-ArxVW$ zJXmrnGobv9VUZEb(cPzZAZi;OPhnxNniX~%$ydC$Q+os7Mjr5ecXCImab0+PdvRCc z5-|>($OMzXC%j+Db(1EoPm0`z-A`Q;tntHwkMP8hO5bFiX?2oKd13AEU_h_aSb@#l zDr|kl=FUiewQ#!$=-_f=cZ+P;r*pb}4UaQO;zwDJCH^jN+EhPi(m5ayvdsMj?8p2>8aY%%Qvo z;hsnjI3bZ*v=(cWM9-*)A*wj$h20*Kf5^vq&F=e&HlV2a`>W@VwKMJ>{`C8S?~&R{IP1H_-2#=WR(p-U}FcZO%u7cz0XMUDWnJinMj`B0o^QqhqZ88}k*UDi6Hjo8BqzMZ#@WSUW9O)(N zoJJC~JQ6f4pG^t!5_H4`Ff#oCqRJyK2EL2!u%%vi`2OQ89mTEJ$RscxEl~sklpw8Q z`{vKO0wL2V+w}xr;{wmFlgYnCw$QUD1KEeUsjWaXG>Eh=e}HQ=O;~*-8WBTA zhtbM)8DPcn_nwTwd&f9-of)<*rwz=6p7wsGB`58-0TVyre#4Bgu$bAxP@kwkqd{Ki z>)M1yfNk92MeJ>)5nzep^0{r-)2h2B+(!{2G|kl%Df-)gd&r8Hwb?X;z0wOsp~EmQ zlwOtv7FHSP-ue((K7d&oj!)nwLA9RrDBDwViu*Pp(|MPSbE`+%NjdS?z8M6=C>FW$ zz8Fgthp{S+g?sjaZVOnB#Jr=nnUH%5f#EN@Ch34_P45MXR}7~UZce90=%H- zOq4vueS=#CAyssOX7@WpwMG^-?r{U;3r||U2#IX$sPNuKu{^%KXD9tWnxJ$mF5Ntu zpj&T}L(2)3(CBRCA?g0k3_li&4IE20-w2*~t9AH7Wq*+4j)Cf9MERVV>=#}6es>uV zC=VB$-EdnIpX@h+r_k65OWrT-v^Oa2bZYd?KYe=FQ<7fcI^oZ^1=}>vmM(E94yRtZ za?EQ^d_l6gYFl>A3e)ES!s{7kl(qs-e|oFzDIAhsbE{2ly3jw7gI3uY=62&U$6be@ z_y(qI1fYD%cbj_hBhdnthi3-YoZh@`B=UE_6e`CYEjzs|Y0}BGtK`y;fuwjnKLw3Q z+rRea_cI4tO%1OL#Y9!ytCCpY{Ko6;g47PO0^l)ONwSR3nJ_v*v~d}g;LL!2-DiT= zUyj^S03YdU6odokSl(^Ec0p@wHKZRgTmLpCchSECrjb;;W7=k6yQ(71@J}Yi3)w~y z?mem`brsJ(1l?3VP6hlKt>XJp4ZdD)35Z*{f@CO502|5bO@balYtTh#ioZK}GpSEN z%Q7=VqeoE?d4&s54kip=iAyf`tqt3D9@^5N<93=&@g7+x->r#L0r<&3u5*Z|9K zhQmF_Ndmcjl!CP!UViryqu-*`BGpH%L18@a3xoe>93|JX=YAU!Laf9T7^gkf>&%@j z$LRUevNDWDiT@ik64(x};m`nq(qa}2(C1Dxnm^Bk0_IKlEk6UkU~ric;Wyg*9u-V2 zGr^~CJ8|MmnnBPLWO)FlQ~#lJIBh-XHu8MNEXf!(X7=uQ#!rA25k$#Zb7pH$i?wSsKhmX+ga%jL2_3Jzr&nuTGswQ!qjZ9 zi~kbkL9VAe1c30s=~2Oda&$A+oE-L_Ug-EG6#56P$0{3^!S1VvFj-HjoIb?xSyV{? zK315Fa%n0>>@}J&NX#)cNv7cbS8Z~}fN}B~`@p``M%L6`cgeJB{6E8FoXbRa3A}P# z<5*|yxOw8IZ*Zp&1eDAtF;ZTYK4G6Y^3=VDq}`vB_oq@gX-2KQO`-4mmk}w#gLGhD zJFm`Q`afDk0_G&&et-M2HcvnFmty2epK-TJ*h6(EpES$3eT0Z;vU3nCCX@gRo#C>XEK5)E^I^oP8Bt@Z-WaWg_~#cr4+c3+_&@&{0sQm$ z2Lpmye3ParPK+GH0B$0fT5sQn-gJ+)ewG0~s`nXrsV# zpH`B>J$Z(Lt}I&~pavfptIbO+d30Yiulq1{wd}{ohg4vUeq_-oLX@gXn z{fm~uxR!YuOrMSd$XOZ_IN)G7FGE^g{wZ%^xjEak(KUB+;Px6D+z8;D6OoQ@Q*o+& z0rGI*Im&&25HnLq3VnGci2ux((1gDYIs$M;aAT)5#s*71P)G`3-(CM$BDI7P@YTDq z4}Jz7aGvF(p5ORg;P)a!6RB2%cV?v4f(f!P9FjGrdO|?DFK@J3dxmecjfn|nuvK7G zmGcQo8YO!M{mYtwK`J{Y?KBRpmk_DfL5jiUAz2+YB;hvNmOO@AX_9Xj+yEW5ANS`d zjwd^?-(X&m_b;8`*V*V$um|`9QEsjrH~h0mI>MgwKP7TndyM^sYBd)XnOICjM}^tU z4U=ZJLqP;A>Z4^CXy`$Amw=>e+z(=Woycp$H^{~21qRt0U!P!OU_!R)-z()*#>X%U z&wjQLcWd$Inr6>2Yb~`Y6!brHvf$IB!;n8OE;^Ta#Xl`B@`Nj8oyP~*O29l^|_xjk-j$`*2^z_w{{-Ap42uuX@S?^ngc}u@M;He zM01^R?%$AbUONlgbpXGcz{#xkqs`)<3E6WnvH3IwYXLiv5toY9R)Y^L|_+Yh+J9-0s*4 z@J+JZskpb_8jKKOk`(gaW3hz}NJ~c_1rKpP=3=Ur@mL zr-8j+-q{Ir%*|mj?lw}=W`6XKuBk?V$@*F(NUiI|6xN7J#bpQaZWXaS`o_+RD;BxPneU+WNJ1{T{vNEcf5|4(mI#lo5!y ziVVDk))ayUgd9kDb-ZeSqbAuos6BCvwRw@JBG`i=@*qNJ1|r4VhHb5=9tjfZH56em z)ZKgIT#u)vb66%$v5D^5^kk-p@qH8X8RY>vbUNG(Qqjm7*fjg#*5GW)D7((y-J~np z-+tYl)~Cs!e%rh`D6L?2Oop&vFBzw<8pH@aE3SB;vf@wa z!EjZD|Hwfc6axPQu?mbQ*jvZSfp|@Y|MMVR9%?t{2i46P_4mLRrgLh-2qqXLV#PR+ zFdzv^LWY=^ctBnX6HJ^D7D+nNc(qeO8o_im2r;%WF;Twm!4NBdxi0tsTgXqOOrgqD zsBo~)juExI_(=^Hak1vHSes|gy1Y>^?Zd%tBA8tCC zk1@P>Ry0!KZm&f%^1-i$P|A%!D>VjB*9c%&%Z)@kHUDFD6D^ZpD|!H~Z)pXfq*Jaw&HY zv1qOMi5UZdA6`x zT%`{IUhVj>b67O7_f}wb5 zkjqm15fTnV;&(Z7gwy-XenBoCKn3t=quRNNJg5=e-_3?FB4TrnhGkk6WX?qJ7-Ll> zt@2!ow}WL`WhRuuV%W=yrqTj2m=3fUr1Qc{;*RzYt*Qixcn$2n8Od68Iu>saO1Ex~ zDTN`hl`RedzN9}$ppqt9lRiR)OXg95>r@rg8b#upesXY{jZ>3MuB9wx!2oZ%+BtpL!ahw3K+>Z~%ew`O`Z%Mji$$4eeW}h(g>Kt*O(DzH)qhz}L zI@#g+Z_##PyA0y8uBTkvxWn8!*wc)uSId<9oNh=Wx*abO<-X_tK$m|ADNQ^%TiR?|6FFbo=b82G?cUVvTnZ5qb>h#DG;?bSi znzeIfwr^twca!FPs29?m9N&=0G*B{`hJ*FNCpN_%;&6u$!%sv0nt{pbjVbISGV!PH z+MUsfoiXIuA+^;nz)qj^O7A%XgVP(s*oSrEPusOSy%RhA(6dEqtNo6>_Wrq6)XglT z^L*b{!^mnq=3ar}VN7thVSIx=(~x-o#08AsZ({QXqo~-BG;g#IHPI=#K}-U8mhaT> zKO!=1BbfNG%`TOmGf+9bQU5iN?~fPJ8y+%>gZl9yGW95eM*zRxVX3}L!ciZHS#9jNs{qq8so7uu~ZS9wKO3n<*d0h#` z=Z5^e?%;%mpt-@Cswr2yw|3M- z`V9`bkCNwD!5(xVlI*{AVrIvrXjp+X}BY7o16wj(GweK#A(c7IkAbP<0#H;G4Yjkn(9dBUPbjru60b~5fpeC z0Nxcs4R9SSU|{1yx5#lGB~SpU24pvZgXxrHIu9liBPEvr2UM2s{<#JNW6pF!BT#j! zUIhWER-S6zltd1Trsn)*0}QBY{P)fsm{Eb$6q>d`549dp!2ND8F{2pDNF+6qTBT77 zaXh|yTo18$inBJ^Fk&J7fjF9?=|V4?6GQ$8QLvC)C!W7RHU><*0`c%S7|w@u5D-s2 zI1!^TToKCsg|}}|`<}gs(D+XGxpB%2c7$<9qP(P5BZff$M8QA+;$@rz(J(9kks20& zm?^yb6PFTz$jt^o1g>tYA6v(6RoN;AAU_etnTs}Wdi(gqcFIRvcVaAgF_kj~@I7j2 zZYLhpdNH&;7&+`g572*q<>_AZ7OciF#+4^@Yn?r6t=Wup?ZiCw0I3^~=9`Xu&>J}$ z3>!uX)3v+zoT_{x)@Oj=%kIIHC0r}fm4kjYjk*H-9N3lWwN$!KSvu{>)TjOjH2$&D zJr@Ie<5=Db}~*oT-#@#8lfE&>nUnK8tdu8l(h;>%?7%4 zoV#G-X5nVKv3z(}cOJ8%1ds%3-#kkIHEaW1(}B7jh1&`r>y5ru8RtXi8YJF!lam zU?JkVV1jpy6p>I)s(APD1xJaealDM5Gb0XsaUd^=X0>e{lfP77wsUeKn-Wj4=>H)I zK(W=GytlVRcS+VK^|@P9g}xk5-|Cp6Lh15^ckTOLGbpsfRsg3?>9FivmfD^@p7`fM zM`)+|sE^*A7bCOftd(g(cRKpprPowiPkovm>)pkMhDC1~+~j6WjNjwmOZzwMslS>r zC5lfFTLpHsUVD)t1j$B2TEyd|S7h}%gqEg?^i8x#rh!c8x;nM8yQpjXx$YeMA!EPox@LC~~)a>VWgD);qcdm{&`(+{Y;_RQI){NpF zmKoY!qurPZ#^9)$ck}?qRe|Ue0j#l}5 zbJfQ61;^;p7XWV{@b!2QZQm;p5M1`><6}CWD;|kjWC#4F>Gling19#RE!@Aer|acr z=;F;i{_}Rb?dxUx2J|y5dFHEW_Os8oz03D0UdrJ8?C8nf-tYOy{>%4m@heRCJ+BM! z=HIiKd=vVX%mn5b-N&O9Qvbw4pM+QL+9cVE%bmw4G+rIvkb{)(y0egkAF{>}W#s^uI^yU0u@nME*&)iW=-|b~>Iflh2`2qd zPa2!h9b!L^cfi}n@|EDbTlWLNvJ}55=6xJ>?=aG?v@6fEZ(E7z17Y!F|91UM;8$Cl z&{j{!+tA1UQJ!6$e^1xP!Q;@y6<6O!q{+od74{C%w6gEZP#8tJMt5yzDe^`_6EXTL zWY@V5*_-|ew$0$(@@vlma*wy))jfRc^$P>*lWd=1c;3#lQ{6qhTmRby{Xa%t_f83r zA=Y(r743I-_+?%_nw5`N$7#ZYMChS;l(yrmv*~Kr_wH%v`gzEdwxj4nXMcPD37=}G7G!9mHFJtz2u zdD(rMoeypyGVXEyw)5G}x^`e->AFq!p@Oq#2P1gzdT3c)?>2{`f!18##`#xoi2v5k z3yWCI7rQTVct5)@wv@2Rs{a~tz%Pww6Nnh{?{|zq=kDAh{D8f3L_$L%Ki1y1wsP?5!B4jS3bdzOqaK#9?%3A_LYvdoS z%1gp%Er;XDDgAT~82rwpf7Vq=kWVB^MpIBQ&mRlTDMD3z<}68}#bv{&944D+3n8YS zWyxIpJUKvfci>ZD1^J4_x$11FrpHb;z zT=#$L;tOAcXYOtS;RdrGR=S(~U}^3>)0Zw5t4eak3yf{UI7y8Pvg*`=L|?4l%Wi*3 zywOi|FDjz_wQ+wf9ggLeyNRk7xIJFVpge+r_wmg1G`^4SoE&ojBw^?6pNA7i2FoL6 zp2;4AZ^{&i(A)%_WJb&Cb?A@d?IbwW3AVlJzcm7aigeILl#OH_8sec56F9K5w=)+= zc5+XhiGewg=rjEVR|nb}Bn}okR6lF#%OAxvgQ3<6Z)x-@jtlF{4@~jeGK@EJ1V7Lo z)l79Y4VWdF@=;y?nz#vNLTU!s5<7y~d_|xe;!9_PY>MndtYM*1^*<(XgSJKv z!#e1Z+j!JqucTmyu@xgQxwymkIJC;-J&F1iGGV+w5B$I~97A?|FNlM5Z%cq&6D4ox z&q*CY93degY#tYkr3i_g4NFQUht7hBVLv}N(Gp#I7N>sEc1GgF{$;VYF4YuLf5W4E zYjj9p!^pi*-xRM3YXn%#`%5;(v!)wSY81|tXf`zZQ*Z)0&AGlqTx19dKFVh8xm@g} z-3f^^trn=G?oSv{M|jp%Ns%P?n(jie(V5k6a2ucTJxPAGkl^VOJ3Kcx(wE&hZ~%!+ zjsV2UZP!$q`Z}d$pJ*Rf7Xxyib;Md)4qOGq z26`4(J06aRDfNH!Ruz7p+n4Dp_J6op{jG+xo|*NzSGSJOIF7_^H2agc@H)(%ALo)SSuIxFLAnl@uW;Tl#6uc0+1au*jFmnn!W zxkGOb(TGC-Nwnly1-E>H1a~T}5gMd}-}<$&{3{-Y}N z99MJ^VMLxSn2p3*g}* zwMwQ92TvWgSz$M28q`Kl#+?XHfu(QVN5!Pn`)L18)2(&J*C{%Werm0af!Rbt!EDN@ zf?}5O6^R2;;@^j3{kv-z#tDh*)>5L?o@~GUiO*3OpMBM9vtmoZD`#QAL|WX;#&^e9Hx;~ zBRL$(^Lh#!hS9Yr>Ypfp)&ZD?!kuDyk&j{TceWa9#WRhkM-m%BMKnc#*nzBK@-UY7 zWJJx9Vb&j*(PjmEh`JFxzNI*jB3(8x(-}Bf(2rs04V5E@Y(iH?jwz&N>2toLx&16kuU=PO5dQP^ zDACeolluMsL0;ORrpM=A_?S@dj={&}ko}mBP_CjN^7lXe%r&D7S@<|P%>3K)yO#*} z?p(xw{(L?T5Yt}z-Q0HBze&aK{P}cvI=n=Fip~SB_&*;mAJaCG+xm9iAF1NnuG#y_I1v*Iwjt&N5X-TXEQy-HnQD8d2DWmnr2D zec^_2G>1N6mQHtlYC!sf$;$4m)Y>omzues&4LNI$*?&5{Ts-)n6F;7w6)o9ceSPk| zUI+=jzaBo;>Wtv?3(dLavbbEx6=6tG-9}E9rHS1&<1*&zBV?6jJ@^>oUFBc@0DM?# zXk6w2m#4{g#K)S8Za%3?*6>V8wfPrzZD-pCd|z69VXb~_%kz03jFlW89iCn{E`V;Y zHSc@cPXu12tF?f^>$qvWjZTc7udc4+%#WQab?W?!ls;h{7JpYa+bplES#PDwC&p` zu(ngGebn5diqa>78jX4TM}LjwR;RCXx;G6cqH6TzhjJ!*q~*D0#gomA2Um@q`qi{$ zmB(-m*07_WaHzS&ERxv~d4ibOf;4`mg%fv8n#<}Mvm2%BN`X)Q0^W}{sn5Gv=K9+W zdcT$)fj1pTZnjlS{<~6eWqN8_dPVb0&wlOxV-L9}?ucV3GcGQD(x)#E?*2VnRu~6! zbiPc_(w$$;4AC#n&9Oopq;oe-4GPy39$y9eSa(Mj`2Igc^8r6ivUZ)HJ{1)IV?C_^ zM1;Ot0X++|JyR}ovwg!Zb@$F!O$7IMOpRM~A6r!h&>!z~22Ub=>#7{*Np6cHh9!8d zGF>~A9D;fZ-RsX@?VkHzGv*{ryL=YUuMfp8Vz^Sr8RA-tgq-es;WOU2?{a1``_G=B|kofu{q}LDUekDJS^NI6j--A@$Q@J|Oke zbr%iHNmoATvOs(K)bF|Gk@B(=akyjqw4;)ITID}QV{5HWj(HWhTbH`Ff2DqSxY$~D z4%)`15H=VCtZwLj7$xa&)SiigI+!< zuf2vhRWze^Sb)bZQ|mC%z$zN92(By{Jb5v`1*%o^E=<^;z4*>i{9IAG zY1@7o(p2Kjez8C_9od>`%Xv|{;bILuY;-x@Ea%N;ZOIsyF7EJ3U5zSLN+3Acvk_rA z)<=qLP@54#1*I&RiK9(JMq#BiZ?uBcAgradNO5@}h^Y4~W{I=R*Q0;kBuF(oY2L|bD&_pomEWe> zhp+Ps9q|)m4IXtbK@1j5O3R;9Z7nh;<*UkpJFyQNvXH$8IRSRlP92?i+UT^o(uPV{L#ID2*b-3Nt;z;Bqrp@xmzSfMzxYB$t#-mJfNY@p=D!hYzU zt?22pnU%hiniNKJE8Tf)kH8;8DyHyA2{k}ZizJ|sJTV@n}mE(iZx>Qs}^mC-ZdKplxeP4~P;cJ&;(s8ttPX(E(*rQ%%iESGC zjqA(SpjOxZuXywG6>%StCUb^f&eQ|M$GG?}0t2kCZ!3qTA4qwy1}{9bgV^#LWWB!_ zj(zcbZW@V$nbMmieFjoA{+@+!1XZDF_ejiOz_b6tkz9gV{DezvQW_lFzK!nozYT)yGKYlgU#Bk1xX`XI*hihi2D*X2-27aqXsKyF5UtCG@Gxp#}ccjEB4g-hnL@C8{xLodr%dv@5&qVe7~$I?fEYzgfmzt_B!v6b11LE8EjqdAU6=*HFiq zPIK>R@M?3BVavbA)`mBbQy%v_e&>ZgdhdP$OxBopH)qrolTu(O`UB{opnu}y-l69Wvn7^JurRofB6nxCyxy=6!=+<6*Uyt1SyZd zjYLO*9Bvw5#-8Jg_Ir=&mro|&*bDugcqTKl%)o`VdTd?2(uH&~Me!r8hso0*>o1jl zfhPr^LahD_Bu3iNydjRi&C}cqRKp?P8dyY-MxDNnX8*D9ouWM@iYPGZ@Fq)x?H=G> zh1G8lOa19BHCYfpyK0hG2;RRU4sE$RLsSCALmcu+rVM|40A&P6FV|p)5_Eij0tJGE z35A^L)f?jZNX{`zNQvW@I4bJ}E~2T*^W}osf$~E26dK&$a!GfH@ z>m!x`&lQkFzE&3Th){(Tp==2yaXlEK=F9L8$*_F?PPg~0N{h%%x*@MafIBhMuTZi# z8n{6qSby}nTJuAWvKT7Nzl|c@=@!kIv+886$7kO1Cp0=YT4A{Fj~H@}B%eB#>SAr! z;sOKag=ofM9_*Y}KBvFM5-m!$%SyJTwub9T;gCF%jg&DmDVWv$=PKdoaZMEQQhI-* zWkIPJ-~xEOizR%$d32hwRFUXpS)Xmc_*X+*2Y zQ@w~*vT!FTQ$ies?^PDSt|2&amC?j0(y^o|{8am?s7n#0WS@(3?hu#;AQdb9WH_N! zCgffAEIaZ&G7MPWJRiw)o?|2m-VC&!kD~{HAORO%NfA|&3zrn}4GQYW=0KV>=~7j4 z=2@oYW_wm0bz01F8p#F3hT-$?GXtvBo)ZAv)|bL$zJq8Yw<6Z0B@_ioA#!FZ8pmXm z95@HbluX&TvpSwcL+#nR^bh{GL_}CXxOrIu$lDVdDQQ8G>2Py7ac47iVR(`$T(7Vi zxSYZ`S~VP%_WoGou2^?zmJ2Lq00%YiSNg8L3O8K1R!TS>dBiSoE6=3QB8bi<#-n`@ zg2$s6^m2_adzZE|e4!|Ohhb?P$VKa!@}Bm4ahm9`g~*6Sp4a!URW`g zf|iPB)m^?sCo47(2j$Vp`C92a6^137{#=jQA&)9h;B|n2 zoPLj5pKT&uH@5f#TQ#hR6R#CfGeE}RpaKLTE#nJ;WAajVSBic|8*Dj_vMu6_Q|=B$ zZXwC#QXw#|h)#-tR(l1A!U{?2rNS4b&}A(Y_7eQYx>y7!VWL7BDBSeAE8uJ()9H#Oq2W7!?8B8zAq!C174P^F60j0c! z7(jKnfhw&3HQG*JqUF?!X~=dQ`Yz#s&2K4iI+6n8@jQ!RQksi_KEb1(9~&6yIopip zU78-bKF-tKA^{lbWl6@!G~?bIKUV|eIiIbERfr6b3C29E*`;m6L`bU0P`oAw|LqCg zj>gvy8!e|NCc@q^K*<0%x+K7{&hb~GgaIki$*M9k-HJ^i9U2gzPtt z-Fiqf!4i&S?e# z%f?OxK9eh6dOti{0X(^D6jpp!lU0xEvQ+V)UHpzNo^01HoD$93UC!J_4z5d^nE)o} z?38kirYkQ$#Y1c4n~sgyX$LD)P#13^=%iXwuC75&k(@kGZ0f{LNs(;#D@C1^yhi3O zDQ6c>My5oAw?(74J&-mcqqx3X>=)WeXGW6r%CtoL?9ass;CXVzA0C^0Gr{L|0F+?2ENh3AXhYXWpEjTMG$$6Xm~MGz;%heM8mao|VNk#wd6R1}`H=#V51N2CMwrO_UsVBB7`8T~OCI8A4y zG0@uj%gEC1owoJCC}j2HBjkn*W}r-~<}StN4!4VmF3Tj5(gav5yY`;yMpw<8T<0&T zA7emTAZE^J)mnQVWOAMU?bUUWR^L&3Q<7Lvoz-HRxHLPGYiwyJp9Elf#ruDe)T_GxehMEX67^$nol1LO-S8Ac({ZY1*3a3#2Qzk|F;`kQ6z z;Rzty&8grZND?l0l+h+W6->=*vf49lrzG&UPf=3@W4!YnnN5Pfb0O8^-e+RZ#%lAc zF(+SK@2Wth-`%#LPHG8O!nl6FmImMQj9rT0P(`z=1VJRS42U)%$)+uj4wSL)N269L z?ov9EMjOB~cnersH5*mY9w3EXE{iR)0Om zT*5_Dgt^4C_vhf(W2PZ_w%b8~>6;Tk&Mxh{iui}MHO*(isSS{|IJA*&)qvI(aV;~# z=2ndGg79l8iHH-n zE|Yli7_)r_Sb6I1Z@CAib5ss!QD4peQ>$Ek{QhZ0YKk|BSPHSHDdp-u#S*C_;rEB) zvM#4)PBIDDsZlEWPMHJ_`eUmU#shIqkGkr#rs#zW2xO$Z-!*_1JQF8Hb1Zyc2pmRZ zqLF7o0{8kXYs&j61eJ(v;+%N(+~vELOhzokfYv;sbz0zkCALO~?=ewSBxid~2j@pI zpaj9+eq{WdirY(9^zB)dB^A%C1I~0+{TNB-H<-=@m?g9<ZLozEbc6Hk^j`8wPh zLqKmjZzYL(dl33TMS{-B%#}kq;SsGme4(;2&X7PF4M^I??i&a1xwJQ?*Ryouv3%r) zak6Ge-CK@nrJ<>MJ?b9UIjZ<`U1OB^H6zXWfP$RpbWM_csto_rV5w9XJNU!Hu%fh& zz?VyFl$+(Ha8NzXek>-9(>s)#XyULiTB$yHgc@}a^pFVVkfAb-iBo-8)ckZ1PR@9; zXpCYc7=b=}=TapP=Qj&RJm$naC(L@hx{{bx*-<&Vgs95-63yoj$iG-FRxk&&hHU}( zbt!0V8GK0QTv(3>$tVa`!jqtUs$C>-e-(gKrO1q;aIXfRyD)%Ij;ex^6fk=^%L~ z*v$(Fy4kG6K$Pok%*+?9w+M;?Zxv=$6R{uzsI06PRxM>JKDR~V!p?S|R5CLxB`f#H$J;Pi~&dJKDcXjI)ZDEP5>!BnwYJ-2S6*C4XXE z%OPKKmLxV8Qqx!+OxU=x%lXOpm4K;A~sDWH~TplRhxvuQ8};dLW3 z+Xhl7?q>64$a~Jq;AeCP|DimM*juD05cCnvxtydYlTeooZ)WZiR@-)iuAuu5G*vH14dM`KeYoEM_BkW{--$ z!$!gjLVO2_1Z)9IAC-xpecX$0=Zgd>cOY7Wz?4A9$sea}elbTl4V>r*PMJ>4R$9&~ z@vLueklx>3cDZ?$3sHWqqn;3B@GJ&>B=~4#!u~lH|5Pc4JG=pT(*G-t9;H@}rWh4p zd3-dCr-8t>KLjX*y(x~d6{P3J5n3S+`&XhWY%geZ@nM43_oWj+D%L$Q-vQ+)GX3`V1=mb$2&(92XhDo#>A&-Sv7WdjG@)8Qek-r5DZ&QFjSrzX6+$k3 zdhb!Ugv{mcZCJ1%=Mw03lc7zjTK---23bA`|0|!DD59}$k6=5t$eTCB9NkaL6!dA} zqnk7+D^gR&bL;S05Pkw2D^@8|1)g8{8xe)u6Cou z;BSdR#JG^ArCeOtDsD7QWAbhf#rnM~X|(}3^$sxCTH$26xkEUY4lv)^eoiezLx^vS z___@x{EjQ8)6T=3w?mpPV!b!Cu6EbSE$qP5)AeNqxr=iUO`!;QTic>|TQ&6THVf?H zsBri5RD8-L)TqLs6{6B~37PbZaL0Ej)F|ZE%EG^wEg@B{p_{>8G3MKg@NU{|g23_b z2pZ!E8acU(cjKQ2ps-#oM&}^%q9;rW;upiT7&0CzH7vm$ksO@g3in%>* z7j=_%#fF`05;ZPO3F9?NQ@W+ckao2ouG_Mkw%sNi@Hu4uA0#G2|1K4kYt;Y2Dk+RL z9=Ed+;;^WPi@N9AHQZwN%_RZ+!Dg+F>Nu#+?Wy8jlPO4#>1{M%@Mx4w>gY=>O_kD z$|Gv8VXb%(5r;l5BKFT0X8sfgwR|tZlxBFGhdT}@rba;!QWnPZ1NJAMv<2k72`mQ8 zC>k|MY)La1z7QTIgMPC zQiN2!lRH4ZoXSqAXyf;jPpcc!Ye@q2B4wh3$tAw3-Xr`WCr)JLr3uJs z8VwGl?&zfXwTv70NMuyrXoXb$@4S9Yy&bwLR(~FHK%Cj@N6)_kQHflxyR}E6>_B|Q z0uW!ZHlR0)XamF(Ede1$VnJQGcUu21Dsi^|A|=q5Y~0pJ;FBd=?`#%i)*6;Y353ej zj>*g1IBtjD8l9#5mJHmPjGC1Dp?L6HjlR`eW3j$liU`*&X4DgMTLYve%+P5AV24iKuDV~`9<}N|J=-Se72jaM#hqz40tN2*`x2V zZ)efp<2CNwGuxYw6WEk1r8chTUhj}^oJ9Anons;bgD=RZCpll&FeP4;U);^VK1zko ziO0adHMM@RC`G)RxOvYDUEeZ$LCpesw+S11M5brg#G5wHeX|)i&t3tyX;v}aIaO&7OOa#rAjc|c zl1f9T@g+UMp>jh1rp!M}ufFeU`^~`qQty61y%J_{#(Kiqoh4WsJyB4bioY&t-pC=(=u9=ufxr zn?>i9E5QF|FCLge#P{KS`EHeoQ8IC?Z|BcDUHjYz7^Ba_`(_$b@5>_yY_8YS6PM5* ze%^eD-$Vj_&hAf#@xc^%0bRcYyHCrz@h5gD(58yDk9X2O%ZJ z*H35DzXn2m{@!;(LjG@8n|YsH>YrcP(>q^h+xl-zTY2@_A4rHB2~?W5&*&BNsn-4- zsplUiw3^{CYb>aGprcj_3Lknjng{5j^V$lF!rJ9N zUaW2jFg!nBP6xX5@jLb9j>2ap=A+a6_2KwJu!WL6#{aM9!^Bq231&Ox{Nz;b-x9z4 zrNXOJbPHPRh<7gGu?@D{4Z7biH$UeA|38pKz~z6C#Mt>ZgU|PSr*@wWgz3fTz5NsO zwfbgfm*y-z;wfHpAy(eE#{*I&1p|`D#eMI}CVML)S0bh2u2tS48}HIP50~4X70+xn zVizK+C%V)3`+du%)kmjxoJ?1j$BR}Igka9xx36Uv{hb*`J}7)w-_xHhJwA`G9eSIm zlf<_z|I%l$ze4hP`C{+?!$5vKOt*QL5PL}-`~gywkDE1@seS{sCVlQ_|B96!@dy4f z1kL-Z&h<~iIr;rdUpo%@<>6nD-bNB3pZcJ|+7+CD5JE~G;E+!y073|5;(!oBav+4z zHVKHk;+z0Z16hB86N7@2xATmD9`pM^+4e@Nb!ClPF2HDCsp{H8H_P)^AJv8vkhWqh z`27d#V=7H&{~J~4DYNG<<Y}MV zPA^l~uBZ7xb*$RJc40$ypMq7S5zRMR2{`0@6QP+M$d=(gi{lqh!GO4(+L@id z&BN1{$1fwoAcTEJdXW-fuzEPQ?Dy|cq`>mV+F{us{%mm=A9feDsoKHZ@SScFkuq(q zVY(5{_6}}>t_VQt<(hLJk#^$~T;YihwIISg6)&G;kIQx&n%>EG!SgtHvNdT&)yDK2) z)}NqSO#tfo?ZXTkr*(V6LGB{a%}T5o1W5G>LWI>rt($^Q+4Z2vGZw?5iSjTLEQT1P zdm+b9a+T;-B~WL@4hJ0G(CBmm4!5@pJt&B|W^+~sYrvGrn_JadH>fpRjH~wzoug5K z*g|kk(KT+x7UOIn!}=SL;j98=NVXWq02y*@>^5qm)K=t~B1xJ6$my)-q}zMz0ynkf!Uhq*6xB zg>#-u(YP)&W?d5tO@(Kqd0+#k)UjvfeJwIR?;iamXGu+c9p0v|DstyR)t*#lM|}y| zt~W1ymz@!Il5>ZE-`J-eZ@o`mc2^m>n3?J7Pi$yB3OB_^Z1ED>600@2mfEp({q*Ye z>L5kG160D9PeqxxWT&b-Z{=96gB{MO*--pM%xqD_e4dS9aqL*kM?(!#zvGAKm}duG zpT$}S13KmFF+rH% z53c~ z6H?^cv|usDTsikrb;D8CV&p=KuSd#xS}$7;uK}yUZCE7=-ijQ%fX(`wll^yVg375w z@lvRyEa9u9-VE2Fz#%_y~`(?Me>yP z(PQzWcE)V}rPDFwP+3Vp^jrHftE%K$Ik<qRt(Bx*x25J@vEM*D{W7Xi1QyHqcI=9sD%)lbtB=h6;p>n1gFTtuFlJpHoaYH9Ai!$4JAP+tVVs>{)4%2Y&j_O`NqSc z4fZ<4*a9%)Jc4l1MC}*+lY*ZRw2UqqDg%BFqft|?ei6$g+$jeboH?tF*8C z+u@2(a>D`^F~k7-h7e;T1BD(D?YcI__OL;%2hRmy@n=RDgH2?+=f4Xhx!^GF2wCqj zOvKI^b^q548(8*Dpt*lC2LX74ORmoe*NNZQ z$C{o@eV!L9Jsf61t}&v5W{g?HvWyWfV7R-CEm|Qm|5=z4SQ%TSs)rSvk{*(h+@2*o zV4#Tb8Uybl1w8eRSQ!242jeJG27Oe67-FrHNi^P%14&J({FA5xM=BdbcCqj5H(p*H zDlP7W{#$>2v@d+2iFrF{iN4W}{XDA)DJO-oin;-}*e}zHByUR+dy)d}j*pLt8$(5;1;>;CSo zC`1JAAW9YOYHA3}BRCtV4sK@i!`8MV)h!fj>sThxbHf^vEN)&wIlVZ1OZ~K5wYnqd zKm#bL@N=Vq<%<4UM1kM{I>B!Hivj6>z7#h4!1|55HcbT%8L|uqukx5i>#n^io*C~c zXOY!H7xJ*rLx5{Jm(Yj)64>wQ>!7wR`mk4ztV<`^`u4au>)O<#}Q&|I#O=W@lAk?Uyp~sA9?0` zzfwVYHyO+ZCIEN=dcnr$?VecXKdDFKsJ#Jk>)-Goly8zX*PTzhLv+FSVJ(s!9(aW$Z z3PWeYXA<}Jpk)yY_B4jQsFlO?^4E&`Jk&!)pPU+@x)HVx4v30~WfS)6+4t6c)LUT< zy%&cstC)-uRN;gSOT<+49w+b`M)efk2UJSe?!wEAwBM#1*Ya12>b@kCk~O}sCycD| z77h-)22`OKT(>%lV|QQa#?*jQim!lbkk-CWfT4c>vNyX^r#_gdXT$Q=J2#j>a}nC(+L5klnoQa5{7 z8OQjg6fP{=#ko-1Bemh0=(c@Sy8S=*N721+*PAzg-m*R(_olmu?TNN8uHN4+ru#1K zK0ht$PA=?;AGv(r0n?jpd-gym?f*b$C;fZ+-tvSTr8_{`)w?<~;ivtw3o4A+-)u1V z9Q&HSRaPprS({38fL2hFEK>z6ouBq5lX5^5hXpKUgQ)gxbLb!~bY-!0e)c`JUD^3% zZsUdh@}_;ifgqf-1w)902ltoJ(`M>nW_EYjdw+s83)Tj0QGa*U&5iC@`i5u@W)%Q{ zZs6}XqUqnm6IDbS76EU_6$`OHVGQJ!Wq>}0WCtyiEc<_hK)F{ED63+rqy=N`f-)637K#5W0V_L@~3mI7w5b|ZIOSr{=fu| z+D-j1R!kJDd^E+Dx&snMX~1NF=8G^L_#7_;oPn5?E=5?kIp><8%r%4nv z;m1!5Y>VU8bW>|?d+fss?TT3Nsk|3xBT`zQc>q_i%fy(-qhi zG4CM&hVK^`c1nF3b*Vg5qSEY^b7X@^r%kPCQI1q#7%4ndLfX9YH*!6$%!UvV)rEKo zjIir~mv&+JupW|BXKW_F2!1>8S6lQ0L9{#pKaR${SW%LFU~ZeHg5zO;!GUG85z~^U zkp@WF^6JG^_6e`Ak40dvf**At){wCj%qF^BJqZsD4c|6GxBA^~H&y8myqp~RTm`nJ z5a;QO2Uc^@6e!FivCi*A?U~%%Y_CR(Cg_aH$^t1LtMEv#FIQMF8zLXuKZjiELe0UN+aw^SC1t8J5Y&Xi-V+C)^FQl%A({91ZzPyiE~y|WCS9%A`iJ7o(~odEcP6?AskK_;FZQC5tM52A@uWa z81Z&EW(46-78zbMgU@vg-A@vhy0c~4UJ(Lna#!MfK#Ik{orT}rH&1)@uGiToG}lfCxR!*n2z+K2gw7SD=`VI9|pFep&oH; z(CtMVWWpvttO3PEpmnl~h$I71U5^-$j+r#E2i_8;GaOev`{`%c!3S=F zZm}_mF$iy76~g2ZElCHA1^3RIBq~3z5r#uT&q`1QoC&j z$nnAjkq{7{cX*a%klQC`l%dxQ0qxF{tkyA!+sC8Zt2{J;VZ_DkCG>QC%c>;i5-#D< zkOJFkFpg{_SM@Sah=q$Pmtpt@*#z8owZhsK0p;^1rN@XD^zF^)_36cMD+=0PGn6vO z=l4Zdu*|Dm9N@p~ZpIaWrHt9^IhQ8**(_8?T*>cqH|0RU~Z{lDn>UTvr(;Y)t z?L-BMd8i_Srl(3gP(`n6{iwvi9z0X@o|MD@>vd@%$Y0pY1Bv2i#wb}QpKgV~_DY`Y z*&dwFd{;tiJ#ggFb0z45qyE)~D#!BLKaS4$1-GDt7^U~Pf6-s3{x&7CfJC;IN&f&?vhvW<>!*H`u#FmSam~@vdGofg zF|4eyu4J#dlU4Hv+Ca_nhgt~@L1GG|?+en1^c-C7{Rdz|jfd94cPBbm6!lxg406?P zb;?GWINp^6JSF_oZp+}l@X-qS#jwmw&Lz)9sDEk}n+r-SFL~=uAD`xgxiq#pG@4gD zC93+up*kx}U9Uia`?o)}nSzm?<`A?{ngipl^C;^cZ=S{v35Toa9Qz@Tc?O(1Um&eeOlzt#+U(LLhCIw^sX>M-)@!r2jVMTn26*TjNOR z^o+7+eBF773xn6+^<5j{^O~c{Z1+Q;@dFXqu7=GiLEm4Xg2sL{KFNUgv>ob8XE+-F zc$+p*7CM)pw{B6Zf7VTXYbUX~gZl>T2M;xoB~?gN0{>h{JvEfTbWE=;U)Y(HS>*s5 zZF-HNHUA9o{L~2oSirUeAB_~@SwuwiGH0DO(6OiuX*G2!wQcXBH2yP7!-ndJRhCxu ztG5MOdWANm=iu9&W_DTE@ve@5U~TJWx7Fs37=W`h2^Z)}cd~~kz?{vRmw>{KX}Zik zBE08MWg`0asiW#;w{CSrV18$XHgy5PKluR$43g%2J_ruDKJDRQzW+>dcEMQp=kaOW zoM_l)4&c->NvHK8z&@vNU?r7WrKjHk_Y|RPj_aW|z$?IwQx78ev;Vb=8tVMnBMZz? zWA#A86=;d;(n_R%E)6g%|JTBf_{jyvI(pz`g~+OX-w<)cR9FgP;$YP5-=S$7VCCq( zrco!=wfyAh)OEdfm{A!!)pT6nI7qGeB+6tlqSfJ}7N&0F_4{&d0Q*#wZMz*TQx0{g zdePE!ULF`@|66Y2L|553Nq4@Bv@U2ye_Ec&SXaEL;W8Q&S9x^%n@5l1C;egh55~Hb zMGm4^GXf2WgJt1Pv~g`vl?O5X7h!eeXv3^1=*p7R)%kCDKY+yRcl96Bla-ytR#O$~ zWE6GrVD8HOt<9oV-?snSdOo?2ha##{uBmiRY(8FGb&ye*CB3 zR1PIxPeQOewH+unuTiL;*Y^Lg_Lf0)bj{ju@IY_~F2P-cO9<}n?(XgqAh^4`JHa8i zyE}y7?hXOof!vRrQ|HfD^;ONz%+;&AS9h;oGrPjg?c;Pk0E!Wyb{5+zJ!nV4o?GE?D#)Imvz0#lR6G*~gdA7+3irRtH=a*0zpw0h`}7 zD6m?^BX*B1^B$i@eZbBOtBNk&I?2d5iT)IL0=}{spVGuK;Q8&Bng~`o96lzrnYt2IrYKwokPJ5u?ja`)}4)S4e)> z-L>Sr`ma^A+55YSxWFp1U_Wq@>!?pj3g@N%3=epQ2YQ@4Oe5`+S_fvd`+?SztopE( z;M+UzO5V!wHq3m(ji$PE+PQ!A-_B5YOKhPp0Zcub>UA-O;$5-l{=64%&s&xS_met`RLqxlX*@G{dl9k)90_ioQCH8Nej_^UkvUonmK*w%R2ii?T=`5k6>&1R= zoYU{0tph0gkPv4xmdlnwTz8&=XgPJJCIV7Xc0 zM~dVye^7n|q-?~2qDPKHQHq6tAz|v2A74~7%E7M6%${5_Wk8z3ZKJq=cWUO{nJ>6r zZ0PFV9E{*sItEhBhFJXg{RRWjnBdzJ2o~1T`Xps|ctOa)HbJS@-~BQSCTjz)3Q_o) zaQVMikeHWgOO5Q@20O zn3?*Cn|}90Ha2_Y!M3JSE3j{E(8ODR68#JLSQaNb3k)_5QvzbBR(cfdyj(YDWlySa zdm0aKcDSX?4f7<+&#E}Fu%B?QMr-%xR;Ksktp&<-7sa% zZ}{jMYEUbRS|@>Q0N1{*c=-3ZuXip=#@4`H??Dg0eOaTurK02u#KGdDx0lW2fzzoU z2Eyl^uRYm|q3e$KG7w1Wn!yVzes24`sLIcl-mWE-ek(MfP2MW8a8svp^q@x^NS~-< z>IVCJuwjpCE- zwM7Y8unl77trG~3E|6G0n9*Ub|2W&gf?Ry>ITfeo#wg%=6k$u4DbRj90BhT_Fy@j( z?Yoc(sy8)Z-)={~3U;}81r?5EJ4cabA6Qm(NC(DtLR0Nyk&4=v`OCxQ@_LkRe62}% zhu>isp9Q{!m@BJlj<`k0ZVk0+2$Pjv0`FAyZhdErXFPIfH1}D0kZh`pXklG8JRkET z(SB#VJZ^&3)Rb~$y$T^0vhWreyAV-SmYyFzMUl(3Ne6QBr$jiJ9HZEAs0)<3xK2Tb zV>yRLjfBZ?Z7GRmZQcwC!j5jBTr5yJ7xm;j=WWoKYDs9|}6 z5JbUT5V3}^#X6{MKmJ4bj49Vi?qW!RAsPxJgzO4KTF95@OXH=J;2T|Aq(dBC_&cgx zCypqQ^8Fwc45kD%Hzfu)opk3`SacsoxEqqbLJf7lN~mH7U5y90Fm($btympwU-DT5 z`R7Dq;*O>=#G=g+vfR?NI3z8`U!YtC#r=(Eyt$NC57cxMAZme?cG;^<=i9|AfKVP@UbxJ0J1{UU_&{RXa`dl>P7z7Ybv$-#`6 zxBE#baC!tgh#w6}2acMq>#{E)Pmm`mo5g21hi7DIZ~MU0DpuhM+x=%vYI(@$UJBIv z>|GjmM4{NTmQ6~~*X$cQ)h(7CJVm>fqaJ-2K}atTaDGR@O*pP@QYhfH z(I(R&VwaRp1S%3OALXj=1X*pQdcZ?h6FMUsFeW?nE>7z#(%zGc4Yem48Odg7M^K-{ zjAtW8;O|ILkamfW3GSTbkbJ?!FWX!CO@lpxElK)KN1v}@p_WZ=;P*-b?BShCV`fL4 z^O>K4Pusd-cVZc3?$0O>G>V-R9C0^0!iio8ze99VJb@gC^wC;7!ZyR`rRpTO@ooJY zB2p-rjV|ZfAGQy0v`NnrX@fc#0q_j&vUbb1=u%6tgd+ylBte`>2+6|pAG?1Ij0E#h zlp+jAdmVJsZasY!ATor4R(ES3^YGZ7|7o?HG;581GHrWKAqfsKQO8N5jSeydG9&G@ zDMEue57(O~MObgxIUQc$aj{YgVssH>Xr;62P%H*wk(Fub&~D&@@U8$1AEJtrx7#x{ z>=4Rl16~Ryb6iD*7G>>+&#%6Km~+d~b%*ah=`K&u z4C77|4GTiW>*pzwwi!0*Pt>OBd?@*tnZ~h_tKp&~$YXDX9kcFwL$ACNGA0G%hF4rS z^HYJ9`oj#iBHae+H#ZfuBF&Le$h})D>~oqAGh-829s!zYqjg5&bah&Nclvs*)EZKV zvZP>yevE4E$g)Dn$>g!R(Y%;6weQJy;cLPZ+c7gm%MuL_l3>ew+*EJORDlggLy1xD zZb+F#eT+#YG(N(ZQ>B9^iehe=%t1Ph0LO^cNjPAV>4rC8pkjQ42nW>(-;)r}6*agZ z6&|qXDlXJ5+iAiU^miv8xt(b91(3{~=QyI?n#H zk?i6ROWp_qVV;fi-mq`VL^s*%w>^)O-L>=g4lR^@m4r zNUo&=3YiE)>9Q9RT=83XK_(+1SwY5>914VOH!u9Y?dx*{uqXe*2iFdP94 z_p}(Z(cW~pvssQzC4%Kd(CKqglIe4yC}uvKQ))f9qI))x_ECNLtD{Lyt8}aPv64>D z=_gJiJ%Q@Mn69+iiYJhvT{vE4-~19bvS#fjgm7wAaV+#;lA?Vw&9bs`$@gke9grY2 z(I6ZpI(_$R-AfXDJ*_h0Gkx4L@;}c6e$Pt22=_oeW~%p2F8?NhZN17TiCUaQfFzjI zs&r4|mrN+{$U%`qAqQ89bF{w8if$B{F*E}0rBvtYL#H51VJe8Nce7WlmG;|wNT~af z_pyJMmHL&Z58BJ=`u*AW6iSkE6Uz}iZpBEHKSzYfzdz(Fim6S4Cv&?|5|Z7Cn~Tyy z3MvWU^G6(g{vZt5P%CnZf@m65Y`#B*suG*#N&Ez{#Ku)7HHid8O_!*B zWzSSCCZ+DcLzRq~94N^Mz#b=>mKP7On<}wczOqYg{H&oSu_(6Z-x*s}T}&QC!2%wOU3E z{;@9DLUxdT?s z8=Zd=iPLE(ouTNW@Y?2-I|kR+ZIiJg)l(poEU6`$sH=O~q38k*|9=`Ue8FiWDc zEHIa(wRuz`UQsVv#!%OnteB``iK3#f7G6_ATf9VC*%yaptf0wPC#ey>&ooxhY+#mJ zizRQGCYne#B?B$at0R$Vdox2Ky=*X>#G#ANDV5&rd30hWdk}Y9EiYDAP_~I&`8|f* zk)>qDG&QBU$6OAya7;)d9mhIUD8?5LoyGgZ#WK3v`?S1h@ny-vK5l*DGpLV;qM zCDRFdJ`Y?fIh@jPX=jRdJteM#qDD4fVwr1+L?afN&5)%e&NP+3#s5|5h)_JE<+Nm? z4V+kqxip*MftI_SbEsUwpCy!h1>+RU`uey+4hv3&q)5|FxoeF05kt;=^(>T->4~`^?r%AMcSsm+3W)_p>3dMf{h8|cb7T0{v zmr8Vz6#G51SR!X^ES64tjup38JhNynZ;YQmrWMZtC4X>aSqxMhGp-a%_oHyUsh?RC zF0N6|AM1?ca92M#s>x%8M^Tz;3x;Savr0VNen!@dN zmEt+qzoUhs6>K$y#{h_H-SQP3HMRCF+LsPxoKc1fevp4y5!$ye70W!sdqvMHLifN} z<{9!U0$mk?b|@9gI)i*gkgGzN4y8j`XAdC&f>{-!0LHR`j)wq($1TF)FtOi5+@9b~ zZ)m!BCAU~5r={Df;JzbS!F&^!Erwp-@1;f1 zJKCX=mR?n6`l91l7EbkdYl4Fgh2jPGnFug-^u5PG#liwkO~%m|1^J@y6dI>F2F>be z647W0Hmx<^4>jZXokQ)(r@D|Uoa=2`L*;g*5;-p0t5M9d5$5?lY!12B4gAe+Jv-U4 z{T_ZRy{wvwN3*KHTdh(dgCFzS+LRLUuYJd+hH8MP-Tr=7qtD!-OqSMZXH&{Ki`_X6 zKlM&K-4t@Tv)s>q4e@zuZzSB8oXH>z)Uyj`0;$4pxK#Pw{Tk~$XX0))Empkvc!~*9-rgv^`Z37pJ%3Uql&Eey|ik_?4PT`R24jjm!B*lFN zq-=*?;js)R0HH6`Y`b3JnG8Mv2Z7OM0E$FLX}msiT+7*1;p6CuMMbJfNn~<;* zk6sgPo~I{h`BJOPw*s1pyusVY?lPc-|G+m54X3=X8txK7u82l1(7up=lP}z$@sR0~ z>rwgD1qIwI6oB7Wi>ZWQ46EN|0e6^?hBMw^6P>s1x(6`6PDqFJKOnTak^^JD)D@uZ z)%1q=8fsu~S0&c)7RxszPOs94rH8bpo$#04PmgIw4D)a*&o$0w-jQPVsNH-A`N#%B zw}u!}6qFMl5VA+As*ohaxg-IjV32=~72z5NHaC^)z!e_d_;phQf{)`WLL`NW&moqY zC@JUT(uWU11C;ziL;}^6x zkuM@#p)VpFZy)dJI4kFHY#B~Pu#hC>|2bPGcdGk|kxl9o> z$H|byo-({!U7%My*b_{acJKt5mv^#d_ld@`F3)ZS>ad9Nz5XW5j=pl}t`5%3K)yiH zqSe*t;b3H&Yr1~8wU!@yn=8#yw|0|>id-Tih);8zZeVkqtx+RWY-jR$0Yaz3eARK| zuNt>M)1HD=(qhlj_DKb&2uIVb=rnwdtLI|^NS$XXKg0D{KY8L~h=t{ZoHHvku_8w` z$R1(joq}D9Mb)* zX+9@Na9E7#X@AWK{m9zs_IWIF5UU!1}U~T3vFe%L?7;{aZWI`={&U&7`#Z zjJ99;6CLm~fWy(;%8Yim=ZD^2@{7CM`|t2 zc%B{=uLG_Hw&-PJ1GilW`+qSpz1Kd$cEZ5wnRHS#`8*8)R!7MuvmTXb$t1(sKUR-J?b2QwsZ$59 zqz$k1nUo*A^jq3b%CaUp#caAxbvUZd_Y2>cj}}ZEb#ptr9AHZ+Va@rwmrw&Bk~x7- z%cnB^5NMt9WSuVG4O|K3OfTpA*}BqVe9v!QASm11)QKDDgpYZl;fEYyjAz?Z-$RNi z3b{ZS-HxE6#+5I?ebY$|pmsc|I+K*Sj#bVbbX%t*uB93X%fL9TU^uB1o<R)f_^wo-E1TB3COE*WX6 z*e7P7=cW2WP{gU6`vfAJuYyQ-mYAsYE?{{=cVl@LW0cu$>8flFP(hl*CSuHC(1vzm z+2aH-?=I-&YP|;ro>Bd@y@6`#H#DX=qm;#ub=IG0+Ym{K;76N;!`o0(dO!H{nSS|JDT{+9g zALp&;-XCKq>a5~BQsTk|{-bDP}QUdr4iqAug z4+p4>GO~>LJ2P=mv-e*|n|*eU!)Yz7%N8>~xSqR1@o6dj`icm8VV*~j6^|~)|FumK z9aODJn-z>nVr}>Rr%v|9lZNlS1O@O_N`~gYqEqO!Eao(Se!7P)jUwVPH+p2}x!eww ztlkbK;$iL{PYFo9{A|r{J!i>3epeinQ%0#8hYQ!&lP#B_fYht1_=C;9DdU|&1)IG& z6?m3i@8V>lT3_NEj^kw~58V{YA)2Y}A*t4w&)jHpG4oY!kwU3hf*CvUFbrFTVMtl=xBLM< zW}q^f$cQ)x0q>YeKWQ-=-p#~=qxKT4=~IIjEy;W{k!M4O0~h9Jh=9~ffLu$W z=$-hw0Nb`Gk0`^$>1X}vBRJ~l*q@)dI9Vo6klKfo@5w1A17b*0urC20F5y{spua-w z; zM74a5KAE?H=@vYv+BKoLpdke!ZHn>{*(O38yHDHi1V1CMq0&ITEhm@*j`cuIhF7Fp6PQ-tz=*-kr9)JIIn6g&GXmCSIS_(rNy zX?$W8IPAS&7-~g7P=w^d>Q}~`GYIjWov50-qB-zH9htlPBF0cJq>@yQq{L9)ml#5? zz9}vUY%g71fC`+~V7o2Ctpmyj(O?RrswQE9Uw*l?9Q>ivDa~2L(mqv>rGY`ac@#2tPGJ(>M43zKEs!QGb}zqi60e$MT;E=29%8K|s-20uigtY(M+$gw7zwS4z)= zqb4Cz$`|c|q3~&{m8IN%wC|BWFJbz!s>@ZGHG%5Ab6_I)d+)%U?*j%lLPkdhGagrU zfw63r%?OrmIc5#0%H}>nQu+B+6tKCg=+%kJfU|IiPJJJdPDnL#R~JAHsfHlY8JTm5 z7*DbI&hV=|a1%q@s%2~eHaKGF@;J&dawxUa4gze)wB>;|r+b;s3+i(HI5D1;Zd`YU zAdGp{1z!I-$Ds8jG{zp|@)qgPaZNa_JEjusER>O9#@aTen;e<{DdrYOC>mqQpP`llo!>b- zv@0;@Lr2lhmjh%A3bORwxB?j|)2_6svN-v!+*WUoN1l1Q3@>MPo>k6gTn|$Z1gi$1 zPor~(GrjHEcVl|fpu;irN|&eFKQC91ZGD%2R{Oms@@~q0-z-mddxfk;MC4q#x#W1h z!#2b25Oe7Zhi+)x-fAFSLDSn0f^qglXcRkFob*sMyuZK>?yt!~qFtazN=IvD`H@8T zlHW*Jw4y{AQURjXP2N9;q%1Ozq>S07@$!X54RD8ctcFZQ}x zeJpuUI1%o4qP*p~)g3N9A@lb?@KSQgRLm@pi$0h(ICw7cs^!2s6fRwxul7=C-BGAK zEj*yvJU#YwzRlgpZovQ8UO48Zz;$(JSgF^Grkw+ug7Y2ERV|*;G2bZtJ}@q zTQ`N~mix!tzg1Q1$qxHd8?0C9eoOzmrs@mNi-Y^q;p#D61HP4a`~9)BcgiOBugi8? zTI5@Qp3yckUA6{97u+O^O}Byw`I~jROieCno^+NDZSAA*`i7Ou$_$M^-t#Z_554ok zk8K`(OMhDd(3Q3Q(e2j4a_gI1k*ry^+#Rh-HmCdD&u%jk{b-p|c-nT%%=I1S_BfHp zIdQdM@dfUs)q=JL+Jv>GP3b^e@L4wfiRgFW1wuO%>*(~;StQ3rB7s%3ey#y)ZtMhpiqB>ccW)W_K8<1VdZW21%e9-5%3R^KJyDXCeP9U&r*#y_#c^P zkVdFMDIpNN4=_P(RxnQ@q3OA*P0nw*O2CKLbToiGJ^5oz8(otXNNTdEQzU zu2U#976Z)&wC#>Gb61V1tSY54pq4G)d6wZ{$*J$BW2RlUBfXqs^n=nWg~4Qu@J z{w{li^vZTVJashIqSw-kH~hm|d&1T8QU7=K7$G>>1`N-WAi@Ab^mFR+eIobM#sv+F z-|dg>H5Bys!29SN$K#r^J&5~6hc|+u5AV&w07Cjd1ff?#1VC8*hamh)hyn<>a(_ic zUI{U;I{qPuz7pbIb^JqseI;PMa`68ZfqNz3ymI_Qm>9Yb;(SK9fA0ZDSANfbfuuuz zgY+`m5x7Cr7zl|^E@A%M;o*Of4I+-vCv1aI&T%_I7$Ac0O3qzNd6KQTi>LOg7$HAU zsh7L!&Pb*9=nOy~9+FZgq|6!V1Gqn%&t7uI@;X;Yc5!#oZep-ZPLKg~ z-dgtj7rfhdk2_h6X$F~c_X0w6*cdj4jT{XTL;(XGXEJL2jw>7$${*$cux`SG4T zy^$0d2J$)=NdM3Yri7KOaT729|Ho|KFE6K3cQ-@~fKYIl17&5COn z2BGlWk1_pHU6|q(pjvY8Hj3A7<&iu$)v&A)R&a+37xFg-TjF1#D*oc@d;_sd% zup~GqK!WpCVj#M%Oxc~z1Kb&}#eNq^#W11?R}HF&MueJXgjy{PhxA9XRM6bWBSlM7 zNVVW^DBGF!%N9%AV2dfKcJEJ`oOUzJdk`^s6OSXgM`dNC@4JA%k2{r7_a~Z?%hDVK zSJe56F8ceaH;xY)p7aP(XD0QRCEw=gm+*!9k(LXN&?ZfLU>XLjqS(%9hHWGNs z146g3jeI*N>os5>A9~7zUbed!{x?b8U&=oy=pP0g9e(Wge7%q5?(M13bT#sy|JrHsl92NY{MTCZv`Zf+0^#i5L$0E*3(AV716|dUzN;f))ecwVuHGH1$T;$Tb z_fyCJK3M-`YC8u7BCS|=e>olvey#9JegRZ)RI(f)UeNMt3;z_9cBfW($xCv#Y3$zw_qg+>>`g#90I%+}> zz0dxmKYKhY%_9B#660*G?(&0GPsU@_E8E!mIDEC>#^CVK&i}2-LgwhoPYo((r#B<9*i~#O+|ADCE65-=W$FFAv6J_c9=M5$vk+J`V5@ANdVtiEK zbx{vlP(qo(ngM}yKyT&%k56tOux@`LkV1GOpQ}Stf>)c8gMdJ8eq%yu`OjbiGYYU0 z+XvIM2a%5d@#rw;S;hH3aea#;=fC5)kmud_%(PEe!IN%OJ$CU_bKGo@ZKUUHMXKjT zZtQE6vnO$@OaCuQk3+rgTAT%60Dc7rZ-+~XiGZddN`iWI)cFb zS?wlzF7iYotq(2mYkB?iiW!iOi^j7`>P-iZ*mXJPaIP0H))n z*}s|T=??7ogAB{@)z5#ebFU9?HeR;Bg}t;7PxSppbi2$XO?DZXa}MS>UcY;Sxf2)y z`0yXD*L%up&3_F8S@px(8k@PNemCGP$rRVj)8Tn8GbfIeERv)igsu(*Lm$!ux=N(APo%^x%9v5W3!mit`ievE>-tzt*X4O<{WA`1)P{YvDIS?= zM>bYXhS%Hck^>E}4Iuh~lZ1%`*yF6KO?)xKSyY=lV-B}jj(fK47NaGimPo6V?5v%EsMXy%j ziQqe;U&}i0exdxk>xEYZJ%J}$WXRj5x|01b(Z+GlnU+*m9&Y!`bvXLpOGTI3Tz9mT zdd8=aiak+}hAH{6*Y8rq8-yE%m6x|ryhM7`Dwzp^u3gI-EUVkk?TLxOtEc$lj{#F! zM~`VDj}_)vx8smwSYk(*4=PIyaW{ImyC^QRx=*@OvI@cdJ!wO-EM8zQwb|Z|$L?Z} z&mbnJN{OJO_zxS%dVwOTRkgKBOJxn$bFK7!B{Y38ZN`S|LlVydc`J_Sn=quf6L^Ih z6JF(UoTl8>Rn0eS+rBrM{6pm`i;;pKrJ9Dv%DzPB#z}Gr3_%>@^9?zExcRn&;Ms~- z&7Y^CQm09^{RKAzzAtXLpCE!UmIsN#dG-m83cnfEJW~GT$12>dpnIBK;<4==xSZAK zkQa4{%@9Nh9v`607WgPjG*z&BEb4R*cV;Ud^{jjT zwXuK&0y|I(BNY3t-~!!LGIZ$_Psz~|nz=;o_WOj~ku7NfJk|QA)9m<-uOFp2zRs$N z78<0Y*7l1+)oN4i$7#ev9@|cV94m`+K*-aYL>B61J%vnH=`FR;biIZkkRFI`Qx%U( zUEH#!!|2d-rK!3@*|nJIWtWU@S&Ip{!g>#gLU{t)(rCSD>an1Eb*lvm9jhnxvF*mY z<9RWh-n#x^uv%}7VExLygekAbceT#eQs5~(SLkGjob%@fpjxSjWGLkUBzactBB8;4m2FuXB1Ag>1^4bdP4vT=GzfD1-ASW+j|C_Q%oKD z<-7OI?H~!SXx!(IKf`%uG0@uc>Q|3&hku*5MDc58oBP&m-rF2g<2ivhe#84XYxD?l ziDMFJ{FwE`pLFbZ1D5|}Lsz>7=IwBPF&>Hc@~_`j-k(>Vo_=B5(#B=Cd%>QlX@Z}i zjwwcEtm7+?9jJv#mLiy@GN^}1{uaHM>H)NivzN%-czu-?L-P5xgeS|>>75?yDK}jT z;;$p-dnb;7?|nfeNc$B@df@6e(XD3#5%EVeF@e$~Q!(DrwHBsL$-GwuKYwvO=ot+i zhU<)k%>Fni;7>GZ6g7W%q6zynZb8KrlNi@=5!0$>mzp4P|7|UYcNk)h$%XHu8>obW zL&k(uzt5CUHSnsXnzYE5m_$JRa;?Eo21Qc`DWv;cHn%Qm!4Ko~2yN&=p{O#|!SGLm zWbtBA0!;+)4Plr0BhYrZH0Vh^I%A|vWD=iIc7RT=qSbT+lp+@yGNmNgyfL@_;h&N( z{1y1bTtNp0q22L^uQMhBGTyZ+rIU%setzY=DVvQ6{}h}fierQ6UZ6>SJrGCcv*H`K zip7=vfg8k)fkQe8ULpUSlsIr7MJ3E&Ds9mCVz5)ND$ispOH|ZrN9IFF4hO**#ETdL zP0|Iyi`Y9F^t*3!fh(>=HBD(emc;A4#Y3YF0;W|-=}ioW`&G3blR|V$1%mQQ=QIRr zR()l^NIUb&V#o~t>>c&_Q9Bx)8|&d)Qf%x--NT%mA(tG4fMjOt_6SiNl&AJelhXFvU65qw zmy6o1|A;pz?lfI^2vii5{gc8@gN|rUKje|4Fo=?mS~o;a3SRBMh1zpL((uNBMyp2q zX-+5ZJ}sYdR5foYk`6mxj(IjqK}f@MFLq{&#Jz5dC7flO|5L-K>k?y5MYiQ)>Ka^% zYr;Vl*)gO$3vE%9NdF=x_Dy5X*#2E{ytXP{@sNs+0f?QMW?#-~Qx?&wn`8UzsW3-C&4#IUK94zKFjt@U#^MUmZ$lI>>SX18%W|dB8m@CGS!<9j zfwq%dv6rDSBNQ$Im;SvvM+HazlSQ;jxEJ{kSN1ZLQ01(bU>zaUlOyO~(N zk(eZXDBo!Qjsm*1qsq@&z4N}7%qV9+VXWU{hyH41C@zh1#h6^vJ`D)xT^WpcbP<=| zSd$WH(25qZXI28tx^@`yQwkiLAH*TV{hAvNvb{t!b3K;Af8BlP)^c- zh@9zi+zl9o;qf-ZKw#PD>nQK~F2@~@7ebNj$lb$wol0J1JRzp=@!PGppBNj_VQ^C|Y^^3!vs+8T3p|ET_ESjxvemf!)E{>8XjVhblB)F-r7VV% z1!aqIB&k32iC`y?Ps|(6z9+the<4!>N2_-{#Qv-)R!oQC00=W33z7@Z*{~Vm@P0k$lrw%&-lB=)mf5ET) zk=9{))}_1BXf+Fq+J1SWx`i1NvMeF9>g>rr-0&H_&$%>`wWu6iho#EnzK2FU<6i!j zXfKYo(Z7t&Kc;mGHEZh4a?|v(%czCeXVs$ael?{S`!*$)29}@C1|=qL3m=!DhZ~eA zW?6yQgX$z@Sq_{Mq%6yTQ@xaBDR7#TvMdn^CP_K<1xya!Y57#Z;GA<$8)Q0?ZqoJv z@R2O#l%iVTQWJ4HiF48ey@zQ>ifIi3@BwAinO8#pMBtK}B;ntz|Cg4+9^`+q{$E;d z<5d3lg#SZJ2J*D3y0Jxixnsecs+zG$db*>LlB%k5*8ag~P1l0bF^Asd3YO`3qvjzA z<)r-sMora%(jf=I;R-lPh&>3F?nF$v|M{R4VU%!1M` z2f?HY$mw{UW*7-&pZxmSe2+(Q$9Yre#P(OA zwTK8wJ?q=Gh1Fl>OMews|5d0CmY`N!Nu~`E%TTB~Z1c`L!E@E^D{+qq?`}wPCcfq_Z4D(L ztzwC){$pzd)Q&Tr-~f4LYKHZM&Jc`c5kE5|`1QIeeY;jc4@eiqOh+FZc@FD6yuyK2{osoZexZ!%PKp=rQks?`!S#wVnN3LJQd~>8C;0 z9u$#^Sc54}kJ1WX9gRv- zW*`|o0W_y=ZA>vnW`aa~py{B(m6(LY)ACodNn&BsNriLrpaK|BUMAC&T9YXjfZ&2G z5c`~pHrp(a?;I?4iX8uogtAp!!7Z`qik4t|zP4zNgc5jV6|z}PZXdC33E!+v(!5U8 zyiU-(P7$k4QK!EM=vXtO!&<1sxP7s;S5+_RQOq~DBLjnJZm)}4D6W(fCP%q&3DboS z4Reuy=;ZNE`3Ff+v>l!{glHM508|~Z#rNMN=qf*0)ux=wiKrfw+I4un2+7a_OeYN{ zq(e!GJnT!+;X<1!1)&jD)}!DJ`-f(o0Fc+NqZR%WjDF+M+3vye@i*Vu$y| z^b%r}mXsd@fVK^S`CUBKd_jy#=JB63TT9TzbO}-&0Q`vHHCbz_>DH%0|Lu7fGO*zN63&cV6bSFKa?+yH9$y4@6 znC!f~DQva6&$S0<6D6A2itfJ4jpYdnZhVC|{!+LY9Cc(4X3|Mwobd)fn3LlqT)U2j z^x+uW?QSlQgqtF=OBwFKvJ=+b5ApjIrj zAdQKHa+dMcFp)ZmS7YP=nb~H4g<+t(4=uqgZqAcX~Ci zJ|!6v#w@aK?nWhm5b8Z7GbxpDWuU{&Jbzxuw@zDROPQLZqVNe?aT6k9PRM@IT3)&! zoVv;OPlVuZkb#oeK`^-MrkiM}v4#0D2!5NyOFbDZX8ISk9+qJlEWb!@YGtuo3nf{{ zr*@b!0)7c{nB{t-i;~-8;E+v{E-gFE2>)8{Ih9$0*-w#fo~jZLhWV0#{81tb`J)Ge z?yVmt=%9!C!vpD$T<>+|DuT5kc8gk_1V{+k3F?)GI+3d=Oobfq%rbJ0ul|<32^@x)L^~1t{9QGvbO-?EN$J`Z(Ag@=r<+4~%|a^I1c;56O4)E9%xrJhDRM z{MMc*G^wz(*#e2Mv=}|Rn*i@9N^C9}NfHh>Md#~afsk|#1clNRkP0N}i1C#Bzy>TO zm|VD?@%?ZerYU{d1JIErl%{e%?bhUE3HARA{`X}-nt>)2j9tFE7`-uhzb}^E4ALjn zj1XT5*C7S8OLJr3%M9uvio?;cLi!$p=YHQdml13fhEz6`vhlTCdha14^FuUN)Sp-^&!ZR8*Be8#6sQvNw-*G29;{k4SHJLjxDk$^7k){G+^5TjD*B z{B69Jz=z)V)$ckRUPfQQbajZty$kbCWfkZuhbCNy1dEozAV9W~WVDm1_YCq^rx)P( zTuUuYHpL!jbc>U+YH#AS>lCpy$Np$^dnC_d6y`7|{|ILvrRTZ`kD70gg)#Q zF`{hk9OQ5rZOL=z-^g1HvkWm4AS($9k$O52t%n7QuvrrzkEsXw+rKBA#3>m~{z5bU zFt<{-eZH19OxSkgv!d6UxEcHslBAm>FTuz;1;U^xU z5$Iasdp+?r`HHA~402F1lu^PI=u(7?9)le{VP|UDojA(iuW^STJy{uxUg0oaJIe4> zTZWAub2GF2EWlyx3+TzSCpGyCrdTR>N8}q~US^Lnf!8i*#_R2bKDz2@6x+I) zeYqH^V;mm>B3rmDkmk8nY-m`Kh#vXFTPL$e?T+WJJ+Q8B{> zc8(~ft2vEGw(LV#o~IyJ^d8dS!&A9A@riR|y~_Ckv6}#48PW?SW_8<)=v9ybGGQ`k zbQWiTBXYLL)Dsn+;8v4yzBoA@o?hljvF%OtZbrOR{!f>nCkZYeF3RJ=3MQ33*@e)L8=|i5+1#tprq7VO5y4&-C)9zbz5RBH zpT=Gw13|r@Jo>VI`pq+wpheg{6Qh*AYO9el&|F!sm=@bJfj4+*EaGU`lG=r(2b;9F zYHD$dwHJwPF}oc*=e*6k@((=E=6!I=;!I^{+F^Dih^ zSYfD+98KTgI>X>ZkgzX2$2jn~CV$d9Ir6pd7vN!Wc8YJR3H@t0#Tau$wS72~GZq5N z*gyUeAt#<%)lUH`JPl8d^zHk5zOXol$mi=HDNu~2_vzO|HA(xq!qMwgg!lHJ?oHQI_5y3PsaM$RZ6uGtKHP$(ALhMyUoJYY)p(z;lHx|L zlQ)8?dq&2>_k)8$dhGLwhT(Hz#KU8G3>YN6yTC>g8C3t~@ev~r1~wWdi-=SMBq$D_ zS-~pm8%&-&nvefS3^xUxI7)gfsw#LG+-e(x`)xyL@?C}|X@CFmAwuG^j)h!M^y@ax&eE9K)sX+l~OhMEJ2+rh! zau$PfmV?qq4hU{Id?j9ypdPp&+U;ug`?zpj)S*?zBadytAN*g zYY#*UWt|=om2d1C-?pnG)Sw{)$%f^d;*`TaC=fJx;9nGdQvE3QfkFba>Am=~n?knL zu-wP8wlGEAub9#H$?#Mj1G&Beil4GdVQh`-xy(K(68s*m4SeS!G}k1+n%GpIP(Kzw z+}N*XMJV0`+em$ULz|RXgYBviWg9_+J24{*!*_N~45UQ1jM>IuznnXidmV$^E1ASrJ@PqJ zR?$DevSZ1--}XZNN_$&qK)e+Hp8QV|b_E~Q3vIX?FM-}?J^Bw zUt9R(fmraKXf=Uf*fYpu_!d#!cfGY<1SbE;o}4Ckbl z?f*a^_8=~3g;NA`rf;wR=^kaDLH4lgnMcE&yDA*qYi&oC`<6B77FmCbg;4})5M^X9| zhkW{^hI~FXFCVth`t$FQ{bs)AE>k3q&W2VTst2I@Up!>HLA#@EKB!+GzLMgiOhLPo zzbv|9epz_MT*bhBhX-NS2~;}MHyZSj3&VpMU3^LJ#6+YuM{6K`YRlfTfja^3ob~*o zz<;H+I~g{0*tX!!ml8BM98i09Zp_IPv@X`qY<~noadeJuK27&!M7Zwk+_>0Sy5)HE zTMn5b*sq^~;4KkfeF%;2ZGQ0Q^^RM7O5~6QzAF;}uFH9AWkq7FE#eIVC!H;_kgHii zJ{nwnB4*i>Sx3azU_v7jTjg79--BUA1!6>6e8XI{kk@6R2zZ=fuWiG@b-%1Xe*+_B z#Tj-(o%Myk)96YdD^T>(2}KjI#r9gjKXvGs#eY|cB}CH|3B46Z9G6vxi5SK(*47vC zHIC3o-d0%$=h64Cs$fwWQEF%hVhmlKU^Kl*KG|xt`De_Wy=QF92w5pfMx(FwY&)HV ziT{-OBiP123AS&tk;vCl4{fS#7b4*)_hc8YiZC_s$run*#rZZHw_C}xs#bieLnCe^ za>Fk~D@y8c_6>S2#LgTn9rFB(vdEt*Kx)1w&2xO@j+Px7fG*g8C=xe5MspzM`3NrE zg4Ceup;4-wf}o1rxK$C#lPDyqCmxIU-)2V^q>c1taP{OwdTg(jCSv zGFl1)zddP9=Fc%Nq=?Y}A&Li4&(`t?ME2zg>~j7tlxjl;G=y0)QKra$2O`AEt`DCD zya;p=?TT&VAwviS24Elt61$V-4y><>h@2Ko0oAqpDJz2~%j!?WxGhNDGiHR?!ek1# zMS4XY1V8s3A)%k{zyhhq2IT%M{*5G}^Z}xf1929rn6nTE1~7F=sbUCk*trm+Wpl|T z6?b}}u_uL3nV^c2(Si1(`Dry-Qn{d}GmOfXI>L_%ZSv45o*8FWI_5r3^CsV5`->bHNHj#|Bq=2UwLBgQeAfK$WBLgU%gPk zoH=d`Ht}%rR<%m6=`@5YK7WTHPD?dk5NY1;t9dt%Q3M4Yh?gu(!Wp(07ZauwfU~tS zD>g8_GP90U2!0*JSQ@9w!yQEkiDgxGNCIpZQp~3b8dG#WMHr?4hv}r^KBBoke^~jW z;e=SKsjEe?HQ4~{j|zty#v#1^UK(v$G6Q&?-*3k<0vEoFrIlJr3Tf=GP?>x)M0k|5 zjwR0OzPA9uRrb}!I&&~KTk1m7C_4a8g$|Mu0(t76W_}W2$8}iM%2SGc)kpjETCwcf zmzhq(H}+>XjI$)}jl92U$p?5cOf@JN19%Kfb+UurULGVIMdFtwP^{c_F!Jk|5#eG{ z+GJ!ULJKi5&Y1LBJgl0~#vmaQcB8-(#3mvg*sa*da2(Q+j2CLphGO0rH&FQJ`X>e8 z2m#NlbrghDKpYbPjbcUkta3jb-=z2$Sc!JzPU2Zw?jJKr_}Fo<6M^pyz ztC8U)Dy1+?%R12vSy%Kgx#^{jn&bV9smw1xAb46gVtPeaV#FzUN(IyillTUiT=wSN%%grARaDOX}w_NN#gedc)06)md(h+#xx++gMn;h z48<+q$C%a(+&>cS7+R6Z;$Wk5{EP{f4j}8}sK5Wzqb7mq{eT7zV0j@Wfo4m2Md2&v z#R&TJSgSwSCHA_td9(Dtu!iW77^M>))vSYFt>zpuGtpBudl~yzeWcKoMNlW8f16=_ zfRFBjmI84@0WVz?qYDVd_0S&sM%@?F)tvTSV<9G-k5&pyxJV~e^$bI?42r5;6n+P2 z&QQSJEHM%aAsKpDxkx@HT)aEECXds*#~P$k0zJ}d*K?3$J^3J-*xauM{SQFCUGG?p z^(5;zy(%<}^Z=SVR7@aF2=9+~Ama*V%Dsv{=w5%nfY1P`Z?JG|H_(5}wl|OH(M8<% z2;ulj0hY+c2!HdGBbTfZY@jgEf?gLsRx`)*OA5#p1qSA?&qL+Ckprn@b)xt66m(HP zh`DHko~!#t`<=BuP7NjZ54!cSY>@NPjqz^||?!AB?g0})w46e94dlGGYPj>fFY zj>gYtJ7VOXS}0*FR^YqS3$zgMXBqiit#!MX+VfAr=HCGYg`f|`^hvc)Q24+_KPEBi z(*`8?auz=uridc$w1AuSWg$0fVvjRCV=r1{_`mktT<}Kms2+?LPP~0cz-NS~TBfh} z66s%U{VHZ-KsZGt{?hmnn;GG8EAT$H^&lJYwe4!W9tDNDFCri*(f3*1_i^HHo=H;k zY7YTrPWKbrMT3Ei(^3CV%dMAJ9+N+ik~pyLHPsJf@O_Q+=+Zbn0J$Jib~win1O>_` zGkC?+Yx)%IKar2zPMI^?z1UcP_M}H&%swH}mo&-0(Rh44amt{ccI7^mWR0D@F^GiS zm6DNo4oY}UV}oFK#6^IBwwclX2{#u z-5?uAU2t?MbpU=eJRp2B9FCD#1URqmpw8QYN%`wg`4sl(1K}$r&agB%;9NpiBqdW7 z+7ATE-4q=@=}yj-z|_0(8d;re31vu`neB2bFrZfXBkK<g&iJP+f0#ga;PCYSjdafF{LN8RGR#tlKcoEF_j0(=HdKB? z$`av5n)KWZC)=%eN~j-X=*=jmpj;B6cxV;t&@eEk$(9m^l{I)Sx1_yxp{hd=0l6k@ zKmdElWp3qU(lDwQT@kdidpo*LmYA*eB2_VTKsy21qtmzPxp6z(PRdQUp2O*TBSQ3? zHCSfq@Q8tGKstfHEzTL!)km@?b_Svj5cxU%4zOtp+9kNZ2R*@|=ZxSga9eKnow@v* zq4VT;F-%n{1i#n6djE#MVFS@t6A%%45}Y>d3&;%z?My&9*^8Qm$6E<)?<)G&EAVps zW>fWV(}(p#-WCGZn*Ih@&A~)(HX^&C7eUddiTt6NH=p>5(9neto(HmrJbDyCRm}{t zgz_Sg3f}nZ4_N9yk(4ylseI_Im7rmKBrA+di*>~Sf&u~5DX42UZo(o3SRfEp0~Lh< z>Z(A*ZKxvbRDK1)`qijsN*el;UF>3P%y(pmOo@B30>7OJdz`Ge5Vou9XdG|9u zX1mt4FZ~@cQP3-uPg%TsNI0~Xq+Ibo>$%^V#O*?3s-Z`(&%%D|`>8pEgJI)`VgALP zO0oW{_3}8jFN%1O!1;r+H+5^`Mm;t)45kKjZ~i9rgZ8W-aG6Z)kL-p1XC@4y!Cow; zZZtADA_6EvneJSLBCN{AOqepNuMh)v389&G=UFdJw!Te@NstT^$Hu>ZX%WvRkm@Cr zQbm%LTBIEvjpQ#h$CK;cdy`3jiH{5+5n>1TC?@MZV={}b>c+r05JE&`$A-?ve&ryX zj-=2X{ZRV&=2XBcs9y1eI=3_J0UkGHlMLBq*r%=0FZmTnLpBE+>kfqo3r}G{8CKDz z3910~y{Txjw9dc}naF-BlXZ3B{&|s|cqrv`4y!b)Icuw$n0tJMm)$l@qd1^d%RDP* z#2lW>+PT4`4n=Ca1d(8t0CCv|m|z&wg8C3>)jrtZ#5eC`l=N^tAhEJitn0$U{gRyh zCGb*I!aJ|@es;3kxQqiIS8ql*1dDA0aXmP)x+rSy9?k;oOsLouGzB zyoyo7b&bb`+q@W`Bcq+xxNB96BAkE}ktKcbLiLOH4s~tw8#|^|5S#|x>S&v5g_%c+ zVNn`Vy&=Kph}2gEcZ9Vd5vANw@^DK7iBd)oKCcIUw#^OSv*nr*gSy&%fBx{%GC8A3 z0v=?_xzRRJ@zDeudt3}B#Vn9E2k?eKxawt~rl_Q7JSs5`%gktYK@pIjQhG>R&@h3d zrx`6_y(7^R3@iqPpQ|Li%J4%6KzGsPX%W62S_MVT_P6A z3OdJqW_KEB>Xv@dgN91%p;$+k*FU77A!{Nk`J#(}=d9Q1u@6Ooq3ll6EF$w4ZqJ)- zGCS<;<`IDObsy8O2GV!kZvxWK^CA(|Jd8}0g=~$#dt?5(dK2-(XT+G3?qWtWUsZJs zA^NFjQYQ7qygLM&DeMq_>Xf&iJY*@*ilnne@!Qw!z}yteyOY#k`EF{tP;UD^KL=Nk z59mnAsOj1HgZkb{Sbo;Yxb5dtqVd^VdX8FAtZ<+1b0R2*PhLPDh-QEoC+PkPnt@@& z>l}vzYAWyR^K_hVd{_xz3*WwRc_l0wPlKu2x)KT(R@9#@N;DOU&lmH(`-Pp&09Gha zxoHmYJ1e5&rJ9ZPk(lJ#pt&06_eM^LLP8cAF&c#&*+7d$5sTvG7Kseyz1=R#lsjz@ zXh!rnZNdsqSh5)MejI)af$=g+A>G0PEOBCwsy<1aj~m}m(ZE$WDXH#ZJNQtWz{_(jU?{b#V*G)oNY+Hkq$PfON zzQ8k90{XTo=SfJ+&IK+2llPyZ(tkMegcVnN+Az;E>@?Rx?Cc>|-P~xG&s^Oi%5rnu zRv$ML8lLBByH?b1Tn*lQZpp894qM;h1N|cT|F@w_pV%A6sBU)pnaymHDQX#JL5>|& zc$ST=)Eazn6r5dz%@d%+B-Yd)HV?YsJxi9+560JUedxj)WN#Y z^oz^SD?8$1Jn(|4Y4kc-!iSpJTF|k9KkC{sY?zS%?obBZ(6N0)N-QnscZ?%HScn= z{*)~}utF|H0+%3=K2QpOV3Uqvz~bP$`1hz0>0cU%fu{JVN)4wXZ@3Q{OKK5+v$Ix- z3uQHmhd&+A?L+Y7Q!w2J4Wk$kFFbnvmF9r}|03lr3<+o4XSNM{dj_4gAtz-6+~HEB zW~daCJ?T9wlBk<33zDcFz=04Kbc)};F%3!%(^A1kW;y8ZhmkU2h5Z)$(O1xPF!K;_nL?$0=C~X2 zzuL+5=bSzxemu|$=qL9y3Y=msB0A`mM(k__ZfsA3hb~9T8Cyn`T(|R2Gm2Z{f>RtX z2{?kRE2?op!f*<>KtI^bW^-|#mp4&lD)2oudYbe z&fHxwl73<$mLxu>-|1(UKS=dUJ^x!vCp9-GwJ&-#r&&a5d1ga=w2}$i@>vLw0#@g* zrjyfZkkaCQZHos-WpateP}`v1pIuKp^>cdsiK zos~!EVs$+K1reEtLloeNzbm(gXD7?4mg@oU@pVyMecP>EJrn<}!RZ}m;{5pXLN4%6 zL2d4p5!ZYC0i~wf6)3-0c5qzgub9lx_qMQ$$HC0ZqjtuW=3fK_@0v&tNEOUaMZwEy z(+d6T7z329w-!6(M5(dR~7(vd?Twi_RV%y$xeN`}R;L%%&E!#s5~h2Ych z7fP@`dlf^8EN1AvRY9~y37V3s`6NZT2p5x_DaYKyMQ6tzONS9kxdB*w=7QkoyJQjc zy~YTgH1L%g)E9fy&}5JGBEyu!9vE0Gnd-*t%O>d~2NXVkk{Wc^k91J(u%vVZ@DBq6 zvpAeTo!m?4s$aOK(#$s9?;ZvXT@E40EK`;~%*1^CLe%5w~G%A-2A(1Gu0MP^bWg z*ecuvaNZxF?piUn5Ly@En10Cbw?fT*A|FNb)h5w0POtw2?F1{DMF@3iQq{}D4%?Bw z@cj8FQ>X>L@mIT(DB*Q@y?SEj7chkhu^`T8?w@AoQNo+tKd~{d_ue+O?(M^O>oo~o z`+$t^^*&r&z!;V5eSm9f#ovNAwPR+v*nyWAMG61(e+_l>g7NTSn_AP#Rz5RMqKvx1 zp{h2$XVLLhEIVx0wv&T70>}8 z<$%~(bWr8K7TJOSRQQ_mUkbnnh5kcfJoCR4s4f3f0Vemq6x=Mcoh^}z6fksh90i4= zb)nhdV`vaZ5i;#h{Gu?{v-{+P*I&S#snIi4$;$~}J%7qf-)U52cM>}u{l!z}i%3r} z_FuT?36A;;qoO~-M1Ns|u_tTHCu@G}Cz$dtY%?Z;!VaILfxAlsKW{a#W`q2L0b5R( z!}A**z70?t$A1**kKmtG`+p-KOSlZgwM5`_b}l;?O^ zVk6&%q6#o9sle0-sXz%d=06$$GY1H9&5>I-p{s$(04Bu_5W>ho{|?Fi&(>sWO+JF{M#V6x)%n09fpfSB#A9Azw_%S2jjd*;A5OdS~s{N zgr2Vqbklqx3@GS6Dj5Impx(*S^f0r35gy!Sk; ztDB}UG&hRU{!iGTt37vg`-~B*7NT1(#^D(v@iKy-0B5ZUkIoCF2%04a5P1&wXB*4ZS(<4o<(n0t~v=n@5P($0z|WK!grM(DLTN zv3cwbUr7jH@CJP7xcQN-&x=G|3@}Ir!dl6~93U4*E&Q~7sZVjb^k%p_2_oRsGDCM+ z(a`0l`E?=q0EZVM;F8aUE)#z<>SdU}&~t#fX%Gq`t(V-3znLLk(Jl{;T6{6wfe8c=eMZGO$>Nr|O)3zXkcQ{CgFf23L^# z5C2}|NXN;*UE9BF08B;FjsL$(B!E7^e2MUn3X&x1AE5uEz(AK4NXOxI49;V2QW{z$ zHk>bKfB#sJT;TtmV4Pf&+%WREfYpPxLoS9#o%Y4Y6i9Bzt1#6`!ORO@j}F`H4E_Vu zs{JQ;82TUJD)^tE-H-nOEgK)faxeXRf-je+Cx22Ac-`U%)OG&ku}6~4jDNU}Cr*Ij z4_Evbqx-|f{l#eia2|g#>OY*(UySMxC-WDh_`|*Zi@j1{wgR_@_1>N1RLmLNpI5UV z8hHP!TMCcGi+GyO$Kw6r|4!@w3qH=bp`CT$4q<$07kx6*$(wJR@5bnJ>swkn-kY~- z|U_wjpfY6S&+Znl{Wkm~e);jM74;t(FxpWdb>5h}RuaDA+=R`(BX zmcLwzu5zv?2O*5hwN}el0L)LAr( za~lH_rFIJXx36zd@}Wru??Dg0w`)^dns2YmU77Vgy*w^~yEE2?PUPQY%4^_vAAdyp za{u{$?bP-1aCw>U<}`H;9X-=q_kJ^f*&Ez)HL?G*wp^c&7gpfmXUAPjWlQ2c&0Tf5 zU5nS%D)X|Q`Pezh!^Oqo-B2K@xQFz5xrO`f@1u_o2NNAV=$y>W_ot~0_E(=#l?K6( zy88)GjO(G#eT!Epsi1e=Ncr*3P%SYtNN&9$D!=9C*F^cxPt2#g8&P(Gw~g53a$kR5 z3VK}~-Fwq5?Vv9`-oNSMxc1EK;r{pUQ@rB$QzDKn>G@MSgZIbMo3p75U(9IcqDYrp zu8xkjrOnTSm6X>EQfi8w^{LJwcloY9BOy!=9^wo)IV^<+d?e1wc;E|wA>e~puh zBcXOw>C08{WKZ~kJsjp-e>821995;a_(=I2i80@$<5adh{I8z2BX^`#qH~9z~P>JM7|)q4;*>D|>qIT0wbtmGEMdrIhA1@54FLnljDl zjnCUfsr>tgRQtkO>G}J`;0EY(*%86}Q!Hm<8eRvaupe$P<@i%%uCP`3|Ljtav4J+96!TT8>*>dG|?yarvYhQ8rQQJuXDxjh#b7n+gp+pUMAopyGc zJ>;)l9I+bw(}{!YW{6W~hFn}ub?3@&Z!?Nft9r%=nn#z7V(} ziM;PGuVt9jHFVRym1E|nmE;B&LSlVC{F2t*ics`b|NOq?1CGW9a2R5#(FzvB>+1Z7 z*lBKcD-=0?&os6gYom$|KP-bIt>E4FzG(?Q(e=H8Lilq}1ji~Fqtgs;>ppZ%*~li( z$;*@ctM_G8own6RxKijUUXnhBTCa1}^B^u826K(o`QGITrq1p`G&E_cKS^w{4u1Vc zjBIQ#bcgT+fKOf!>HIQo0uguUTp^;B+1KlVZJ)^RZ@{4Rc0jtXqwn*Dhqg1Wtcp)# zM*bApFvZlQ=F_4|OoWq5PU#X%x}EyZL$O>lxu0v_JCxFS2d&Z@ex#sOb*4udZJ^y0 z^SGKg>!#H*qC%V}KTUEY8Vdlk6~DuHe_}H~#%Xx3u_>a`_{Wc_ZaRwGG3e1M)!7-a8F6~^N?>sD3ez#raPu` zY6`N*RYHG&MAdU{e;6jk&5q>4R2((0oveRp2^06*47KvpiI#U0FT=j5JM|js157q- zaVN7EX3`b_R|lqmQu<%9Iv}2V6ssR-SAIi-EN!e02fuOTwAxX$wUs~c#DD8rS%3zy zh>qhW?H*h#DH3%fSbtI(*ij4%`*d@?Mj(9PqRS-S^%Usml(Onmod16z#CFj%Y^bf( zqYjh#lK!oOhp1vv_9xE_CxP%U4Nc^=32doj|}!!5u-qTz2Et7rO%m3S6V> z0`SQGle2Br$rTNb0tdtp1d}@u`TejG7B5;XwG_vjM7#u;k+}36KR+MxUj4BIL{r}& z*6qElZ~Cvhms3l1ik_`Tog|yVVebzFYUw8reL3$Xj)x3%{YLSmZ#Y?OLgqE-mM1o4 z)Co#Jt=kICMPz6=l>TwWHNEeOK$VJbx2?55+vJDFB9TrQzwVjTW0j|Vd3s|f{%h#U ze;j2azw=G-*5St1t&^J^o{Bzmsfhd01bj`iWAW{U*YCE3besG%eTX3w%PE0HT)$|{ zb9Ns`=)!<+r~ZcTXJ1ZK?kxmM=`f^Hcr{nWrOyh};nba?&i*M0TA=#MFgov3*X*S= z3h?mQj`Tw5NPA?7!Yl&aqO=|1M_Iy`K}RQe1ZafcL)jRtBaZ--#W)PXMeW9JORw=F#F#{w2zZV0(#57DmHw5IcB8gmj zyFlv1ed^zE1`#3L@68E_2NypUa8(Yk*sJwBs4&*R9iH@AZl(W;CwNqGP=LD^HY7WH?{1)7%|n3N8sO#3=Pz$vk+7{nZ&ze)x!{0$KGI8DV;l1QRVby7$=VutJDi=Gd&iC^Z{5C$MkHz4ZM>hUTl`PEgVQh{Hdd90+)`7 zJDM@h#C&m8BOSZ)FHL9jf=mhtHEp*hYR9T!Tu=ET>X-{&G9>WWXq za!;l3YZ&UXM(fLAfvaQ23oTxe_N2@jrBdfPGT#_3XY7t_&^8o%3!gAoKcg8^N}9-3 zaT!eZuesdbn{#rKFQ3RknL251%)^({PYtu>)BdRj?>GPRg^b1V6%&WI*ABneOCmw9 zuMSql%n!aUq9a8X%yUKs=> zagp{&H`*}JPHC*Bp307|;)I@Hw-LNt5TvI9Gi1_4`;}lr+%IW75xS0pj2+fG`qI#i zOwf2Qc^tjx|1u3%0CU*-gv{Rp%)+YiaBu}{ZOwE--pr%ic&Ww$tqXU0(l?QXu9vY6 zlFwOHsva>g$E8ZC|EgFu0NtoGq}s8-yg81Ww7Y*{jz?8&`N?6DaD>}dTgx666NF2B zXJdoxY+*T!2grJHfjEHVMq6ofO|vj{-hZ^ikzof|nX{u0hP#WcIBL=Be~ zoH~?D4iSrN^?`Am1tMd37CyWVPw)>xA*1=yl8R?&j(anj<5j$V@~CD>>i)y-$vW|7 zG|p?@`p1z$$>0!()V++^>~UGapDTvbWYV89WxU8Xy9R9l$Gl_ZbTCQyz?aiUZDmq- z#@BzeIT^gRvg^|REQ|3hTXOYLnZohb-6Wkm34_K_AUpvqC$>4GLEDXTe3CpRy+EDq zxTm|2_Sl()ye!APru-+G8lbQC{>n2Q_d;v_$^p8<|F1m5ac{{;J}t_K$`)&c+vV^L z&2UczX0630QNv|2*5(?1=)8Vj8uB)aVoQc+P!#%pxw3qq3)i2lZRXw$fux@r}eo4i#(Pw)t|EDhn=FS_9 zf8_XI)-M+SmZsS-A>N-JaAWuTU8TiG$%K4za}lg20_KbQt5~{KNYbBK%LC}o ze`)F*vGn49$pL!cU-EBa>AU}u12jtLlPz_wSUSTWT8g*@Ml*jnw^QcNbYe|#|Gt)R zDI-p#yuH^?=Sjk32F5d?{=M9Q$7j7i!(5q?=!(}gc?FEVDLd04vwPv6S+>+#GB{KI zGx<|hjXhhrK$Dd@i!I!e#-3cOPn-zk0O9k~uNy7}Ddw1`*D~%e7C#F%U!A8T1~PwRn7fM?+ypl-ICPtP~}Cn zN8W7z+kGx^WrEmub}Ma1PLbcots)6&Bq0&7=@izsr|#%%4J5xM-3iQxw+S(YQ@+Ox zl~os(etH&(ts70Tr}>j;Ak)r#t)PSpP?!5jBuUd_UuuBfe~MVHCaO#G12aP&+a-w zf^w#Lvqcg4sO!+D`nzvu~7<_ER z62uFzY^M6cX>T^P36<_u{7t`>8onEb(JKymrJrq$Mc*?$^fom22lngl37WPl&^1aG zounGt`O33emIV;e*JG+RVUUH4e)b-lG$X4fGiTAl?8Hp3aF8|5z$}C@kyIrDWIfgQ z-noQ*GKQm!wnsfQZHgZp#B*UDepEX!O_Z=-7sNBp?Zg&U+Wyg+GrE`wB&)stx>1~C zVD;oVr@*I@x4EKs+-algx_myI1T=Zbp4U2($88qHK^;w7pyz1>T5tQ#hUNu`w7cHq zc2$hy;SY^{fE*)q%n&iPXj-p@d4&#FtI=a=^pIpGbG+wIr%U^0&3`qln_1VrX<2Na zCsR}8u|F)%mS4L1rHJ&`%kc@POo>Ew2Cgg!w zb4BSlE~z>>FNgBQc}9{tQ(aI!73IQ#_HpBJ8f@12T<78*pp?p{YK-I0i#mkx45{z) zRe9v%$bm==9zt81tIHY&X!kv?C~v`~&LVdg6t{yg_jT%CU28Jatsd+W>E^{wB(F9o z7RJ{U%t}=-vtKT39S8rIo;@RsI%-3_XPo9qeUUO|UDLmj-IU4fC3$Tq8E#r^o~Mlm zoziAqBR}*l8FHE?CpNN3lZcRBApDl1hC=7#?XS{m%S5sH3s*w|b-CN@(#GEd@3#Ec zls-?Qug;cESgQ?9`mb0`DRxHZkLx(mCeOkiq4CrF>_JM2L(FBR3CZ_~ASP$S~74)C=o!nVcVKz>1K93()#22WF$>~zelc`GRJ&57n9Bz)u;s;IX3 zaZRKhu>yj9+gmOdUrVB-cYp?W)n>!1pCtLV1sqJjX6IO1FS6V&o5v_sA(vd6F>DIf ze_?{?ST}8IlGBo7DW12a|CmCkLA15LHy=s*P1cPr8MqFKL+|X8f9MFc@oo z=sXt!V>f713EYTon$-gl1>1X4>mB0*W*B<~k)0f)^$hmGtqQIB0g)YKiGyKsbJD7E z6_Yw#(F8H|$9XpnxX<6LssBf53jrU254Z`|U*%={>(IW4(|Akd2GU#e3#uqjLc0}? z39R407~AzM(vfrRN=CX*OJi580}L}wl)&;#-y!hRA75q1mSOdk+N!)7aeZg+OE56q zWOj8C?hm<|y&#jJ&A8fFvl5_7r~q*a`tuj&ho6f@h8kU;pd%}qAWhj1DLd#oCvIv} z2q)UBZxqiTK$tnjKiJcY76k3`os>4xML97u*2{1R+7P>!*$g;Zm>}Ue46(A(_%mud zj~zLg+jt?o7tz(J3=ffyHYsKspT*XPlj9rFl?bQNSy%9eq_)>c!X71OQ2Bgyxkk|PV?CUogvT|NsP)Kp14Rx>sQj+&Jp%G zCrqUl(f640%jSE(j@)@W3DD%Sm&7_mQ-rwmJ?c1Y=FUOx^*sTH$Ay&ICZnTk(^3+S zNC_;0K{d-f%?l-KM5R`QwgS7VJYpLu{hE%`zjd6HUw%v{=-IuiH-D`?HEPeOI7F54 zntq5wSXLLlQ9ferq}fW-;Y0N-gviF$)W(p3NRT#Nzasmp{MT7S9(|X(!CCGJ58ZLQ ziUo(2k?4(nM?}%AA{|gxOR98inY0AsVzxYw#jdV7DSDnP<$xb`&G8*?b<8N2npIO2 zU!K~G9}t#}JU>F#beyeMiQG?jbL%9u2{>Bo+kIE)GRsa>h!!rNizx0Or)2ZPs&3uh z5IZ%lz|L81=G+`pGXI1v#E{kK$dFx!2eb9K5L;W^!Tft3JJF)jFD{06{**=elS$BRzv@5 zkFSI*mW3_Buo!cASebM!!4{`|)=g2^idv#V4FRus?`wgzCQ#;=Y3V)K-`>0lQ#72$ z*4^iPSDm`rm*wO95H*h;VtkQwQY=-Tj^d*`ml4onJCh*bT_<@qTRxfB;(dwyKy7!; z8&o`D9|Cjp=9fD1rh{X_eywde^P5*LwSk1udBieQ9+Kn3VIT6vVQvr-`JBd3^4yVF z+@R-sZuAu%W{0Ehn=f)k&XO46CYOs%b1e*ylYWXra@A7edLNo^{f98yIs65E8Xt}> zNG}x{I*Wb0>%muh4Oi%?hvhyevv>-jSM4nF$aj^y54X0bcg|^Ad_RL>uk123UePN; z?2Pa_st!LUd<<^aA1;R4j?f9Y{2$G@OZ>Un5IQpFU7mFWBf-Bd z_y3aW|Et`L8$f6L-);4LYnUJnI^6crq)dXMR6J7{nv3@d@MTU&RMk1!Ci{vnYzf@& zP-f8c752Wo)rHhV;%{vTV^n7OYmZycfSTV|3&%Z~#`d8tYS5>@-H7*&PhZUOpYTL} z^OYegT}|p~JGF@NkNOa`w#J;APjY=0m6+gpy*tUCdEWrm_0~HBcM;Wp$iQS$+WUTjzojj*5E8Rah72(mY1BFLfSz2QKoVLAiBX?xd;qLBXKQ9TjvZ}mp zjQ)mOMzpq;qBY)GU5)3Z*9+VSFVbS=y6f;qi#@^V@f!1GRHk>s)$Ty~gZt%4*Uws4 z{pOEusX=#64-?z3I=F^UCkoE=w*{MjFK!+R+^>;dk_s$(UR>YX<$un^U+}y+-k5Iz z{vOld^Kid0wQPHT)1lzgB3RwSeE8t}aR0XcXj5?M;reJIIMvL@+wt}n@W&Ijz0E^; zU$KX61v>$shog?Q`JUx*()*jWH5HOF>lXK`odci6=KF!q8v4s#cN@imOU=z!x07%9 zJb!O*R64vJe6aCwy)6+^3it88+woc8_lbedxchZkZufAz!_3_5b$;EoukY=1vnIIQ zba!@i+%bRI^01r_bwDb(;CX&@aELD0bZ39M(b3UzK#yP@IVd7qNg?#mev=YH=ok62*A`4X?k7E$e{R9*p1R z0vVpmIwSJ5cTvtn|DE{FDAxD~X;5$p`|UyNiqCPL5G!l-9+7*^iZqqP`)RO`u|{i2 z%g@*oe5Uc#VdFWj3~rgkh|@65DeRF!suWtC$uQv+1j$>B6J=h@j35>%a2Ggll#c!9 z+uId+;wAy7TGr1rV=di|qvbXm@;fnUM{F!|%vxPlb1sX@M8A`~b-e#9HZd!+XgMJi6p=a<6xu(F z?PzXbZ<3&c^pUtLW_rt-U$-c^X-x*>}uQM_7t8O`7^Xy9V)aHiI_nGdN9L@^bp zGx*v%8_(dMZ?Mt|Nwsf7r5cMO`aw}g`&JsnMcLu4?s*4L&9+`lE}xpZFCjL`wWeG* zY#bIH>}2d%{z4f5f$mRYy>1(G2NGYmu+8y*d{=e2AddH3LL0YK&uRLrn!lu2s)mmJ zY=7=%S_2P3c_dX%#6Y6>dmS8I?mA0*NSD7%RHQty0jtz?*#V}(wy7F|3& z`?etmtQsHx|EFCrJ7zmK{t<_Y@&N821(}b~poE6VQ0j5fPwj<#bp&YCYlUL=<{ANN}Va*3?mln)k+#Rzb zNoZx+N*QOFn?6`Hmc`doBPB|77s{g9r5fSH{3eD$x_h!OWfBbSrpn|#h=qA!=R@yV zC0(=ki%&*=TeK^}xZ!@2)wE?nk9SjSn)%T;68O3%Tm+tpsd%2!ru@plYAGUP1raMr z?bPyYX`(&xu$}tiT5z=pkzdHf4<^$*3K3DYRYm@w${Pi%h)cFhP8apijy+_B^$I$y zU|xz}w8Q(wsg#l5bIr_T8uKmg7YbLU8u~*hS5!KcYHVn0tLZ+bB%3Ip6*&&5D%ohb zW#sC3{s@^(pdLPR5VynlHaR-;D%*2k-4^7;c6sR{|Ki=et&$D34!PyW_w!Kzt6`EIdsM3Y-o#odP++1sWu=ij)gxkO#?ppKZ zs&yo+YT_vId@N3HEy3<_lX~8J?DFOM3rNqbN!r0Lw6mx)bU3^^KV_bkWI=jYPnz_5`?a-cVQDII?d1Ve*Eey9|5+^MyjZ(|z%d;~p$X7XdTggj0YcZeP zWE-BZxNZ&GAtF3Pm76!j+%`h9_INvkK(J1d2wR?LN~|xscZhB z+v_TqTI|p8F2b8aKHAz9T2Big zL9#p0nS1LM)wMgdYqH9!psc;*`abL@mwZy_0ROvc-8N;p=l42=?mKNBxvTWJniV3R zBd^=qw=Jy?)JWsW2SU-M;yMWuKx70M@;en`IySR`h=$rF)s1SC2i6D^T|6@TsXQAV zQ_9wI=e5O|mGkdPY9|lYykU7fQZ~8tCo=NrRtW~m#5e^$k~)-{D5&fZ7i|vKhIKh7 zrY{%!N>>O|3CQ&?$D_rE%$U!@%k2~|=u(x{?wxcuUo8$bl~%?e;e3|cnF0?HmqJ#b zeU_9S67gCtoai(i$e4UvD>yY)>b|GJUuge1cW$zM)^JuFcG0okLwyU^TGs-UUaE*riYslGm;o+7EzF~X1JNs!prYEkg0j{ zOh&zLV4az9Gc$?le1<{rSN=~%?)MvgnnzI1on!96UN81k$1kb5LCEa^+4dnv7-E~|!C9F?KO z`3%QIQO(Y&!l2EFl6ASyEe+{>MvJ?($Nk_4*7xe`Sr@^}2Dz5gibcosp4aoEi?@8x z+GPgwr_bgO*h%JxgDVXNE-NFNZN5h=t{crKqozX42(RWo5PEm^xJYtXa|hWh<68rl z|61xy!09)_WG%pB3V{#D%)x{Nd@Kw2D)oRD3)rH zYxswYk=9&%I4ZvlW*p=m(tLh(udOIfjrb5O}q{&B1%R~(dht| z!;y&(^11fW)Vgzie}nOZf@nZv5~_CL3p>~341u$}+F-ts$D){b&ZlpXoK)B1Uht)( z&I?F1E}FR4cNh`20a;9he{7gHzG=VYzbm(1E$t4e^%&f`>#ele+qPD}^9FRSBzp7p z0>W*2sh6a~KN7yNx#>T3+Ul_8K zyE%fhmLxo`Sv)&)&?h<3;@EYvqgWz&!@szX-m|uC+dgZ1_pEK(wr$(CZQHhO z-@WhGyQxYgRh`sizV4}+%-4v8$*>ySfOU|udGP||8y{XUh;sGl$8Pa)Z@zk)v9zRO z+rJWbfP1S$BM?-(vH{1sV>Pe6`fQq6sGMemAllAmJb4t}DgRMswH(2^dv0ta>e|yN zxiJ}V&PTABNo}Z&n7I$#uCtteiW2GkntgQ4YN-!)HexE74{b4-;_zBZS^6Z!Y99L) zj%q@MGEAENv&fqaZNbB`Ea1D}x4Mj3^SprjRV7)ml}n79+7#07DB95b?Ve42*Nui6 zR>Rs(Ac76uuQHDwkSi>Kn$JW!yG-k~PA0&7lBTGo81}D79B3>b>ie=oFSXoyn)c zbwu8IZ9VRx+6Xysr%i=AqEp?%1X#VGZ7d95zr9<`toGbJv|vqe&dC@cbeYZGe0JRk zdS+lfn`<`TKeWsXlC2w5mbXmSt?qv?+DsZ#%P{62SOr);0@HDdc+Kg3`4kkaghubA z64ft9MAxNsp02ie?VtL}G;V}OyG-tW*xXQYIF_*O8pKF(@6Luzv-BVF1bAOk*@QlG zpTfvj>(h?vhuQ`d1((_wXR`@)xTG<-rLa{Dz!@`DT!}<}&go+HRr_@@QZ=uMM0)DW z?09HL={~~h{M})>dlevaudmzkGMcw}Xp7cM?Oo--%`hiNe(8DcPa$#JH>(ia2|R?H z=pvGb6n>W>4&8?hmfxKIn_^?&PktSbK1735(5eiX-^vFFyi@6$Vx!caF0TYJhqVH?2f6~q^egJ?T_bTnuw)F)*Zz}8 z6;|s<6~d3~F3wA&>-Z`K zFJvbHI)0+^cx-Shw}`UKpn{z{ff9@#o`6`uF31qhqzEr8?JKVYrAwy*?oTfBsk^HV z(0S|mkk`uZhvwM=q}?qEkzcC96fVJfc{J_>Hh#h?VwWFagv!gQ|zILkuRrXr+gt;@F23zRw)E{hB#QJ}rzvzS9VB#DyM7 zx6=k9zq!$8&^;Fb;~9V^BoAYN?8JC$uSFf2-#12~d+hnzkf zD-b$y=D9Xf_Nz8U_U#uxvRutLp!0_*LA#$Za%+(}terqG`AlKIdkPfXhcag1qXp~& z_LDv^-j!ceF@5b}fLLv(2txDKr^wG&(ZQ=VOmaOBAi%nzgnwJa5VA`cCcj>#=kzQ= z6|!UNCAWt0y{F*^8}IQ00Q=qoaqWBRzz^2|60!3E0PCh3{reW3AiwFYKl$3s6uJ+F zR50L@aT|5R7(iYgiH=jvC$Q465|Y0>Lv9VI3#{@LKyDqq%dkV?=XVp!C|9jLU{Gzr z6wc`kKLi7a{(23deXI2g{}vVXfri@a@zb%O-H3nO;Rf34a0?AT@(X0-{xIOPd)J%X z=Q6lRybm6T_U(-ii2P#nuVT9V?31`c^HBq~TO|g_%NhBRn{-6vQNYHPck#nHA?2!T z|E5q;@*^wjipb*y8)?G9=9k{-6SuubFdUfMzzcVQkgF*8+8+^z=41AgpA$#s(;^DV zU-XLEqx?4WMgn}a18acX!v>bCmVo2GiPXXoCE$gl zer+8NUAPH9G@tZ$Y(N?#pBRYP;lk##Km8i3y01M77`cj;l#)0)9EK4~8Vq!Wa5Qs5S2r;p?QgmuDEpmTW;#?&l2nd=a0fBu1qx2voRLYg++d{>l z_{1!+FL6qW9x1XtrLsbQ#;nBz7>F=FWk1jm;PgVPJ^I|ST_H$GMP{-Re`XYFvDmO) z|GsU7gam8M_VaRdML4gH8!cK6RO7q^jeSUt= zA*9imjsWYnmbt(zWO#(Vg`D(3o-ZwrL$je2a(*xW8Yy7v!&9 zYnh>}R5z7iASC1Ub@|mL?5@!0TqSa0@GK?#k?_pR{Q?sM%?nZ$W$a2}t;F<8ajnF1 zO92t%Q8_UY5k)z{5aTI1|84YB0N|8-9L#Xw;0a&a>5Gx=@(>R@5;Dgv$`Pr?N+>2g z11c~P6ALc_$q*YZmRB7wX0JbbWUkIxe&Lc`Ek@(UD#(RAha%Zpav za)KhlA~=>e2O&|WhrGnf&3oDsP8BaSl9D|%{?!;3=g#^qc)&&z7(%@&#^dr3jVdjb>v$)GQukh$8_p@{%bD zvwU#=FR=h6-H3?@8Q>_7>;aoncdEZN9Q3zc=%sgvtsNOr!p;$c7@7C1;}i~5wjCRB z+|&`27+K~_qBDdMPb-KuG4a$xMx%|EWsu)WvZerFkL2?MivRy5Ld}4uy7Uks9vEl-O?72}n zVsz__m4*1N zSM{hU66Tx|`_k--7Nt!8nK#Wo$X~eD8-dMZ%&`(OcR9tqUVgYXT>j1Ks($`3T<4@W zZjqSNf7t7%@+ya)*|-jao%UJg3kT{#*D_pO#Td1<~a86;%Rv z>rRv>RLC*iAD3uGnIODBMegHBT$Nu$9#73v>S{a}pM4K1m7Ua0_P3d!b%Xt&V?kv_ zWr33=WcLEf=BTu#0TO^$qY)y-{6S~uG%|~2EQ4XX%3v9yR87DGgcEwDG-^=PURuU zC$Ku%tRt824O>TThFD|E2Ed4qdzrD*Svq4GCR#&!tz=cSZpLIoF4=BObd}YU9dm+#3s#a?#jX&uwyRi_T_T-wP38o*%|BA3vkNyrj-1 z#KnrvZTP9IC8~2oY{N0%#@8e)Jz9s7eEy4yoU5j9cgHB%3GN(9WMSmD2}&>1Pi8@6 z6kj@Wz5zKgag2up{?yeaq|Ba7n#JV|<7tIjpD3ioekoBHhpta~&oMVyl8#5-SYo3SkY7v2k)=n<~;6A(~^bW!z$<4U6vA zUdNQ!_eMahkGY2YeeUb3B%{B>ZpB9vc2;nYa?FvhWcGR1n>$3dXhV=?vs7x-&C$V? zEqmOvok?@(iHt(Fz+*splO|t=HrJzHd6Oq!BwOY;Tkhsnxd?3`_^*hXiDD+Vvhvl%^?FAFE>?cF1(8{VaoZ%!G}}}{+kvxUsH%`VB>89A;DxWDQ)x9T9dX| zIn4^&1;!piD{ifr?=`?N$$rg3BcJi6y6-_Fg$t))c?BcRC#P(UUemyH~DIGYR5!xW-9CT$dKm&Yg}*BkE01B%@O*8MUL9 zVn?kmJQ=o7!Cf3xKKJDE_Ry^UH*z~U%|U2!B;5F>pC36%ziJz9R0^jJf% z*_kwWqo* zEr$yV9Sc4`8g?rCOu`%h1=?#29;)=jnPRwrDv;ZiukAswM#pfblTFTyZb$& zML9^h6-YpK+jtHRN=cOhw1BqYA#{W0-9WXWT6j}$4*Y<1yPVdz6K=Ihs%tn-CL+|OAxuMQI9Cix_YU9kJ;S)!~BS+CC2aWbgD2o zsK#8&!h5T52#7{pC07*}HK(gWq2*ou!|P4%0SZY@t!WQM9Ax*wW1p-#auzlP8{dWf zV*ZyTQNGRDdEfU0EqWs8TO4*;3H;|!c$Hn64z}HQ_<(BHq%!^Y1TZL?5Mj{gONNtp z1))N{<(b-4z(g`b2z;DpiH=$!E+_c=0V5h5gxMYe#lxK(5!9_5)kFAG&EtdsCi_VlE{4WKNs`tB^w2^tJW)pB1F0)@B={L%rheIc2Zd`b zAPD{1qwl*@f0<8AJiT;ma<+K^6rp*;x9|q0K(Ju5maT*bcG>7TcxNjetn^Q zZ^lfn1e-Z|r$16KLBUZp0rXz@L4CTvzr#P+FhdG!ImniOgk`Tj5WKBVV#w*OuZ+H# z63F^Zo@>9EX?z4Q6_CiQ@u>p#A?p?XdlRw`c^^-nPrw#I2+6oW-s^J|_c=de)y|qA zyhd^Tzu3sc2cBCS0s_eWo>2dv_H?xdAo{!c>%HmvkAYoS0RQ(e)}yEY@0E$ekiML9 z7(-zKUHXvG>>u8pmy$<3t!^BX?!O3@L?~MlC>`-zV|=d4LwE>BOpZt%)IY`yj+#e6{YNs$TXqpqKaY68`Prb$=_~pO2|?K|SHEU*EpR%1SIn zILsc-))`Z*T*&otGM)n~P}xF*T#>(5jCJ?L-H~H&WH`><@WkW5C69yw8;qAkg$`w_ zRt6q+aV8@ao&bk@NmbLmZ}_J5L%hGNkG{BwHQzD<^v}F708;0!Pvz0}b8s~+;%9XP zWsr?zxw~>9R=BsbsFacweC|ji%=ZY?sTr(bMfU4^MEWS}1Wlk@b;g;Ki?;t9-vJr- z5K!1cd}%4G!h|14?QnDZU-jwKVch;aCSTZ3(GiSpU zjjm+V5}ayIt4Ooa%^#Qf@dkk-Nu-d0psWe8i?4?@1+g z-|S&F&GywBd8;eEipM6XsczCWZyk%J5hY5^bELFBBspPfV0?F4XBB{=%PD%ZW1Ar5 zZ@a+A?~L)*)iF%fT^f64aOOq#Np!|k4~~&daO1`Z-r?q4Z;Jb!9xRgrK-Bp!SIkg2 zb4Y6&$PgPOIraIO(pl0|En3h?xVAX7PGc(pf{oq-db90wY^1$7jqvloeNEt{K9K{bGR-8# zi5@?=gG90aSa;Y=E-*mQuypvoS-cl??4^hXW5JDm!`x3R%v_s-wEPCtB{*v(cG(6L9jZ+yp;Nh2e9Cs;xIcCNXg)X8{8QD+ej3H(L;M! zIoartt9Xajv_YQoD;lOkgF0g5N#Q;2Dy%Y2Trv%_BVWrdod~2Ji?7qlcYhlCvPK6N zV`vExT_vp+Xb^tL9{p^;Tw1MR@HfnFj_SCH)zvu)2bCvm7}feH$_p4Xu}CBnFBl=AzU!Q5`|#(pZ5EaBC|q-wmKaI(=4ltIuNul9?tNI~MC3*teyQ z@2z79MF|dasA?FJX0JyAyVi_A>2igj5y`bCREZn6kPE<;9+9N=Y|wi|F~7FRq*lV(7z> zVqm(3do*}_l}(Sl5dfBx_^)%oBid9)zDd!}G0;xUOR; z-}OzHQvgtyc9x2L;5*Wvmpp6sRRQOyXMrLLH$ULPLqdWq{$7P@@vySxqRkBH;s-dV z>daf4c_hZsyFk}_ce%S#JcNk%_}=D&x_n2VU0)T*EiN7$Iy;VeWpp$zfx-Zt@6h8w zRz!KuB1H%H=6IXx0&L#JsH{z3`ALp7G34c*_hAvUdkgs^#Cb#T0+VJ6idcA87T2mK z@(?9XxyPQDVek1E+T2kj%saDvPcORyTMIYF0Fq_-E(fV16K;!g*o>W6iVl+j;_MuEnD~jv zXO>y9`l&uJ9b&HVr5LvD8RLF%!0lzx?-6}KRv(T zV4D@vQ_p+h*0Vq^8fDPM>BP^hm;~k{MH`X3h;@y%=)~HI3xP zfSsgOZQvW(gKv=Hz9E0O1DY~M9KX9nNjCEoTu6M9ESCc>jz4E`l$l07^v&)!)`J8y zRU+lDAM|u=OdE&XcT~NB{Pq`^Q(Bgh4KF*{Uz|!6-X-{TKc(dv)hjSsiskDZdI8#x zAwauO|7!;^X^iH37mN5Kj{>o+t=CxMW5`eZ~VQie< z$go2+a@Low-MSTbaa8YG=tvyeuY;hsNg@c577{GJwVj#JwT0`}(lU~ntL_ruKFV0| zCYHGADQBR-oQ@fj?k6I3vui{Jwq`=eos9C=lHfyNca>Y0qWM#|$c?%)aTbV{Xp~q@ zF^dxA1U<=s!IgOv0DNdqM>Vp_6W0wq$)w2WnxQ8l1Vlx`SZFEjuGSR49?x%m=JU51 zSQp7I37&Wq|GZ3pXX5>JRB_HhlP}GEUI(B(BukUL6Z8fISIHObZH{RAw=AxRT(l7Kbn9 zr2020{x)rWy_tJLV_$G6+sF$rHeTzm%0k?+dw<$Iz{~d>al^w+N`L9|%z1wS8YpaE zz+AtZVO!#gz0fJ2K{k`AKD}@#Tl^cxt<+s*4yAa! z-%kPT_>PknPxP6D*pS6hnoy z_h1_7+KcP3u`rL~e*p)ER7p$d!yJ~2^T*X@oxSWy{V~7M)M1>^GH+GbhQ96I%$UKO zbA8>ry)CyR@(q`)E$cUa;00AxfRK%T?AKm8kJ35xm(1o-C@affZ%Oc$C&HB%%TJnh?jUW zPLzRWp0&02V+eoN$k8lBA(!gG!$WQ}lxR8V-Is;8dGyN(8$2?tazyAId|GSm6hp-I znjro6wLNfddcG*D%M{V{C(AoV$or^EIO4aOBY+e5*Y03< zscb&_3DpUd^7PP57%>&^Bhq>qE`%;KJ16C7t@ASycwQ8AU#fp9?u0B&s@kUUhJn|! zy5(JWGH2hM-`9n;MkxFA62Wa1_Yuk41>Ue~^9Ez(CW^Z6{7eK&dAKk95zI@iyx2<7 z!DojIE>nIk5<64Sv-85#WwM-|}!tXLZ))Ykr1`u|zOLEox}bKV#k&pyVEVCuV$?cnzs5WYh}0^YqH zc|Ll90k7F6ZL>a__IKaMA>PPe5Kq`W%H$8#Ki-a#A6r5ke}yi^yh#S|4!mnV!&Ow_ z3dk@?QXW^x$e+U(e$k-$gZ-S2@_llsXrF6vWu^`CZCyTh9oLdp5<1dSQ|FaXG7z~w zLX}Ua+UfM}&e{0RmfoT#$Kz2ybn(b(h#7`>;-C{IWvpIR&F%4E)h;z&{K66#8nObT zm9N$F56n5sH&K7#E2&a-#qIzLU}t4>@Dir78252ir0Ox|`$~%aSj;QTF+jWr+}JAX z$KJCZt~f>zb?2TCl!|N)v+zX8@MoCR;0P*rltp*DkLy}cj)U8>Uc!KW`IdWZ=O|@h zuf~Q6D#PeRMnBxo0Iu151|+u&cS$kSF{u4R!z`c0+eJN3IpqX65&)m21<=QIMl&63 z?~h#PdlQe-ljp%@+y~KX19vz}A807))}qd`t6g{r{4T_&wu_#woA8x#Ej(*aczl4^ zGZFhDd2MlH0*>4%zZG+pSc^4axn>Iv%!0U8Cn}dkPL#wN^V~GX+b(OEn(hJv>4!|+ zq+7{_UJ3>;;l20Sqgy#AH~eK_^w_#}m_5A}lVmU%t_h$8IfXj%_XMmyQX3;{kKA9T zv{aqT@lF%70(Go{<66NjrU;+52?!ypV}XEmr|-Y@Hk>94Xe5ub3<9*Kojy7B%^@fp z>gP+GJL!J{s$TU7-u?pn&u-Ja>b)zDH zc4R?diy*A4VW*66UCBOm=L_^ za2b&dA8b1Zt)sLgGt|)zwnRzUWL333$0$OS_pMoAR1BlRK*GLzBt>O*#K+o8B}#M5 z8W~O46{9lSm?Olv3<~vs|KUKoIKdar97WrysT)|T3vtnxmJ^{s_V^1IO7U~iQYMcG zCTb2oQ%*a=GKs_=Zf)kb7qpLI2-;~6qNlPcZa6=uFJekgYgr236tam3l+WHE;ZUwo z!;b6S3_68``UsE*9{pO_j6i;R);*CgA`ze9$V>R8ScS5CwXiCIz{f%+>X!7z)3+D) zy?N7U@m&7*11?hV>l8NYDf_NENTg2RsN_WHCfulLVV#uY8fX6g?(2#Jo|)>ipT^6o zwSM6g1pEar#!+o^vus?vT4rj7LN*~b+N(=*DF$LXele0!0M5Gmss)8co0ZjdSATP2 z<82K~s%09>LI6j@M6R@JRjq(tT_qn?^_9J(-y{7Q4 z01+4|e!m>?23quWQGMb^_-ids#B^CXO_|VhrdsdIH4F^|@xo;JkU-WrPOQMrDwZ9f z4AjguKr_S0r&1`N7zz=O7FHz?!2DtoqF~<$4Fve7LMuDz9!7ETI8E^}QS>Uptz(sZ z|G5O9d|nu+0zRYDVpkGTW?H!rC5xte?SpXDRWod0=v~~&iNBoaE8Pn-mw*SL8oKEl z4#wtOegXv_B>T?I|}0UVzH35VXqvH?8pH`?WH)aJuM{7X%0b&39@@(xZyOLUoLh zxfXh*Teg%Mld}S)W2c7RJOxQEH1{W?{vXGpV)BU$Z(Q61MBJ{)X_NQ&;yx>-?Q!uN9T+PL{^c3D6~zX)4w3wE+K439fQ3khU83adNp6}B6ue#de>YM%K3O&@R_%kY8L|1*_+>J=VG16 zS(WlFBGf=kV{ufx9Ib&k01Bo*Bv0qlsZ%8Z&6q%=4mm@p4g$f4I`pl|EMKu*r=NH= z$}tH8uH~Byxlr2N3+ydMQ`F6kDO)R$bD>DyLiWpo4jf^Sgu~f8&Ki3YIjXf3wTB^O z+3S8ayIwmp+*e`^mh8B))s2CCuJTTHPp^LIHg9V+zVw=;D^hIb-ds{>@j4)f`@1+$ z+l)PV)K)hbdA1tOcDQJL%8ZkG%`+qF(3r*OExIVjlC#Yno>A2SXHJU8nuaksCBadz zVBuRl#0xN1eH2#O6F&rFqmF`P*=9-RXD%%ooCA|%o`jLO!5v7%IT({+78&;^Iv7+- zs1t-!LzdMUKctbHzSO=F!B^o^ES^om)o!t_#nblRE@)8H)%mwCTM--K0vtM*n{9PY zkM*=Iq%0b!RP^`swEW_>N1X4yC7>EE7phMUY)QJ*dq-%F5<2cYhICETc3HrdwMV^B-wq09o3u zf%sWy7}WuVd!vserExOvo3A6zFA9{xw}T)V#L-<%Ta9<|{l6jNm&79{D~E3)H^yvb z0b11rWE@;h^)WK};?#XB&r@wtN~f;pYZ0_~mJW%lY-ZGd*J+vC=*;8xS^RK;Ea3)| z+Y^*gE*Ox~DaW$jYK={z)|}(hF*krN$j{2}pzeWwT=Bu3*_9{{F&Z+&0!Jq7MeIC1 z6au}yJGX_#bBKU!wMkeweT9r6-p9qhMj3SS^@b$wMW#zx!@Yw77A#wV5)l=eT-Yr| z4Ud8K+ghu@3+zNA01hZ@e7MJk`H!a4UZ8K&(w(cXjw1snqq}vq3bAl3@H*Uw&Dfvk zzN&l4L88><~6dS)P2nIprlFvdf5coavfC(vMOJGp{e?$T9=N|9up%z+IK{HN>AXY z_`srC@%dpM%P?CN<*yYatBB0z`)vMA*@%o|&d0jJA|ayc0e5@N z*j~NpOHZ)MwhNQAHgbhu1<23LK+q5GxGqqRL~9r1FGJ7 zpy8YHZiIQO_ExJIH&5bFK~#QzH=k1HuJhR^Vufyunpe-tqYftkzlDJqDFy~_G-gV8 z7VCd#B4Z}2%avVFx3RK*ZNF~JA}Sc2Ks zG~;y$l5pw0p`$lJY&+vob{8Z9HKFk@EP|q15B|`qIg$y@@(+Y+*88~IgM3w0_z=7g z2Mhbv63*7Gs2F{5QbmfEI;R|9(USpd(Wx%-zQi2w?O!jDK!mpd?0RQ25k+(J)K#nW zsn7~_)8t*RwBcopua`fviQaX2s%UJaQes`f-Fx4ll}FiPeEOANHh1**bWZgG=Kj96rGVVu9VLe2I*sNTea<hQD026sxuS7{z^FAmP|!dazfpYv3^rct@BQ;tSwv!Y^uthzP`z`xi@<@o4sV z3Ca9bRZKNSKtw;Cz7x%E^hC$Q`fWSdff;jcGV^(GQYN!>*FLcNrdJLGt4|z#gc_zs zt}oq8e4@7H33i9*1H@=*-cD*Ru}K|0v$*DqS=N*g;RU?aBfqL9oP1JxVY`MhqgKrf zHUM#R(BT)t2YOJfPKHO&%S_=$%H&bR8LX>%G=S6E&t%fz$0h(7)uQj z0|vitwqw74&vE3oBB|l$+e9rg-BZCjQ>x5IliS1FyhPRg)J|rxw#(~t-2X{=W%#?{ zMOPn<0*Q=_cK0K4>Fq_B7b(^>m>o?F?d3x>No_1!dzRopG-LV&WxOo$23Jm=xr-{k zWQkAVk2QNqNCQhpHDlT~#KOSBQMv>E4XZ2J@+>yc_*#M^dXoh6D^8{=IKyYiR5Elo zXBwBEnCNugRQ^sJdM){=xKQd%yuLz+I~=2J@^P%n_jQSe6<4<+m{jX{9tWD_EFli$lS5f}cG>VhNsg~jB0pfFso6p{ z+GtRMQdvSa1BpOB^@_lzd<1eZoV(lX+lnOuVeAR1X56a@>6N&1+L@AZTtgBa9OdHq z<|b1jH_we|B$Vj!TLo#W>E)?muhDwB5jE! z)r6JPQs5J$N|=uD*8_?Hp2O2wRbYT?IVZQ<2pw55D>)ITIF{Zjxwvd-&d+tM|pJ zF`168u<6a{s4*to%1#j0^Dqy6ShtGO~JCr}WYL2Pg&Z3D*qz-Y{QDubn7AI^0 zNnruD%Lr2PDtP|ABkBM1M?^}lB?-z>l=jU?tXl11(Vf*aMQtd&GeVbfqm0cF z!K({*5uyB!cKuPBUKj~Y*IsFWur1T35m3t!A;F{CG*?hdkZeS?oOlK$HvQ&KyEWTN zYc%0Y_&F^7y7k~!PUU-BKe(eHgQZv+#P_;sw|lT+#Dq=a$1f1ss$^1ThXPi8NhP$v z&FxZFhU;56cttMV!@MiKPEz3yNsm3s`2m#qU3O=?QQ&YRW=GCT8`!%rpIUbXz+T~Z zg&=w}`qSq?fhTx%awu6X9au4`6CZ_Aj-R$LfIhYM&^_-*h>3j`vY!^JEz)tW9;V5F z++Bq%wT;38`qw%of7(STY)&wHAk*V zHWEv59N;Yq0*FgKXHg>;nBfGjVu7FiRKh`N_P7a{L%#D$T{f_KkO8jTuE6%;@A)A; zsz(#vu6#cjj`w11gqp6u%W5=N%De2$nDngMcm=2l;9a$GC_a8V`|d|E={xbFZBPqvfA zRT$}UxOzX$5;I>oIQ-2w;}~ssRQ|1mciBNJJ>a;JMLR$Hwv4*$u-LdHeEbAm4Bo#X z8_NYC3{u!t_8lQkA~|VF*s+|?&z4j9c+Jn>pJm_fj@{%bl6BV$_!mZB5|~@QvhN4g z4~)Se2&+6Hm2f!!7V&c(kg*Av5} z;|1)^G)1vL2Z{S5n!O`OQg5c*Yj;;xVHwIR$*$OGsDS}t1`0+%z;gWD>_^a|Y~MU- z@W%k61Ga|b!a`La*d)rN>gz-FGD9h`gY?q9+IJx1Z4)3*8EndfgmSl|4_&wvXB5Ry zZTSpOvy-B|I2wJ=jJ$ZK9lls19nE8tqqM};)$xZqZoAOkaI%=SKqaG^L7iOH z4pm351)aK{c>`BW+pY^GrZ8M*xSI|dUx?-BM(Q0r2k+%>`JFPkG{-Cp#chRkLTb|m z-#AevOfGj`HFIQIlo^|kZqS-gX4Y=g`g`0ZRS>_mnC!fAF*=TXM7Vtg4EM3&nHIZ^ zuO@?X_;(B+o%G^Tf36;dbl7ap34ureBgXapgeAxPr*bKvM8)$Inl0Hs zoe3NTr5doupSrA}R)%v8)3?Q(YPt(`df?uFQ7{s`?ep${EEReXa;?$;M%c`z zoE-(P?|pwI9)3npR=_)K!unK=aEcVopZ@_lGA%tyUiS;NrA|UJuYZK9bx=X_8lA9; z`p3=vhx98IWL6v*f~vWb8>J{L+v~n~m=>o6!FOIP`^o8Z(X_nm+WM`~Bf;JDO?V+; z;P@jXS7FXhC^k`4_DwFz|@uB6C?+Z?N)RS(Gp+3Wu)oh z9Q(tG`LXQCmE@g|UE9vJh{a-@dsZfWST_5f7a(LiMTEh>6xv9pS@|TsAG5{Tr#5*QP!(=nfTPpDWf}Yn^h`qn+|7r#<>ASyE8ranvI$bD z_tI|>)H%&VM~|(Gp)_gKZ5yAtjLaOW&F<j?4Z5{oZuBxVwE!P;#omu)=oHP@S2p)FO^$Ky_;9G(htHzx?HJdoM zp1z>yiU_K}MmI)zkH+UW2sWtEv7ZL^M+!n2YKB6kbP%MTvI@pqQScs_rJ-;-XS&u- z0F0vcDcqRUzhTn{hz(~AY5RIog;+3tX=tP3(;X9Ol#GBftA7rqm4?`tw0p=0 z{zdMACrT;b#KRAZf^7o8REk(-tJpBQ%k9sdzjtQ*V|yg>=eR}re7(!K1F`;QFIHd{ zmn!qSI|TXh*IeLz!k-|t$O)H`H6PnW$>5LT3BW}b>WQ1^I{(bHN$m*686I})kj(u) zW2@s(9^3rD4jp)l><}g-dF^o@r1r7&(Gyw|tBX7RYTE>V6@Rni+mHtckK&?2xpmX* zfeB38IrZ41Vk(4A6E=7 z^Ls635qh7s8ISzS$|-;;uRARV6Zx*#z^G}_R$T5H6Gb9`O3|648I1E?02pB_=HEb$ zyY%%+50EPIC1ikBWQkuT##Jz(mf|2sF8KnW)i_xk0v-VZ=0j^%QsPKJne`BqP+R{V zoW#-RNAx)NLzKWIZssLQ1~C|h2zOmr(!ahs_wN*hpkm0_kbyB7x%eo9bzmjL2Mhao zdVc%>A1oSB()@g3^Qc(|cA-B+C|LI{u7g83QhE=-LPS^}2-zCV zL7At?N9hTJ+uSo^ew%>S;4-&C=qtMPs-vLeM|cfPSs~cKU=A*(*$F-ZNfMsuCbJ<6SBOFNpKM%UOIM6?^8;@I|FRgA|=zS zd+^CSiSLW>&D1~ntDE;)iNoUSZfJO;n{DS$oP!)lKZS*Biu?ECS!}8J3m<(gT)>(V zKD*&L;4lWTrbtNEGkAH8*_GEP*I^~uuXkMZ!`s)C#D|YoQy=a7A}ML<@4Zikyb|-U z{;i_W_ag?_g01yjJ&g_+7XHM^w#}g1!v0U}50{~u$xB00DTa?tfO?nY5NAQM(_h?8 z?`#aS7~;J?YG}7(Rq@n+WmlRHTJGii#Q~Nnj5&T+;b+-0b;1d>K%oq zitqEiFI<81jM=A=91OpKXhuwc;xlO129kN-N5 zw5sdFO^4+HHDowc(t@}sz2d*N>N}0b@rwt)eh6j1M0<)-Zb*@``b1kYcx1;peRoJA+Wxd9x-h?hC zUj=j~0CkUHwK|%NIYWQu?2`fw7_zhI&n|^zpE{u9;r-MUyMx)ee36Bz-xmunIZ!=x zf&-~#3f7}k82lkJP3E=N;8K73fbGMl{|&s%fh?5c-6`ehrKb@dvgBD;DnY&3V0E;2 zxdjg^Igwf3@U}yUs0F+x$#;Roiy$2k9+qC1>a-`Jqa(ZDXrdya&5uQR;6^8^Boo$G z`e^#V#7f#zY$5`~zUsgCv;^e@7{2$_RmQ_sTVxrLSZ=Cax$}%k^0&&vSV-yXX1wx4 zNuU@y;Ugc%2Z}3=QyC@pQ%LOFL%4lQX^^wJ8^GmU{cgxy%w39d?Tb-!|)UB3(!o2$d9Mjkc_#dXFo68lg^LkCHaIi&L|j7UT_2 zd}R7Att~##h|_&k+M`Vbc(;3|P2g^MBWX_}e$brMMAkFsqwEPj4Ru9bWfNjRKk z3mfh1YxD<){fU0_;+9rvsQLfl(rlQaLOyK!LWG{QVZeDvMMdo!$BQaux)!Yev zP}fs@R~<*hT5M8Lr>>MIcl3UWP>l$5`6tcV9)^K2c`I7sU<0`t?k!s@IaN8rL=OO? z=D|T4k?6L8x~vkX*+@DOm3m;9e+S{SR|@}3HRT)5t$^_)lzsYkaY}gBHAIGUy_tS{ zUH_m1M~;j1q;%T8?ZoYN7em6Ch!CmOR?J)diCs2|fX1;EvOwm-)C}9qNJo}a>xaCM z$qX>%*^W7zPr;cUOkaob;OVTd-V4`LH`@fSZm$>3*cI4Y_*bBORrDUqC-1A7Z%ofO zd7zBlZ(RG_25ob2TQt)d0xwO*>39eOEr zQb+%Xl5;U6*P&Q_0uk0cR=-Vc)@9OTGHcD}QI^-B7JaJXFm(Meco?L;))lu-ev}1? zzMm)uTO3xM8i%Qj)BU-e*jtmpqK~lu;#k#q(6%PFDfJaL(hc$4;|D@Y`lAd4+~E)} z)S#JOWZlPPT!vrBa@##auUKwiYs-&}rV?aw!;8J}r2Y{NaTSR1T-B z$&WiU3ECgdF0mGQr`F5$lxWlG5@|@sksJW}K&`~|OOI+cs`Y57x137Em!2b&QcUf4 zc?Nz-vJgg~;y{8W8LAJCOGeY$c8)@Ly$Iy%K%$5)4=Ol1fZI@AzZv|i`Yh88%$ldS zL)IO;l08%9I2FVSVmWKfiWc2-dV4-khOU4Lm0^syV)L6m1iG99f(40|0Xn>wv)6_- zDBNSzHzfH4`fxCfg{ix~e7SyS{I~$Uz;vjsX)NB43nCUrOwACR+8SLGLt72*2AA5x z6$a1@U&Srv)?YuHKNPHJWwH5;uDTJPOrRT3!AQK${Y3RLi~RL1$r zh(DHQye{W{<|%>mW?5~O8<^GNlcr3wC&2=yD4*uV^hgDEO(|AW(46JMYDnSv?uTKD z=Tsd^`M3^ceBPO;(ih#QqWD873b+tAi=+_#xyqdBj3lQqjV2s@m^%}>Xl^Z+^eF;ox7P=Px5~G*s^-kIAQQwH*NCKb$WSjFAt0i!VS+-2mex8ufx3q;YPVx|wuLo7^GvByyrfqfu!l@jEbNBZu^s+Q9Qj?Gt%vRfW+LW8_Sl&I{=`2c|$ zaaPt#AkyqjPUAX&wDk>}A6t0e&kneX`NRiw(#MmwCHUc-srBQ~wN1m_1TlZAJj`Zur&*RA6ag6?0>CgGCxjZ)5Cw(4@4$tod7>80=2J4t!X1dRYKFoAo2G zaGVFyIG@L8UX#8UKY~l4F$>mp^T0UYjEY zVR2dzWlJ#JOvjJnaL6Vovu;>@(V;tJ;cD)hk}NX@JWw!kH#fQ1<&LHehI46Mu;j)( z6mdM99)2_NxAZM##x~vn>23#+PihEpdwasl4NzKaVyawMLR#5e%Ca(f_L0TJbhze+ z0k$4t=XWKsgyiXAQi#;Ua$e7?P~c{rFGKj}=w`o@baMKlW>iy)?^Q}Il@?y-$+MCw zl9?B~HK-^y9W@tRmkkjIjGNhgxcM@G0s!PpQ&V>GTxq*1$@ZiEUtT&Y+ zW7THT57+I++~Oxa6VnJ|hQy@K%RkDFY;l!Gv>ip^K8K!lrrH@?r*^L8{0Nne+A|@z z2`z7kS=G(bqvi6!XKJ^07tLPq42^P=nKt<^fq=;;N0=!2g^W*-)ut)Bcf#RKFwqSI zo3RfQlyV%TLYg!yy*KP*>V6sEUEdy2yaty`VE*wu+VxXVf*fTzZM@S-U6R^l7dQLq4)5lPHH8gm>HiRYo;4-M-C@zJO<}!sd{*d9=2@8U*>AH~=pkAa zjB{uyU)Ld<9R?jGNB+o;c6xlC4`3ihA{#Hzu5rubluY-r}N4N@H-Z%1)Ng z%IiPOp;E>>xsH$~Rc@!gl=`YDs~wpIKbfGop0?(1UYK|#92;V0P9Fg=MX*{Rx??A} z)%5^}uMhR+tK31ATGhUSe8s;;w(s=Z2R~pi=N94 z&3#;?*KWVw@~G8=>0zT6;G-C(rn^NMgjVkB(yu9Y&p%Nk!L8COiYcJa_8{J~SX7!S zfnOa9EQh51HPlnPbyAN8LzhA9EvR@8?}0j75-=C@2caB{&ZLg_vM6U1upSnsY$1Oc zUf>ClSrvBYyE++jhXT~>?gp5E!$QfELE>zSF8gDqJ({Zh34-@0xwk8r)UtI^bOI1q z5Qh>X7a$hm1^V#CiU?Qv6T_j>cAyrqF8Kr zRxvn5LLeX@AZB4OFd!fxAT>2JFyv>S8XWII&knv1aM?E($8yUQvHQv5faKkuC3d2@ zE8`!NnON_UJvn{iw#2Zn_;($#oJ5JZHN9+sUJ3FlFDAKutU$D|xuuw^sa#?2u~%4E z6RuTnEG!<2^^t&zn&a_HFFnmzy_g3tF_cZoVUp`V=h$M96l4)0Fz25B?;g4F$>-~J zROIBpb8r2aCC3IX!mX>vVBZ?wZRyN}F*|wQ`)Gq@hA#Whb;?|G@x71@Yo|0!#=Mf{ zU3EUB4q~f;&+g~gObK%89&P(h=nab)CEb`k7h4Fm_y*67sf2Y_R1Xgmb?YR=CX+YS zsUbY2!Dj1J+3CHOlI!;?k|K;8>y}vQywJ0OSj5DdJpcirmW6PzM$0q(jd`~~yd@>( zG=0;_c3dKXh3LkF4(qastbelS5?$U z&j^omH-nmn|E}w1p+3Ff21@!n;uJpo-T2Shdl$MFFfDEJzxcZ}#7p)e=KfEtD`N49 z`*HuN8t;ei3|rw~M)l;QWl$y)`jYkrj6@&0Nve2#+D`;UqoRB6iqR^FBM8c8%+t zd%c3gF~fSdG*~PB1`pf@jC3~jz$IbWpXDBT*poao?77WRAMk5IiTI6W3<3ZCUiyr5 zT8Jci^xC~4Z}soP7UEGez`;fl)l?KbZ|WUUzyJ$eNR>|SlX1)%Up%{p_8UX0I!^i1 zb!R;>kT&PfhcVP4vzKaWK0xI;CV&TF!rE*Xq%#Ji>>z1A8p+z#X#1py+~xDF7=Z<9 zV!5r_&|uc7NMHk$kQXthi{)%gw4dR}x~CVO;^-w*Zcjkzpsr_VAxb1uPE~VpN2a_( zXoYFq6zQ@QfJn;eL$w=slYsKQA^Fs)bL|8>urVB?aNoL0Jh@0P1w6taf7x`=PkfLA zfc7QZYFL(u$#}djH#uf$?bpqI?F@PMzyfl5eg@|k&@QrSroo!6mY%5U(?<)UVK;D48T8v?E&?=2sf_|8kvj?2XDfl)m>6B?Iz%4oK z;k|_;rm#_i|J6m5H*HAvGVB7n=$vMpbRL4TL4l}CwWog=gYXi!Rukd_EC3hFp^^wA zM(HEq8;3%;iDNxC^_qx0n8JOHc8`T7}MPqA-7ZXk8#c63xnA*R-yRt$(cm|D32-vEW4m@(@M*W z`mJpL$TAD|9Hdb+_puJgbCc=uE#`h8BB_U$jw&Pnd6}rcwjM%V3Y=_RsItojHV8Wjpox#w)g0+KhH05G}n!2VT9Il>r3%* z_o<6~t4E`}-1huaYJ)CYGc!X!HfnejZ;AR*0&yCFFA0huh!#0x%MQg9b;CXKZXdl$yLTwr|CLUdF z*sQuQYKa&mo!7lW>U@sAZnFrtMZ0D*b+iMo0M4^%A3pql?A(yX6COovgbNdvm(dU+ zL9i;D8Erq;=qkwT2*II0LyoVAbxR0;a}v;fVgo-@Ha9VeHps}nA@MM@A(cyAeC0)W zjfXgAq6;bm`#OusKDTo9EW=ZU2rKQ5a^%~N%qz@?6LUU;G}Rwrd+@D$jQ~)NqsdTGz#-JxqSS4P5S7CnknY&K15?b4a zgs3fW*ED9c#6qPXC@^#9OYM*#eBrDu9ODcNI;!zE00}_$zkTt(si+uZkfo{`a#w;sC_0+sMU3xQ?_p(|M zt34IB20> z+3~{_Zph}($TA0Hmh(U>(V%)?pZ5p5V4gT)7S|?ArqP#646`>Nx2@Fz8-v;QC2?$ZQ`p5c>_h+47B-3KyaaYIuQ1{+R_Q5nr=vkARb%O=IAYFhIMw$ zkq}#bc)saMIS)QNDxLfloC)8hAyc*87i9fs3?3nw!AO|$xzXbi5G*7Q#V?Gu#M9zo zpNC#;i&`Dl>LHHBmmRn$8C8IXFl;F!P^-)iX;~_@vItvGMy_7z;EKQQr~;CA47?hN z-?RhQT^hP>?j!kae6`*+mJ4fmaY?&1o?|G$bs>i(lKr1|iyfK1(^LH zM(`iAiDGRH_H9SHJ82ZIjdatn0eH=&wXA#Cxn=)76CRYD5M1b@v6X*q+^J?mGC9_t zv1;x*rQ#Y!={eynrN6pc&JacD4F7=ry}|!%+HT9`m2$b8=j3#C z-J5jeWu3^!zg!^N;n&`E8!pWi$Wr&1c+CcN8U0R{si;(rC=19S#EDH#= z)=cL~D%#B@#BFCDz@bF=0TQKU7NKwejpJ{Jr5iQ|8zDLdz+-scZdVA7rBm9$P0Kc? zq7#Zh^vNoUwEk_G6-BQ^UO)2fkr&%nWdDiF$r;;~`}8J}a?m4qsNW%gJbkyFaL7fm zTMi`!{v=4^c^U=QFnOgpCgshQ@;QTQn!rZSpA|rK_$MlCAp0%!%T5{UOTvyPpHYd3 z7Q<}EIV$Cr+>!HanSmLNuMZ&YV3Qn@CE4*eGmz%B z(|+6Z>ysGijOph&Ipq=H18=xe$&Q1Wyqj|l)UfUn)x~*{v z!M`+gSK+s+n7EyVxI)KUkZiKbB`W&3GSa*^czE2j{fdw=1AI`|U=$8etVLInQ?7zN zPk(V!29N>8_q(BXR_zSnZH zeW+o_pFLh8<#trY9%XKdijw{_Kxk|!;G=ytU*L)UVYAOoDt_<&BXJ=MIXon>d#aUfiaQtWMP3VqC?V60KJYI9ejZb{QVAT zbc$dxqU&2P#5+IUItW%Lv+&=1hcuD6-UMIhg*dWb!|N$hdcz}k3b-(Lv87<{n_v}D z(7Q`;OCX-h2ZlF;OyZl)+RI)yKx^lUUS$*y5!li!r0hskzgN9TR?2q^P~V2<@v^FO zQW+IK_%=ogDCTyLvu{f_q#2lqGgO&4J@-rpYRK8-RYgL!TMQQ;=U35iEXx24`>%u~ zn@sA&p5I9+GwjnT-Wn*1rlY)4o6X*qIW`*e1*PqndwAM6zrTo9{2 zBQpollni=Qgsc_}dNbA70bVnSg$eWNG9kW^KZ8JLNFeSs2^gr9wIG>6u{G0k)4ANR9eLmEn73{X z7l@Sw&8Rr>%E9ch`cA>QUX4Vo!z%_a3DTE5npE}0guVEE%Nz*WEl%$sU7s$J& z&VJba-%@kJMZ|L!DvAMg*f7jm;x=}yPuh&G{<`vYluLYj>iI~Prbw;l+ntws+ zW|Y=YNn{>$320Jj7HW7^m#;Te9<{30HW?oe1I1s=N|b6>iK<A%=a&o8P(IMC8SM)?EMdLf*u~mkRAx3m?)iY>=gcSt&K*Rz-04k%w82BjPC-1 zVcweuhmti;-i%;jQD#UN$x_jtpbK+Q1vLj{JTj4?Kv_1`!P!Zji=T0r)qPX^Uk;%B zU_00IWoHWh`#suj z62r_vR!cqycGY~hS0EEDxarWY4qNIt{DD20A+oHpfRHX1bN+a3?l!v?EIUz@P4rdWW#V10iQ>{~iG0gV0); zz5z0+JqfCPgN~2Y_t%MD-p;r#krl>sr`9qUk}z-=1V?N`bW%shSt(7vBHXP^@v;>w z4=C}~=fLQZmJa0dqZ5uXvc=Cp>YhkmH2$|^0afZoRV`5uW^646i3K8p{zoE6%{Zz7 zohFZA;=m8>C)D5?T@y|Dyc(lc&;Uc&f;;uk;NwqqXc7jUsfk@f*lC$P&`~?KqJZ!! zD?~!{lca~9XkL2Xq#&ZaL^O%(8E3G$%Cmhn(`LJzf_of?uqXFj0neP-7)gL~#@o(7 z&~46cfgWJ9wc-+o`q9O=h@=y-pI~PDKT&8F`lx^6>3Pn5#vRR-L|^{L^??tEp9r72 zqwQj%fteOR7ahu0PmelcWf=z*C~R?Ule6jQLnWUx;hhFs;}Bg{X7pV*%Ay$r)yW2q z@1pDlpkZ8}syf2SF<$FkGRZ-@{8LiSQ{+Yn@~N7}Ul(om{T(o--|VHhc5}Tx3|%O=(t3}FrZE@Fs0!kWCb1d9duOB8}R zxKR%dK>~#xieWH%^uh@b%bqOR>6a$|a+Om&B=zHJWhSFpwa$NRhUxU2Z!90mJrxzB z5^5K1Ziq%nN3jig;34Div6&Du*08i@pi<1U59_v9cPC1Tu6WAKnN zeE^j`h2)^z^vY3Qh%eYE#fNkADpM2>4^%#__Z#1-BAC3_E;H~A@JjCq$SEBx=%}`w z#Fv`Uhg4nz0YSRj!zNOBeY@h7gZ`Q!Y|tPQdM9*{Y|H0FCMFI2V>Y1w!*d4g@+8R_?|WxBXPPn<6rd_xBkue52rEw3h1Sk+$9r>>o(~qNVn*;>oC>_#DsmR z-BPE7c2!?zQ~ZF-ZtL+(xpz#2HE#?$`J(ofeHQNJHN%T^saun)z@iXrhieQ|*3Bj2 zC5M0PabrjuwAQ@yPNrn&2bgEjFlP>FJ2lLQfqs;RQ8l7gR@o7Ocv^(4I zF{<;sPX4LZ6|k~V1u+eFX(C7Xkgk;^YE^oD7V$ON5JRc%2|@Lz$ZBkC84znXN7m8~ zNrtF5us69PfY~mxPdFK?3oTB1GjblDB!SpoXP*$VEI5@MYd2285Tsf%C|H7XueNqt zn*@OWxftXX&MB-G3-Sd7hFuX)Hsj{9e!7m6%}bi?Vvp3zJf3u|HBvJwO-L-Fb?py z7oJeri^1bzAUnzOC+X@|vm?92FWC5=vFKcIad8|NkC&3ZM%4G`HWzxJWNs#L5J!IJ z@^kTs(6pex293g|rg+Y%q5h6R(?yk($@02kzcvM|$|;os#Abl0f2&%xrzRe2gIMQA zGN6e1;ji$MI+ECfLlH-Qr*)!@Jum?@+w{IZi`7c3tW#Mq^7$M=C?fz$s2+WYf#JUY(ZP|3t?G|XT5#Ei%IXJ$`E-k z8yK7S%#6e^=lKv2_7@~XY+jbx2N_E^B`o6nENzL2qeX1T(KZkjK#?1;+koRfj(pngtwG!<+HyH!fGn?dSHxR5{bD;bUQs zDW8z*McgcCZW_KSP#VgTFd>?X;k2k5VFy99yav5OM)cNUNwny39IxS3qaGeBF7P}X zR)nJ(UtH;lc6(7_AP^9+i8%)taKCS31lxAAo1+b=VXZJ{;86j>UO&4Vv#B=>PM*bx)GE=8*;j&l7w4w#*R2l zL8*deU$t=7Hf+C3J&a+N+6&%k#1e{zU@#mvpE@=q<1da$n+n56LgYwJ4K-10bZVXrYZ|K7gf__^uGc9iuy36 z<-yJkdt;U0aVLqW)CjM1^rL*$JL3On!K?Go)6@Y3zxk1C!981Yz#?4`M>FS0O%WYv z5M*ua8sGTntF)G|)xX2!)l_34_%~u*oRI4Gh%WJ6LbX`OG6vAX;VFPurCy>SEynAS8S6;WGSp!zr~7ryBNHtHar zVJCi4v+%eoO|B{b$8-+fCB6TG$T6?;z&Q*Q3`wa!&V@)rRYf%)l+ZL=`qu6V!Wocw z%Q^8a4xdLem*|>s2K;4E{JBN#3Z1=W#l=I$V7Q0LQ6oh+h4YNwG%b%C)#fq1Nzb9X z-6m_@D76H_jqLL!V77NAb0EnGYL7cWRU`ON_#6FAi!BC8->NDf?DF+*}!Ayj?+XkyN0vc^Ff-uM8g9ygbV(9vN_OyXtrrvAd@O%A=Y^{dz*_pf1(23R}&`S^Vj232RmP zXvo+3-gDo8n7WS{rs_Y8PX?uE_nH?T_z-fMpl`X+Wv*WL+SxA%PJJawncnWjCT{k_{=zX%oG?iQhP5xZQ$(ltd#G&MW)-iBsW_C0OkgnMS2AEu;X z2Gw(3Fc9#1?PIU1+v{?MlW~cPe?-|V z|F2!k%BG1haD~J5ZbiOD!QyS61Eqh=O6TSW0R$?_;q;5Q%8k((TtU>p1tC*ufPnzw zCf6`rozw&8>Y+zslgfE35I07pKMhmZ@^dvYO6d)Cud)-`t7HJ3U#cXpDIGa06`gp+mX zom;QZR|iB_L-}@QI)90t9HD>6nu|lvY!%-32Z(Iy5)8j?G^hNM$;|a{t-2Z0X~Dud zZh~azrtV}B=0A75+yfBv0xDfs33cXpFJV4I4~8|R(cMvfx_1tM83ZXLCjnk#-t&_i zmP$y>dHO2jUG*ujdhX@7M!^cA!Qitpk~3yb&oGCI1)G{2nk;gPlfgvBgT`zksN`FS zR6GdSLyG!A8fi8o2GBEd+rbrl>1)Xdv;DUY4gG^AB=9jaHZqkoHR@(B&-N(xh19UMHM)NcM zf_ONmVAA|yABiY^FF%e5bg>G1k5d%b0TlJ0gteb745U$M@VIfz6pDkd6Y3eRw>VE5 zCf>AsLS)RoE^t6IKO8y|?+3?f_M%b#^hVJ!y zL+*T@Xcnu>=(n2Hg}Ep8qIoar$Dw)Vh7uLp%arFbI7ts%opkHDV?u@Oryz$_)#&=y zIKr&*TQ5+eDk34J{sn$-T$ENXB@)`UQdF>=$YfUZZc41Zp zot)t>)Ay>+OS>{+F@&S2&(abMGkX0cNX3!-%!XX76@TL*E9xfpwoD9Xc_LO8!!~`< zc5f(OP-o}!nRTnP%<`c|xR}9C0Gn_~`!lr%(x}2)d{mQD8D&wKT{4Nq_<@;#4^WI2 zu8o9u=!{(%bFRqQ6^&yv34@+B{6=Uj)zAW7<#b1FWmFQ_ekWzWLLev;Q7cS{ zU(kdLPB%LM2hlTCB>C$gPx$Bf><_Aihvtt_Qrzoq8*>k+HxjR?_ls*4Sh zS07uH4yal9-uBp8DW} z6UTCc%qT?XCI*AAd>UQsf0;LSibPGvz@qcLU!H-L{bV=gJuR!ETkmcITW%#KWs;~| zN~1tk_j+f*($27Mjx6f9u<{(I9zjV@0$zUtKOkypC-gHHz8?A>kP&hgg%cJ`ZI8*5 zd2$b1x#*UT%AiujbEXkCN9cl{_{4g=R!cTeX#v2XHLmZyTeLU!3M|b)J#ED-J z*b&hx63TW6rl`J`wr%81?#-7i!<}?&dB_F}LKC_B_(A!;@Wwz5(?5wPM{^;(=!}0N zF`bN$a@*Z(wE7D2G;s-#mG`+Mp1>(Od(&d5RLLev+*Sa}{zwKuQcwp1;ErHHc07Ax zp0?Er?>LBdcDHp?0}O`yGe1ZIIb4nuNX7Kx>84^i{c@2=Fu4}mI^9KAZmfewS{E%$ zXUp!HjAT!xKK-TuQr!7ljil_eU(mxaIGZwjIi?y$andd>VM}ONJQUh^83NUNGxOPc zkrYnlcy+pMA9U|?nYTLRFtEvGMgOG>Bqy>_`8eD2UnKP-n~@z>LI9i0a+Lz%@Ql10&(Cq4^z;fxMO2! zt2-~J{Kq3a*2`JKPl^J@qNdk^g*Pqfg;c$0Onvf^DooYc(zil4BWA1n&^CtyeA0Z@ zmiLq}fu%T|A4cDWwebjeh0fFvUc)neGxM5uN~23vnp=pRY6e8L)DQzbHeRA?qhgUN zn;7=#IXES$)&J~lg=lGZ?%?6@t=(<6e0Mz%QQOK;Uxm@EaX(Uz}nl+??R{JPW(8%lL9~S8nrVgCDIg&P>e1g0BhwXIwe6* z$i@dNVa<~J_UW!CwC+c(RyeuPY^sy726gW-{2o&=gCMu8>2;MhlDi`FX|1Xu0Akqk zl%e9=H3E;-t{dKlp~U8#EW~@vrjsq6`!W;GK)%}GMQBMgai`$T)qL}b3(sSq8@u|e zx|q`SL=gWUoQDXnPO!XBK{72vyrlleAHsy^z!cNRz>r+dtr#~@Ub&7EXGE=>t0KTm6;{2CobtXq3cjyRHwN1FunvRuFn~4Donl=M9|c$B`-=j` zAqxg3cf$(Y8wyY|bj%1tMcHZU=#`h(S==r&?by<2A}Qf=7N;5kSiXr{R%=> z>}+n6?IqD)&$~~D!!&WUR^A~&%?>*1(>=}EC`gA41IW>PsxE<(jR(%8(J?;vgl2v- z>e2?M78W6Jb6N3uw&@W9>YGKyUKL|xlM^(0E!$T=RYX<-;b(}z6d%t!q@4(6$Y>YA z)!zt>0!E*N@9xBX{oaoP+bNR_ltaQt^-7(gA9iCP7pe{nC}z<>ZPR=@X~Mv-j>pL0 zFjKDvyCe5FE_Fy4?QL^IcS?>T^2FpE8tpMg^-NJ&~vv7D)Zeb0PK}F4Suo>JXkM#D+tJXHN z7k|E?kQ1(`hOu$uX;gWv;m|jb`D9Tz$vrHqE`r}?N$${d2RsV)PIk6JD&SXsxgB_X zg-`w&xo~7=ER6e$Ynqo`&B+y+B>vaSBMU<&+J`{AR+%Jt<`n{4tQ!|CCKhck0AUL? zD=9TSO$0A7ufpMyt~uut*A%yURoGZ{o=j81_SR8id{FUiyjfooqC@PGa^4%C+d$8@z1_#Ez#`gb9(I_JY zDrF`8>Y8>2qWQ?p`@Ae`y}bO4&WY-pu5HBSSgZBr=v9q&uG4O-~<# zfN+xIds%SJqxeppnpd{R;!HBa2Ce@m|H#=?9uXLR z**Ubp)8U1d0Ts2op5Jy`W**`W9<}bd456NNFdRfEnDQ<_dpl!K4KsJ3ofbye)F51! zS*CIa1JJ|<)VRMX5+~f!B$1+M8SM#x0SV3qw>?hPV}MCvF#3*=^7}SWQU<$peU8Qi z3-vyxbC^RHsUA3JDr?7<790I|j-Vp3Yp82QX`@||LJdg92%JrYQPt=-!&{(dR|tBE z(dD9oX$1L=7Cy|k1@Zu%Fx|C6ZuKs zD@{zP@+Tn|F*E0{eGw4S9t*pJp6d?C`!_9P4#A>Lc(K)Y=aH(j$odP<8N>;YURky+ zcONw^c_xYjqW$BG^tz=mLKcL}C5eEsLDJ^OvJJuekY5(&@e2vAy=T*;984Z}r$3-< zAeqtCpcGRY)Ork0l_-?4iHR|17F0=+1ITwL6QXE8+vmMU%7xkP}jVm zsHVM8@7=QpU(J~+dZd=&l0(gJ4Z!p*EnP#v!8!JZLR&hsFXbS^&whnL1>(Ft!x=OU z=%14uPW8z;ejD?`fjd}f?hFK0{C}eKICQt9vDnlhTf2&ae%}1 zp0iDj=_*eYTDde|!x=C(>JJ6J0j{Ap$@NG&75k4<>fgpsS{6<(-C+Nom{uD4@zDga zRbd+vY5lh44>yj@O(Ub`uQxQyw7@MxwcfS->-87M1lz2z$Hti9grnEq=U+;F{|=3W zGz5SRoo|?xsxG<7yC9&KBdj0B-(v6H7*~go;OS5A2JO2XIa%=jZp(PV?H*XvdI!k3 zv?2{eI;5Lw4NmG%%V}A?o07D*f=;jTWPDPAwD#L8*r2|+`O>zM>b$OzBb2oukG0%t zz1vBe&^oiJ9p!9VEg9p%PFc|HKFnli&uw9|Eh;aral{?#5|h6M?r963Q{Kk`Ig;$j zm|;OZCHz!#Ba^6kDPl691L@9WYn?;(QQ?j|hFQ6k>jHjKh{0ui7j>Pk^ijojKpPjz zX#15C2{f$1Ux0TnWoSFQe&{&ooi%Mg_wFDhvr_}FJf~8782dmREhnI?X8EUB_5r;} zmTy9dO^Wy)viqgv^}cf+h4;hZJlSzkcJb(E8gi9;m;>`My2o=PkEnk5uG$Nt?i>fS ze8GtPeKRgo>}u$;OUK^g(x45npvSU?e5u0wwyyVge=N9UtH$+Vta%!V-2WDtge{Tr z)ZvEQ6Ln+1@Us7@hMs^W!6=GSExPpn6MVT|0oMcU-K7GxO?mp7c$kMDn-i;xPUhRI ziEA$cwXA^c3%q^q#-(TzvBZH9aJ#KPhA|lSTR%Cgn&Sm0|I+wtP39Sl3co`3MAo-* zQE0Uh%<@&1Ba$#Cye9-Z-Y+mBL4S0y<=?Tyv&-6N8uIDb8BcXVZyGffCOL#4vp>T- z`^@nu)RY1JPO{8m&HRUMwxKX+!e29X{NA`%^8iD9(o=P`qO9!>?AK;S2)fa0SWud1 z?SphVAf?^De}gxiJHGT1*IhltGD%M9m?%Oyct;z3PK!Z9geG&D5<6^0SWOKG#ILOD7F9Gw~wOMp7jkq8a#gI?W0UqMM~9e{;nx0opOMOpcp@%B5G} zMtOVCoIGw&^-GeRWP{y;w%7C!!1%k|=Z6k!xe)uaRTq+O@c6DbZYo z74M?6lE2@&$U^SStqP5elRwc6EZ26=pS}l0CLlwl@#8$P zudNYx&Nd#Gn@$(D_BG0{h&C9k)j^_Z-7Oz^$(VjQT(obWQ`Z?Y$rb^jYUPKR)9Mk? z_Vh`jr5jVWehd4l0gG<|Tr1XY&sY=XB~`lT=!wvicP>o+61BgOA3#?}0Xlqd)v-{m zO<2^wU9}SaF4OVa_kGyS@jSGXJh|=KKoz6Q;w0+SPRYfv7Ko7#H-s3e=CMRYR+rd|LR6IJ@3s-1(mf0u?(Ig*8HDkBHb7y8Kbu?rmF7w zsO+IRSa&dWBHor4-vnsq57(vwTh}b5SiXCnZZG%FTTv0$aJqZv9TI@kHl)5^kf8*g z)HYaBX7C<{%}dX_--|NfO-?kJ3i}%F)fz^^S7duc!y`fv9^G!9=Zgfi20~~H1M07= z25#vY=uhQzEd7>EqOkV9rVe^hwFMC=F0_%CkJsRKs?C;uCnJ=hY%-wiou1~=F20we zc_5{JzUXQt2z@ir$m#yL*ZJG|Ps2w_yBH;x$7kU}$A{8*XkM-mN6?Ri9lC^UHuOJ;k-w1_Ns}i% z0)d#ZcrQ7SU2ik$$AeuHF@U?rmv{=w%MK99VT1o7h31u*=c#AaKm2%cgD|nTc%_xq?Z)UCArrydk#AaiQB2XGp|1oxLwd`xwEYT+-z6&2a?F(dIHG@CA2! zl3PmjyPe1I$0|i)%C~1aHz&xpFWTzxzZnG%R_MTUt20u&*P&Nv+on`hDHd^^KCU!s zk@MOygQ}9o-&Z=!l*u=-OR$YKa$Kuf2+yPah@iRA3^GT8;vhGUSs9r@K|F&@bT9$4 zUkNiEC!n>3bSJ8Djh3Hzvyi&h9a}&x4kI`Ib{*vk>OaVz4b*~P9s}{_4DgqYqgAA> z^+Vn@Hk65Ot0v#LY5MnfSQVW3tq7mT5K=W>ogTFA8ln%+mA;-$WO)Q>-xtKSCCarR zJN5z2)9GpR!tnxzE7`9H)c)Fh{;s908CG+IW|0%@!fPKa=41gx6xi@B=HFNkxoYQu z;Va&uW^ZCARuRKP>>96*#mdW(H8^ga6)^i!f@c~VR`##J<|O1 z;vnxjK?(wpT6>I2jVF|oRhYvp3bI~zj>w%44!_8QgKqSOha)4g<5;%RX7_VW{d;fs zRBajy)8CmX{Zi7fE~qBb%UeR_Cx3tH@&jQVo2hbd`Co*=08l`$ze6G{y21djqhcmM z$Zi3|ND-OPr$m(VkF%A(>LS!(l?rAQk{=QJ5*FY%!2SgmyxQetU2%j@zk00b1 zWgPuAb>_JDDf9RbXglWMKtghTPtN3U>60V%yna=&+1-#-Yr*fZ$G(id@7V$e2iZLD z|C_bYBg=$XT0c}JW8}@nv*vWej-EbgXlIw<-1dH(Vc}?b*%zm7@~#3hVhBTjZ^>iZ z0ucslX`{4jLDka^zDK<1-?9*X?<@gPmM6d2kT$m*o~kSPd!_(vTSyenldq9*vhYZe z&w8X0Xc5FY*8s7?R;osF8gi&9*uh+HEO;#=bA1NSZ4m9v<7a=UX)9!L6j{NogjtIB+j^mcAjK;hCP2_a_gfE+kPx zgUC6}Bmx+ck7-iCw=^@i3&)j4!JcB>nw|IpdgM=hTD&=jgkX#ci;^c!*$T2Qo|;3FHB4FU-GR>8}=)lPU7&a-#wrdXo^b+7`V$LfJ~ zYmI~0M%KqVnC5*_p>{)993ACDa(Z{`pVMrUZ-bF`m?B|MXss3Ox04j(&lz9qMzAZ} z=zxCu2SL6!i?u(a?&{s-O?tAqF!AMNeM(C7PALuoX4KuPrPk}6XSnOKE5OcJyFP&W zFj{;u2Hkz8W$CxV#yOsO3)-Ecwz)Sat<)^*X-frgCjW!HQwBE1#FVQ`ZcMX+v|^%ScMpCMiJ^Z;}9b` zYT)v``0*qow^GsntBKY06)i)SmJ6rEx@(StjMnfLZC4)IcI<;R)T4(tiF5roj-MDG zL?H;7u>5k|sa=|aKzaddphBvA%+)b*_aj35VXysEEnA2#WP}h#Z|p9!Mo`X_4F;Gv z;Bpa4h*u;~z9{T91Z^Rj#dK|phl`cR0i(uP>ZSAC{|qqM-D*M66gX1$SBGGjz+`&j zFsv7))*7wM@@`^7(A7h9^Q-l3-5&eeViB!k;8aznrwc=fGS`8pCF_abKtl^#XlD(x z5|+ohlI2AzpV-yfDQBOjfARq&r+tF*g7 zOE;;w5q;l#9PBI>D!_N1akW^S7pMCW5?4dMRqXjFz8fDc`n;2R2v{9*eR5qgcz2vO z8DNt;#UWs}%sowihEK_wgbxV@8T@DoYqrgy%_2YS+)8?yml>EeQxj2bY^eEv!Dh=i z5g~PfX8v8DlRjpTUxbRvS3eGedl}W$ps+X-F>d?KRsQ2t4Nf-spH6Z1=V~10 zm1bE~hOn{F4woR~P!9Ng4By0pX3fb>sXADXwpc3oOB! z#OIK$`vH!oJzBMjcC6fRiO}9!f`XEE}7|jU8$1X0wSoou2N!4$@Nj zw0K&4tS44{q>lrN*gFr0B)85mT557G;B{Sz+3^%^a6`zYtu*2nbK}g_jM2i^0!1v& z`k!mO8pnm*w61Ck>`8r#=+=bmz_Q*BF?A!~>aBj)Qj|07nN#SUq9Fk$z`qGhGp=1Txri>X4*I}&I|OP+E6I|R(vD$Ra1 zy8lLceWBU5mekcY&6niTUyyKxsHB5$=8{beD8ApSor;GVz>3rq#)szNVCF2qJJb?i z^9}3R_IB;2Rt%97Q7v3o{3BmvE?vWbN+N%NZ7*F^h_s*vD0nuzLln-U!KF$x@Ml+- zyTkW?9^l>0_)SX-5G(UrC~NVl>PQplUlT3ATzR<;PB#%F2gpvTxe+R%T9$YW*HNlG z`}LD%u~Rm1g&x9+CSklT_>+1Jw4rf^i9v#XBA$D8%Y}er|B>#=OtkEfoL*J<|9oji zYRrUSmW#=&ry|9#hLuF>-$)tFk%neou4e`+(_bL-ukYZh<4Um+`IYNNb&T#98Pj<& zc)5@ph!o$9@bM!H!^;jcoeAbxqZf~G_@EDOtFvNHProzSbabgBT3d3iQJ@U;jpu)RZhCO-8 zn7HU~r>w?-y8zfVKyM0T9No;|1Qud;%hus@F-cHY;Lc{6Xrd$9!=2Ae6{4Fod^s+9 z?Yj`W08e$(H!{t~lH|3t_Yr)tDV@FU=&*Z4H3;CsmeAcnC94 zye6H9v^59zzct((kFbVxI$SiM8?ha<2n|Z@(pNrB^=49iwLRoHWtiC|L*E@H8{_H(fM#72M2!%G>Wx=`=l*!5i!gP z+}`&r?HF$45Td;29|pimQ_w!5qEc8%jxyL0XkxNU9tlOX$_!jxj9u(`kM3hx0hst2 zg`laL(+^!Z17c{rj(WcDg9X*mBAlyJE|yerJB7meqd2$PpZhL}>Tko_jRYCG(cya7 z5Tw+P4pUQ6uN7V9YyP^$nyMCj_@2JoN#X!QX#)eUdG` z%Ev2l@?bkmW}JJvvW+@`-ZR$HL1qfG)|nu&?1z7NcnuNbZLD#cVZhRUWAi?WL$z$$ z$8JtA;Pi`|VX>D=@|Ca=kMUev&eK`>2L)k2q`4t(R*%voF+R%R6pxID;0VcA++nJn zWWM}>j@do+?vv_MzGJr==X$JP6dip3KL>oZL8%Q%EnQ9MNM&Y>E(~~yH6EB3m`RH< zmbhVouRpBH^0uNcR6l~QSqGs2lzy{n7d*pYM~lqu@YyEz)vg^j>h zS5mg8$827!f-kjaYZ*Rxrt0N>xX{5N20{8)0tr1sVUvl;(VvgSLp?VT&nVk&I1~BxZ$TG(6=8;hc8{m>sbA!KRYg?*bXln+y zB;rz$_1k5UV>wo387elGM5El$`+u1}y8TQLYrStkpj;hZz=SGWfaUDkyD}Y-$sR%7 z8sWgoT2u8hWx}@m+}c7X-nM@KE4g+0^KkVoGP0gsbh&TG%^ z&E0Sh;#W5cu)WEpiZ&JbNLQF(K2c2blr~nTonUm)t?@b?6yudLtnDv9Jhh4>%e>9PWg%$V31X0gdBn;rjcd1*%S&gE+V;uCY%+v3 zzVy(fPylbL9$yY0g2W;Y|0}ofjcG%1d!D0#GXUz)QdzKr4g=&<8@T%vNWS z`@$W?r7I*4S;^;Pq$%ywB@q(i_s2|V*|nSN3mmqug(d`x{?<)LYa@-ak-<)VC+lO| zX=1!|69K~4R7<9Iq`5ATo$$jP;2WxLnEUPB z_}swJ7TUIxJ5iKMaR(d>&Z93gmv)42UvN(7HRi&wag)N6so&Q~F}TSi()mQn3W~On z>L5`|p3EKB*}Gp8l244Vl{Mvxu4J;OZe{FT62eyY*Bm*?h|&4%FFI&@m*JuGyHVQ> zA}KQm%Oh$a*&7sf5awE`Tn^1n(3|qiKnT&ReT0tsB(8F&vligO$-v<#6{0qkx6a0@ zo-##}WeFWuzewBx?D2T0>0EPvA>|MR2i8d?oA0r=C@hbYv;4F%JpA!h&bpdUyQ`Jr z>9bn35^;{FJ|Hr3^TeC#9=*@Wl#0lACXRHK-|6OKjY6rOmoG5;8|m_E4{aDr5h0}a zt`an44AoM*ax!pHFm1~F#cU4P1VLGV7K!#w06EOi9X<%mjgMxwC1@VbMQ5OCwD=tV zE7wiwheNxy`!dW-zCZU>ylYt&(ZICThrM`(6 zd5Z}nK@TtaPfSCO5A=>Vn+p_8{#;<9p-^U#az13q3x7~iY?7hYdh-l#k2rg&4u{zl zp2UYVP3fP*yP+W3s9-eZdzZt8m&G-NDZI@O=G29_}9f-AIMQ=2Wr`#ZNL{=ozpRECT&?$7zR=Q zD|fM>upx(hlX~eu(2m%z8LKW*Dh!84 zS-Lg=8bIa06#8YDMUJT^Fno=fKdl{H4l52M0o^df0dmzSXTMrF$vm~teN3oeO%qy; zvyQ%lCTd!$6Tl!Z5R*Xc?Dl6zcD6FB{vHFIc;mp*)smJ-8>g8HfW_cU=+ilf<^AxS8yU%Oq_;9% zp8SqWdac}?cC)iRs+P(!qj(17Z1ngafJ~%an|I|k`%@WUY*jq)W3{NfnQlGvE}Y}m z*N42|hFn^qSxfPF*QK?v$M1`LCMcxlDqbXAVPn9(&Qg$N45?wS98s>O4BJK6EJ#(8 zxTS?dP2E$sF2#~+OCeb&|C16+(LPjVRbqOsL6L(2scjcPjMbw$Hpn(RTVYZ@* zUQ+_3=q5)&K=W7HtK%I^j)WuE-0F65nHfqOfu#O)5TWKfrryiXJFvX(Xegox-4ryr zMopLcqcB-s5UWU`r>|8oWOs&t{{mW;G%V0o~{FC+@!8|a5klq!4k}dhI-$A?4Z`E zYL!6uHsPz=Lp5|$C@+S*ZG<|Nl>+==Keu@lM1Y#|jEoks&ylaM!1F1^>=4EgmKHNu zMD$`Ox#oHesiO*Kkp;|e4uRjaZjmK>YHWeQRp+t-{>6j1W)q-Jqu=`i4!8PP{UdgS za_Gy1$#1zz#U#*J_t#o6S2OC!^=S@$j59$C+nY7Oby7QwZLwLI3jC>baBB~w)BjvJ zgj8(ju+@f(0w>v;v6w(vA6o(TpR;n8ofUO9a70cKjm(kzNHinueQv+za%pIH&qi@g z-QV;_L*&XsGvE{UV^>7WlvDRt`e~nF!%fzFpI>KC(&YL);gKYbI~Ho(ZmI4Ib``V& z1(8ma63|vFZih7-Qf<0z?$6Gp8qS$2JLk9XnOw@7D8VXiJxSRETodnq?i85*41qXueZ(XC!9#8El?>d$InsX>#kw^tZMMFsxaFAZk0%+4Jq{?xqtji19?c+6;qDISfTO(kRiJ+#shR2-p? z{hi=ZDZZ(|VwZr2PoIPZ|1U#M$mp@4Z~qL z=LxPcrt7zMQ0*X%Y2)`mWeA-uG2@N2`Kp6~#C$S1h# zy(&&s5d028=D_VHZWZ6~1!23Vnzf(oMC{S{yz@gI+@vHsmomy;-jCt0L%RS{`}RMP z4Z76z4fZwE!*T@-Pef+(ZBFtEkfQH1LUHPl94LEK+lbKFb|w9S{0eeO+FlWJT5=p8foApj4DhfeL_^4ombAs9|_@>+-V@pKRwDv~?G8`+{h zLHHf%yUz8wwkcFs`srRdJdSloPDe|83B!{I5)>PXy7{3GjPDNe*NUTzE6Oz4P;63I z_B5b8Je}#cGosId7ky29(BLF1jj1DKctBgah@!X9TFiW8`=Z3|VX!F%ANx0X(b^s6 z@`8k|B#FcNzhhIvuyED#o1keTZj3Eb_9$JCPIuw57;C|7;47rPZ?->Td>udaJ4c83 z4$0ocb2S0w&JV&#YmzxkDj7H2y7b(h-^rvC1^EGbyq$VgQ#VJwDJnE3lf-qC9j=37 z2x7*bhH{89j8%`EP1Tt7j-X4FwB#MY#GTGoML;b`9(*^3?-Qx+>gRm7jm3gx*G|6S z3)|yzGt^rOXw1%|2N96;vLVKsX7wT0 zdB$}~6>+y320XKnDBMjCLy6Re`Ln%~5C)0z$!e;jD0*7_XP-oAAN%uN>*hZXI!dmnymLG{G>o!3f%~X-Y5$wTS^4?m5kf= zMY)l}k47s5#`I{z#whn}a;S_O(o!LQ@0K>N>`%Y#g7G4CWLmB-0H+pzCI+wQDe3{_ zGbJkV2^AM}R#$2bkqxU-esciVl6)EYrs|`=cI+ny#sFSqzBffef*WBpj=&}EDaWwE zonWiT8G4SgMz;ckyKIOe9-ws{5KKsu3w7?A8$O`_uq@zDdFqWJd^lO1^y6~b>zwIq zb6Z=CPVeGTsC0oUgSE0YavFRnc0z|Ebb=}HlDHaEB}r-1Rwe^NP(`?0B-Y=1)~vQZ z-65=C71nfyr}w2;EyTvMjla*pv6nUK#z`SHzz)TQtXp6Fo-&l-30R!Be~N9WYy&Ks zWoFgortDDEJTfz_zo4*jt3YDob#eRe#x#AC@+T8O=IZ*I3C3bSP?PMn*eCw_C+O7` ztlVCW64iH%>M!h1O4LtlR^ndA(M0do{35+DkufNI*%#7}2V#T=q+5o=Gf&(?b zC-`)?{hnpsIA&N1kQSH3YV13f3Zj)t$=LSib9p8OVP0f=kNYD$AP32W{X+d-pTkLg|Bt{P)H- zh@s^XD1|H>`2uU>6v3Qs;SDa?O6h$8d&k;FyH=l{QzOsY%;_mq73m)#hVkQ}OZ;r! zW{u?=1 zjBrR^O0!5Z;7}+?I(>CJKsEO8UaR@pXlQb;VcWClfeb&VjN~$ARXDG@bRrrd6$#B0 zHXcQB@Rw_#)-aClB&pm*cS39c+~=Rz^Vwoxta#yIk3}>DMp`LZdp6xi-z~@gm>z-ZOOGeLt!?uw91@?V+SL2@aO zr(+zij4Wgxt{gCzsI4f)lg_-XsAw=esVQww+(FOOoTO_Q=|`m#{~byw`ii=n0^7mB zJ!gyi!Q?cVh)p2T=M#Zky1n;WSz<#hv-qqwnG^dBWalk@f1wM+m1Q6Y`XowBa^j1` z!x)%0{BC6adsR1FJ#6xr{rZJybW~Zv61-**VxxZ-mJuRr6~Rm9 zDr~z9odrz9HJo`T>PXNtparp z5E+jFXT}AcuJ=tRKpSo_rI+N{(5y@IyH#tOHq5cD@`>I{D{zZ!FZy2&lge~X-zQv~ zrt@CzSZsJ!F*rp+ARr(hW??WeARr(hG-5R{E2I#+euTeG=i$EX8MX%W$;Rqv6so`g zjTo_B2}AyZUh`g{RZl%2lEydx9E8vJZ{}9>rt1Bu+%z)xmQebJ>iU&As*g?cSoS9(MO-yohyG3 z+8y^~=w5To`!|TkXV~w;8ZzyCfpmS@LV$6`uMy>gycAknrG_}Nq20>Vh281eB&N#x z*L(B_D+d6qsd138=x8G41$?++FP`rCpjP}XdOmT9AHgJ?`xQxKkJs9bfA!5Ih9}$w zxVpsD+gqHLKc)xo=o*=@7*j0=OPjcr_~)aIOs844=|D|NE$?YNLyCA$q>v(44T0W! z#5iN^F0=pDQmH=Jbi`VBjcBupsTG*GpiE=HKA9B`gzLyrR-ian8eLAAIM2DMI;}yY z#e(@s;@ZNddpmUIYNO@b5)?YacszD0k8I(vJ{-Vq3Kx7{nd&*`NWgxLz6tv59dkI` z!wx_vZ*(k)FTcGDhaPjo*Uy?H>8Ppr6=E2By$*lR_R3A$8aUlk#Zal%o6f%m!M{?Q zzWIxC|IW`OIrOqq`f40>;t%>dYzl^~&z3S`5)RJQ@DjJVE_po#TNy=qsJ=wb}>r7w99eJG2 zj%;?YHkW3yB4p<$-VDc;^RU{!K)YFjpB=MC-&BI?y`f>?wDYUjLn&RV#Qi&n*&b_# zO~l3eo7oH8FQJv(>(@$ym4*!(n;+{`KmsMD`hy-3YnBOInHetk$@1eD5Y_NPt@Zq@z)wQ@0hYG=1-oF7|#^OTNoVJ;MMpqBTz*(SY?O~^E8S7S|Nnrtv_=M_!KTTEke2# zT8_sFVB&JM&ygtaHOL93EW-2X=_zNE0GRn$gT(n~=exTp=;M8Tew*QP%pz5jpCyra zuDzJ@Gmf2U$N=R(9H)oj(L8qAFLs;3yxu=!IpT zscH`ftmz4b^RZj!c~S6Mzx-u8=}ydv-l6RvH1M(WZ?*|$@;KeAoOJK%hLn%rdx3gL zi%+mqD;DGBka~se|GEyWhb@OF2BPz6UvfAIScOMMzMN&hj?$21wrYOqrzo^K9~8SKs}%=wMfjORJ`5qH zpMUyL%6!0y&)X+tuFTlw zl%aRn*T3>xd8hW3nTChpmYjI;TgPv>4Bjrpnc7CAzx;Y z%#3mrH|`Q=4C;t^2b?A}&Pk4Npb*_9SSxU0kb)BBXl*eh#7w}>iPx87j7;*792!78reSRqtxnP+_!k}g?2lnAr(u)3-60;%w*x@qInHni) ztP)&yYIkkYYwA}1JO0A4DP+N+w=DLK+#55?@k?m_XxjUtv}oHeL|**KwZ+LuIylr0 z0c*HVNut<%+d)L@C-*IZ1@c}kvE+ORnH<+HfVjBRYIBzXJxg4H3j~U6#FnIp#bc_n zoocg9(Xh_LnI~G2n@K+wWEfFe3+fOu+>Fe;RG@s@kf0H{6WVxpXDF0xO5G4ai%aBF zQK$I#L2I@8bmgYVs!~PAz6_4@=C^>YKK~{Cx~F65H0h$8ueQv=Nnfe_8V-l;d}m@S z9F;v-)OP4BMQZii@8sCDc$rT6KF*?W8o>6BGN{#Kt7YWLwf>QE0hq32!iWfGRA{^Y zGH~&##R}#FiOMu{px;?8ssN{H$qo@0a)Zi?ka4bm8NFG<^xA|foYP~GY+01o%n*zsTd8%fbE(Xg5e0LMiY1MGa z8YyLGP)O(^II-WL!kO5Hp$;{mFDild`7FQ=ewz@MF(jFtx051#*L9~j%GqG^l$)+F zJtkryf&n$I@20pq4I$2jNp>#${;M-s$$Vj=Vr+U_aD?`|u>a?&14o!_%{%zfd)1!Y zzOI$uh>xnnK`8(G1wl@u_oEm;>m&asdl1fk&BJ0yyV)RC$^2fnFFhH^I7MiMAlqu&I_m!3@s1)kj^5!dVrPfcD>8EYX{~VdR;m(7ROGkHA#{065)J8cJg)7U=oc1IHQq7 zgq9f0;pRYhuslbycN;L44k!Ht7sC@b1r9NyQb%F$ zMCsd4K|`Jo}lpB8Ln%Jn8{g$!peXV)@D(=B2V-U`w1 zw4DrL%)*IOQyvfZUf}^`mUs;{`K6|2tu7uYVnD*uIX&3gAO!TzdZq8^(DSOx^l{S` zvNO3h0o`i->3c?^iHau-wwwHe77fEwbeN;!*Y>l-^sYrFRc&Pc5)opU@mTL)*k1Ae z^9iE)a;|!uE+@?!-vTRcXo`e!p)E&aSOhL>nx+qw--vTWY&thHT4gTrbt8*PT3#q^ z=NpvO!jRR0WNxYel8|v=3brZ$nX~D{o_YFHkLk+(n-;i_I*t5-{5!B378>^!E#t1b zAMnC1>SAEkhFOIX+(ibeDpr`K7k!L3wvR3!$f{lVRfh0%u+`Fw?~vaQsL2DEs@=7(~4hml+gQ$&(Vi@UgALpOs2yzv9iOsAq135oXGfW!G8s96a2 zfyD7CjnbiSRx?#afEt`&Bg>35GdBEH{g1(rxvjGVOYcmyq-X?`kFR4CQ*0!AWA;RV z(!822ra{XHn?D)tA`6g$jW{zP-N+~Qjs~7W!wyGvaz|6QL7*+i-y?q^ubL3)-hNRV zYSUsy-S`E5gT^5-h(j#T_k9~pG(>XglbyG*S{XZV@P!c2>F;BY$M7{A$o`3v#<*QN zJ4)|yV0ffR>Ix~BtQB*F&%~hqe8IcS8s1K0*FkHXUGgSG zHZ-m@Lh#6wXDXh-8uOXF2|beBt#326P*D$SsHwm%{KYMDFBz3mM%*I-8u@7#yai7s zgx-rPiffLmTcsY0Iip&w$Zb~3C6Z^+|R2fO`@ zG4YXVr$s#uH%?nc9Yxk8?P5kQ2GSDLw(ZJ*iC_bhuSokG!nxR-Kiv{%E=~Gc(br>7 zB@-f$Uy36=|D*chBn=C@bYxljBzr46kLU7BF@eLaf0l7yoO{gt@l> z>6ic%adKNSrYA!RGF8qNv-{sGKQ7tuTkorjiu|)522{lVzj`n z@L)5i)>t2E!w9TE+2V>y|Ltp82-ym%PVQ7eaMSPp*BOBS6&kt?Vu9ja);5rV&Cnw# zrR6uoeWvP**NC=MQL&SRBjIcb-^$@K-tBQ)ax&t*c*!o&vtc^oT;}F0ux&vAmGv>A z5x`Z)8nNAj*}>FgNWWI%F1!jMfj$?!<|FFLmllV2&5CiyG{c=4^wCnn`1tFSh|QxA zz2~KY^myh=nCf3iZ@kd>}c_;w}$&|!v@tHHUrLWmr4= z*1%Z;V0%Z4Sf%{(kueX;T{aeUEq=<_NN3b_G4}_#_PJGQ?jWbm2#yZ&VH2I(1llE3 zlo%bwSiHZqYTN^hc#2$BNa3AN1wY)p9)n81Mz8Trs&%8?c@2MlLj2JYKwY;=v4W(a z4B}-Go0d|?)h4CH&iAlmM}Kjtx8P~2bQ-N4mRX~Fl%-Zd%V6@+sDX05@asS-rL?~g z!DAesQ!95-f2ja11;NsCV2og-g7M}FX>6@Hb}+eS|5FOhY2`SPP_JaFGs}C586hS0 zDAM?~u2i^E`bWYHOJWA>86zpED4hyV+fQFGaPHk#%T2Px8$1qrJP%7mZhPG03yY<9 z3UT&w)E@PYZ_b=?QCM*v9v}kE4ROj}2~D>&st}Z0Y=9d@hp$E8$!nj2`Gh=kf|*nu z$V_-*zbhL^3rbh>`bJGuqsn)^qa3IA@>+l>^9fT_VL~}RCkF(%K)}mv2pP%j++Bta zc$Y6bhhlN-v-Nvq-uV9i__>t03Rb`2yiDVr&pZ)$a^zz(%PQQ1Mw;7b1hgXeXDOt< zDp|nA9%%oUJxR&5AVtrHYIAI7EG6W-N`#z=(zvIEh^vMP>|+*CK@~&{W$x{4B%`@X za7w(*Y3}tEC`Kv@Oy$dD>?}edm%tkrq}}EK&Vpzy)IQGuVz-+sb~D2k>CsdD9O#rp zofyJ_%E>#z5dPiSLA*7RyNmXhWL!${p;Ysqa{wszn?$xb@|^c-TbNITmN(8Fa!VHU z3Cqnfds)YYHP~ul-05(IY$DUVg_4R$_1GRg{teb9y$ZE=SV4T&p*(9OSNB z>Q~^RC_nzc$HOTgpi`PzdVl@Rbkl9;#CYq2FrC(&FGF)em*`ht1fBo2fOgI&OLE;X zya{g~o*f^qysf8_3jb>PuSOgc(FeEf6Vk)=I1xkBnVE5w%>*L=>K@&A0V_)JnwFow z%V8j7b*2;fA+gF_{6Hrd=jf7^>8?Zq)lZp3s6vVuyT*c~SewJz)9&{JLtgO)7I9rw zPM9EY7}m@01QmOWs2j>2juwqMjOh${&n;K;Na5teNZJ*q-GoyGHZDxQ5Q)&mWgtTo zP@TUDpE@vZcq*`0g@E@z*)v0`rba!D@wqUzvEfcvY{((c`{L%a zBp2{mM}4#G+QH)C4tLYylfAzw@Mg}hGe+}mFJJ$HmSkD8OgvihgH+(l! zVbU_V(Eo^~_4-Jh$-?GMT`IkplzK0s4wbXo(Vd}DVVw#$jotsB#Mq;+z#e|alb>>V zMvhhk6S_2y{RF6vDE7>gKxD`!^qtBh6`poxg885GmzTjbz#fFnbTTK2y<$Fqrru-$SK0;3 z550~0+i6g=tORwH6Z7sAF+Q8kZ!;J@iUKs&dh!qQc?NrgOG?�`vM5#Rm53I@#` zK~5er!M&5F#vJF}JSr39yiXi1zw(*O{z{Ysx9oDM0Y)orofQTV4!+!~?hC&!a~uZl zKbgHG6YGvy=4F03^uuuZdxU?<2?n?4;1XarWJ=-xLWS|U5U0z#ZG&WMaFF~3?%FW@ zQdt7+nR`z~&?FtfsV*WtYdMrEs+;m|lG90$(~%6lP858KluH&ddskO)%FwsZn5MN2 zs+T+r*ZRd)+oevcjn_`WD8y>UTkV=M0!{D{Rz-!W&T!GCU5ftjx zpq>8DaIYSXk z*KSStvdj5nd4W!&c>P3x>D)qf z3-u)p87E`bnB3rJk|BNEFU9K9p)i9-Az5Du6SxE|@ZZT_FVmeEJv+uavG?#)DkQ?|rH<_U={G*{@P z4}#FdMDjv(&f&O!mG{4go4OR_WLz_cIu-TDbZ^9ukBwyC@ z*@Sa&XMY1U_J_*NW{cHyRgqllxX+^HCxN_{S%;=VtQpizlqSku0Cb=)b$O0T%4F zceT3eJ;h5mof^u-IvCD6Xq!V(*hE?cS$T8OM1f-bYOq)LwD)Q)@jW0We0frV^hcX) zqqz&zngQ2AQaY=mm@`lbQo1Wz%s1AmGjJqtq7-ZB$;c>~d@(`h(WSn57@uT5PMKp1 z3WW_L``?)Y100a+*I3##A)_|thdMT1FymIRQ9Xb^nsh-}r)>GsXd`q*=i%ceyBF$I zO2&i4DtD&rQB)A_%>R+}Z7eVZ09Qa54UcVf|G@lhP<})riaB0lQC?mW}?lMs~r?1V(?3%BpVk_e8Z7H){iSX(q#N z&2MKlqFHw)D!GKE*I%A8%c|>B9rNxhIKyT;xX*mzhBa3^G3ka4ba;1$mU_S1g7fN` zmR28qmqq?1k87#I;633k*TZ-qgqE7cnk4A+s6JIyu*ouE$sYM!*MS@>gZj zh0{1M0!9+lft4fQFnWINI0ySbYn0m zUfrnNPDa3YTqt!*fyQ5O;!)i&_)G($^TtK{P|K(hJYwJE0+LognXY@6=qz%2Ks+oajtcYHY%b80SVV>B2l=X6<{u z3En})A=%aumCb#_sVJP$YMgC3JLk&PfKP>hDK!3!G^OX#aQ_QcR1)BOk-#BKPfZda zID^0R)Y8z}v0X^_KF~UFgc-6VTibMy_VdP#N>J>D^a6K9D;N}Q_N#DW#;~JMuH?)B zUzgWRPLNrGyeF<2bTJ=SDVPy(FuuX3Ts1i?lIdV?w}K8o21UkiYa6dFtHpgoDng8G zU3F-4A^B7WrdcVyUHDtiPjHt=#3nBCl7GAO43 zEV8kEiN%!CX@tb4p?LJSWkCam1kr8`*k8v^wMxp8bX6a$5S;m)8%SaqG zE(SwPR3cFN*A6&{tFt<*@Vf=?%e@DB=XzbM1*YRc5$??syI*oa%z#jRBYOiN3f=2~ zz#ghsibe-yANgsXl)3mv8|=>E;;kSN8Y3^!RZ-$Ky3Kx)Ql&je^nr>J{9}IG*Vdyx z@}t-3^-Dr%gp;%db?;8izy$#-A`-5gY$P;O-^7uQ7(9)3|f_!96E0{`8DKCI-kjnt1c_6#N~KSPom8hxw=nMD3_@xs zF>~S;-qwnt)JoDgx-Qqniw1mDA^pDEMlSq|weG8;p)jz7Fa`&LgXn5u3|1La%pb-3ob1_6`-)EkZp)upw}rf1 zQ=OT)of~XMK%!f3CMLVjk%hI6WAfXENdUHZ7+_CHA(BwcUQRv3(oy)UxCGMoj>=;M z&WBU}sO`ph2hG059(MWDa{ntGx;s9FbJ`3W?z!)}#RA!lB>V@SR!AYHq68FDnx{i4 zVlERnYiPf?`HDN=1FY0Rvxo!+iN-O`DZFE2*A0^oiHo(7%^+3p!=5|4&kWQRW$mCo zDjfbqo;qGd+`(tIGk(|SBuZDntxfQ_e`sW|eVy)=VwF7aXSDg{Lgv$JP)PaOIhR)& zdxyJjW={}qA#+Lcj7l#6;%$+x@+mJ$P>9P#ONGR}umXcsW4i|^OG;6U-4&lil~cD& zmO3r}Mg9SaE~UJdO>QXuSqKd`4lpvYA>pi*GA6bpswEPoZp`r>NV~~~g<^^Fp|2kU zR4kM6xH-dr5KL~kDyUq!$*Swm0Hw0d9$)6{BJ!j*ByZG5BBf{wusT|kBr715-6;AG z#?n}fqXLG=VEa=r?oSSqvaU%93a>_Af7fQST~CofA$*6y1z^d;aw4zcdhrHWgN2Y1 zG#qmu;yq)*r%q2(lBYqCQrMUmrN2^<*S`L+Uf3!U#(@YbXRUri=Z@}pM+2i92kbQ% zF+KB`r!~0(D&FxBhA~!5Gz-+mVlA)b7_b!L-A@+y?A7k*o}lV*VeTD&-~zCaoyHvp zTIkPJ8||etXi|w7l1-TpVEX&Ro8}zw+&!_$zF6dlXmWI6X+1$O_YITlnlptm+JUY0 zU`RDoPEbXDzd7`g!ZZT}7Sp2=O$RUqx^)rxgn$8Fy;dBNW2+v%RXSK{VpiCp_V{;7 zn8!+}4hxEMlH(~6TDBheh%QViNy!}O08PK+*}9hwKC;El-bdp)ebt89Y7P{CuqJGe8PM#M-sbJxWC zzqKIWOzE_ripj|=22Uy-7IdV%nm^J9FsYoZ21)7ZF|WbDs}B)KpC51t79i5E4jEFy zw+v57S7JV^c*6wW>|wH^Y> z>~ci#h@|G=%=s_F4>BIvq#ZG{`pRGTn-ayCQ>?FPBMeLXYp>g-<)B#sdb9@t>~D5b z$k|JmHLinsU4AGZqnV415RexxD2=X}Ak93cml^4@N_f{7xJ~%j}f+8p}0J*@S#$r1HO2+uPCSlHT0| z5bV#8xS|2#BG1fD zTc+zG!#$;t%7d=-Z3~=Z(XZ^KWTWpL>_~(R*^nUbwzHEbRV{_}kOFUgo?Y!`u?rD% z{x$X@mf8Q(fD-tmR+`AdwbXY!^YCrZe5@#gxC^tB(v#|g>7E}ne96v{ zR1$~I{uYV+%BjZ&FWAJT`>R9NQ3!suHRJZe(7+RWDn$HX6JC3AanG0`oKeV3Pop=kMe()*F^yllzA*$&2q~V%YO`cBW zh@DQIwIq>~X%b$>2H#EZ;kla|UtBBzqX2tyu3Kl``G3`!DwaV&yuLO&PMO0A_oIV# z*NeZ2o~8c$)--UnW`>S=@GX+CMCyH=0zJ%Ov!)+Qgt2kX!z*O5T} zG|r=VVp)Q%p0!NvcM=glg!y^M+B^FzqDW|b>6pLLRAu5_1qQT;G%B;c$|K0)UwVll zsEH}B(>zO_3aFHOB2~aWCk`GWm+bbLsx}#0fCZAB{=+#gQtwD&2==v#zcS!ST=0#) z_>xp3^%JTNF3~B3!XL5lZIh`M-003D$HWn7!c|W>BW}pEQdEl|S-he+A{mU?yuONs zZs&1Kd4dFiB7)2A`Z~Ojv6C9`M|YsWdLLpEF;5$Z7irbdTOM<=nFwu9iL{_Oz!84{ zM%w}JlnOdeVo+z5s2iX9klfOEpZyIN!9k2!YchGMQxBKD;y`iVo@-UnY<9~7unYRv zpoec=-|{+FR=cUWzaxsZJx$+cNP2R1?cgG$Q(H}b%)NQdm2c+X?dx*9bc3MQrzts% zsF5@0FM28q7WN87Uv>82#QSTTIilufSe zjtRjK(DaK%CU0ywPOKp&iiIIkz+`du_7+Bet}4TTSS1?wo-OtTSh#hQiQzCinut_llgkr(b!cUJ?>=6u0oq6VBIz-|IxFnFvv7C2g^Df|A9`U)?^s?pf18O($0-@&a}~R0zt{AE;b)f zW%h{_ntoE*SSpgwsyDj3s4!TYk4Tlb+N7f=2s8uwq2yP68cOl9bGbc!$In z^6m#8{>>R9ST zBl>t~V(SFf&GFWv=_&CG@!g|IK4%KSQqKl3Pu_02g2anl0~n(5B0wz3l(ij#4J}~iYxy?~8>ECVQK@%@Pc@d{ zt4NOUiwSXCrmu?sJ(*P!$d~j0?gisYs)psc3>f)7M6p#~ zkPe|U>2EadNZW&abT9bX49>Uh1&YO_zCLX=#yJ^?nQML#Sq?X-o>wiZ+!6N|ft0yT zJ`rcV4`iq-R00L*W!ZU~hQOJ&ulTBNPB5p4gV*>Ic%xZ0)^9CIfSZI%7zFc9@4W=T zkfK9FidZEJf!^cKWN(*bG4;tKJ>lWaA&+}hsEmY_kR;XhX}E9$L9t3<>#4zw>2K-A zqKyEqW=f^T9xODcVTQt6w;b0;)Y#$wYJm`?ay6zt73IzS&r{)Z>2Osqg`7!G%+P@PS{7S;nqC$^2h3{z^ zh618*;h!V5vY(tXV?0AKCf16Q5ONDVn#y8cqxh(5rp)i?6GVUD3O_dAe{SSAey0(5 zYo-B!cQ*(@moV6p(~QY&KP&G)f3uVTZ~A`ch$$%I&-`U^m6`442}$RL`Qf>O9OI^$ zXTpxWD7ubgY7>I?tfD5tHg2XgrBk|Wy=|_2wp;n&&t;uWoX>$q%Cu<1`@KZtqA1Ln zn#}|;m{t(BA4dqn)-qxSImP7AKqn-}>171rf5ut<0<)~l>YHEP%fCoLT>-j=TD+M0 zdxta8y`w4=`y87aXw-C(A?@&>s63XS!o$7(+&y2K^WQ0?qrm{nxJ?wzRFSPl-h)*1 z-??^H)V-!$?X!CaF~o_s8DvMPc>$w*+So67EPJgjZhJZXPa%&Ql7VO*f;a(&{%p=NJw&QVQ5!gR^-T!wk=QD@<#>YX5y0zCQIHG zzD({?^m0A#M^(d42H=F^J>|-Rq1+KZlqjn=g2Xl{wY2hx0E6S2;I~L)*d1O7Su+cP z#KII9#o&&(RA6p3agZrUfx31F^J(3z(11p)8NDTl?a5l;pFiy9fj@gLDivJs5m-_= zg}0FSO9sMwsp<1EQ8wJRJ1?u-dlLGG7W zEcL4_xMwcrOJ)VfK$(w!am&>SG2G*QSmN@d+17;CRO7kj~FRBfyhvXZ`LG@4mkG2 zs1>tDegy=Nw^2ht_rKh>%6(qc84+*gZIFkJ&w^<3Uy(gbGd!OXwj{U_i}Y-#Iv1*v z^I}QPRTf5-##wRHBWs#VSqK;;@BJ`&2Bdb0DAVA;0(_UT$ zl6YmV(BT6I%{#TSCGpUbpCo)QAme%P{o!i+uA^dmA;7oS<<8^kHY(}8KMfVC^K&b89-aUJ9|eGed{^Of4Fw! zZCcy+(xNWJm~&oU3NU0Rq(?CCs%Bv zOM2sNp!feI+LSYiZ=X6DwE;Hr|WVm z9JA%`#Mw1Hl4lDqAg3u0ZprnIm1T>*vP*h=JlE4Z9>^;VdZC@!RhRj+;sgLi1{bJz zArK|Bi=ghnLrd0~M?lzTTGS@dkw_-dU)xAD)8h`K2y7H zxAE$&BtNj^Lg&PVe{WJu-I|Soa*;PEG9{6o^x6>Kxtdna-M&+=P|+=}o^ZDkuC=|r z7776tbgZzObSAoY0%Jq(Q&S^taX($16;dUZY46pYNFTqXze@Qv7WCCERVIwg;z7@W zkt2wW$uJZdQ?KXSlSb|^3&J{%Y?51*i>2oWzN87|n~62gdIGDR3v)x-(eEJ9TR{^$ zK$NnV1tlTlmU1j}yXZIgMdP#Y{4>EhCXM~$+#iw<2YJ;-)_b5=jm+m#l%m+nt1WZz zDcvWV?KHXZ_l_wDhO2lI^JOvPA?4_gq3BD-cwxJ+Vaa#M6zQh+rcouk>HYX9-d-(M-$*3d|92u+N zM%yps*w7(If^QI_0hbM=hU`vL78ymo;Cm>n>;XozP+pr9%4?t3Z~u3`3JmK_>|Gxb zgCZQpXj`_*9j;f9%88s#sFELOV9%0QV04968x(rhv-VN`*_{4b5iXg10|JycqXyb| zE5dC=*NGo48BC9KZZYMhsuiDRek_Urf!$a4Ee9DHY%mA~U8u_uyi+He3VuwUaiRuc zn8SE|!morWO8`|KutpPxx7Pa zlt{Z>?GPkH<|yI9d1x)tfmr(a(+V~g-E>A|zYh14n!gQov0ygT4{Sh>4YiT1>eH#y z`AmbwXUW^qJ*;tQbJb`4aKKLl&5_=ap$q#2k&p>h!az)dr1>N^==Ms`fl`z7j_N9!1PVf}0nY7*!j}Ml-%;^H*BBkGe4{EP)Wq6DeEdXrYdLBxw zyckEk18p%Vw*yxDPABNi4AZfoLs)*r1oiYK5y4xVRf=|B)jf~Fy>(8Z7d!=WfDmOS zw{3yr3R~5?fcz$MfqvgT?~I@oqrXm&pK}wUg^|d#*phI$_r;7}2|kt>sEBwjA#8%o z4@|kIiAlk+$9kAwpkRt;hJJVivWXr<)mdb)C0wF54$VtCIGduqb3(wJxjbqjAQ0{Ubf^#xRWhwAQL75nNm^ zX~(TKGh$w%rV>^!yQfNgm?(GvVB!@Y&WR?1Q8LF@Ey9K!eQWhGG3Bii$IDlo(cMh& z`^6}xvAq$auaFbGj**cp4~}OZnUWoH<1%{W1~{@QuB|q_C}m5{NcW!u&ToVn-Ax3YU2E)WGjYAKWlwc#*31!{b*qv0kC7?iSKA74%k z2kB|R&E%m^V_cd#;|I(EdLKxZnn;w3Sk1cQUqlqp1A-Z0 zER8q#+h7*EGU%3dTS6e`Whw_hp~~G-OlM?XQcX$(9juPwO^Td=iPMzeyf0YN+<)`{ zHDjCI*9|+M#&p0bJFih<1JxW@@2o{s^kzya zNXr^IgV)iWWFChC<=ofO>E8AdL1HSAw2Io-{SE$jjhxi>)Jw|4;ri|o*mD;S2u*d_ zF4`lDVl@5<7++3m7;#(+@9ao$%(ZgyK{04IOa{n9$=iub(3RP?lYQ(wt!`&GtfR}d zEc9JFv{z??8;MTRo}Z{pA{MDM|MAYgO1H75MmSN{z(ZmMI^F**Y-6^@saEqC2TWAO z^ChYQ7i}B5I*uKmouIYape3`c+-f+olw^?P>N6RsHHS<9WEeJ)|H>(~sRtuFGwUdwuL>in0Gy=3sxu%7=bUk}v7> z*XX{m6U}N6X-~=e9;n#9VCA!I<5zW|+r3qmmlCJ<_}0O22~*Tj3@6kF2!4BwzqThE z(WUE3wr;UESNR2Zg%3~pU$0A|ij{ue>xtWF9odkQriVllIFj4Ijx21Weaf`v-{pp8 zd0(K`fYtjtL!A1YM*ilTAckn2;j_rYb#1CKY!T!edEu;Z1lFe9;#T(D>)$*1+8$Ni z_fi9e8~t{Wzr>$xNv$+SS@qv0vGKG8O*-#!diUa(m$?}c4k%ZCYc+;y`Te`~3ytc6 zUUC-h^xY<4dgufkUnU9Hm5}FF=6uW@@3a zUbjXs(d|#Lnusw4tZTN-P)thxOmyRGDAT+d&=2X}MVYm>KTI({6vB=kaLA@y^Y~0>N^JfdQ8pg>*6pWeOLF z|H%vOb2|a|r9i4o@H zEbc5@G5IKD1CW?Oz8gBss@@Ox^$K{*nd0Wbj8}t0LVjA-fO1C15sVWQsbsy_xW%l^-^t6eEnb4GIOl%x0`l_Efr&115 z^_GfeB&Ul9{V<_S_Y=T}k&d1RWMxys&pRt)bKK)ZB?G~{^zVsppdHWQrDM`XLWDhb z0`CoKx?R*PCkC4D_n*=p+sPo^Vjo_*S2_m~G8RKh54$B*zI(x?uzk8g>Ep>da>#T` zA5@Sa`XI`m5^)1y8kiU1p~ds)#9Hvm!DvS#dCQ+sg2DY2DPu{ zN0Y2|4We)bq+*xHsKgQqevW_KB+2%4@7QYxDcZ+@g5##T{TYynbMv^6B@_pG#rNnC z!{}BZN}eJwG->Ve{Q#5vrMqDF<6@A>(e%vBpyJtd{_>~r{D<^sIKOl$Yd3nBWMAKr z8~+f<|0Q{6K;q~kYD`a_ug17hE3$7qGe;TQ6LC9a0tGJ9Wvdfw@3;bk!hQ>7njC{| zM?MiO^&}DaNuwwOzeL4quQ;3I9besd3!|1+mHjJaHY3=Z6Chba^oC`44Bv`=d;0<$ zg#8ojaej;O!Wz~~5WsYlDdUo6q@cH<3lNBQTPKRHCS=7ks8nnbrlY>wb3k-_W3L7z zBjUBVcJAs^k-q*~oL2{?=B4uEIqQ{uvkH_mF7`GJ=l(8Meo68ES5WvpLC$$~kAXg;D<4{6x z!NO^iQZQpX!LnZQnQd;rI71mUiYhu1 zO$F9i*o_6DBAaai?$WVUQH54wPH++s$2sm~s~rZYfd9xfK(9T8dqgftq%$1=&0yzN zYC@RtD6&ih6_UsMdlP~!j|<&Ku7U67X6K2s!yfvgV?Ogp-c)f2tbS;klymx?8;0)8 z0DTg8|2TrIibg7qkomQpn^}D#wvwtO)+dRAZKfM6!-(?7m-wlcDdy>swbl2@-~KPa zb7P4^HcYXgIvsD-^<>@*Hda|(_%>^)qi}qkH{BPKogzONiBqfc(#{}o_2>@ypz)^2 zbMAp@j2s=~c#^^VqP_gO`O@5IaKW*($3u@0x zhukHqqQZYpB}d)b4~gTAI{L2yEwpd=C3W7UEq8>O z=pRMxIf^`SG)Xnp(`&eATm!#=H)*Y%G0DiE`zGRP*zJr)inrAm(rOD)XKuy5;xIbDk6aZo=#TjzGy--+Kl~U+ZPbk{`I??@ayKi4jRTv<#ZqsVHfUQQ1$3j;F zAuvJ_PMcD*5K6v`$wB^@Ac0}utZUHxO?JePhI*tj zJ*XUt;68f5KD?!vNTR$gK)u(V@(6DN_e&!*r@=S1FTT#mtef*;M zBiUkWNJ1GUa{8u!!}FFG);pvFe+0^=k*Dc&A|YeA*YYDm>I(GQkGcLWk~r3kDn~d3 zl^Q4wl|)Ihk1a&m6jing>{yDfiyN~sW5ySg1*D?k#M4vet0CUjmk-SIkocEGH>OTF zDZ}auAe!0Fxd_pec|bzVCIHIZcHNbHT1f(5)Fu|sT2j+>*WU`&v$?xMJk6UMu`ODc z26E7?4o{g{#v>soSA?`$v{SgPc36vIo6a7;NxN(C*TD#6S5MDc@@=Rh`%}AES>B74 zE)qGOZ(T2Pd=Bg0@s&|s?1BFNqXn)gwecYTbSS)-|Zdzc+SVt?Ky2RLSJcd#GG z!;`bmJ<89px2EuMK}?`>1|;E8oJf~Tj0NJg+wnHf+!;s`HOwqPai;d7w}Sx5JIWvL zN48?7=3HKu;~_KbTeDn!#hHOZo{Ef@UL6B<3f1B|0zftKeU7A3t#P&tCK9@ual#27 zKT_F$H299SV|JjV>M4tj&B*=3Z_X+-~?j}8sCVK4W#zR z?+l*Xc7~LCiQx&N8^vCW9T5hKcqv(Jm#*cZ3PZzFFROE@%J|Z!{FYZdtaWCwbF)Tq zQhs$u=%{WKQN*+}L{`p$jpD*J!wA?|CRCd4OA?Yy>XKt)LVY?Zlo6D!*sc<$(}XOy z%RwLpOTdPMuzVbtD`37B_mt#D0{64KD4GTdEJf~7)4FbFPbu^v1N!N;wiEj1M)nyTgRK#h*$X}6+2U|mvTuEtVeR&hixJD;U?7Mz$m)q zhky^SPy7h!yu2;hln|fDXg>O1oa7!W8gy8qTxw6W9C;uG6(^6shVU@#*3&w`WwO-z z`fS*cu>RIAdDk$kZ)4Aj%Y|xv00ed`58dV1?r>Eo)&ySP{N{p1162aOxx5!= zU<=_>#^ySK?nuhw-NP-I#<*Q2-=Scb_aw1yz6e zqg*spLG1M~gF*R)+4n=*at4s@yl^aB%qZ)#nrysS1KA=vIF=zOU|}zy98^~G4epl> zHRNJI%)8>tJA&jwQD4SfzY!tX5`?#J2+QU|bz%{3|9Cs^(Fj12CNU+`k5wN(M}NcZ z%7+NA*)no3$Q~owZu}KgL?e+FB)Z zhM(|SN1LQiBf*`H%OC%;mAItM3Of9EsGqxGz8&yDT&2X0)X>D~GoWv@ZQmjk%YrvX z-xhMq2t0()_VslCc#tMmFT|e`kQNzSwjgMm_`o-9AFm*;G`<6UvLHdPz z_XRMN8X3U}F#~m8i25|_1~^(xoae<}A}|FnxPlTieQnH2{OO0JTw-vzu}d{XGSlaU zjRYMXq^Uk3mUtBi6?g-3ZT@KGpizWe;(R!1ZD5yuJzWpafgx-7P{hAt6yhy#9q;-!MY zb#+g8cpBFFkj?9K{$wgmQ!WnV0|J+wJ5OQ4!~%{Z9vhmuw~Be$z0=>tOW(L;G4vGy z*Z8oI=>#fit9&_0@AXvYCx=}jDX}!UDco?ul2Q-70^o7c_`>j?!Ql{`q*(=DIN=n# zu_k{%x0kMmVK5bF%GqhQ)2IU{!U$fZK17`BN=-eDv`QT5#G-knTH+;BoM|mB zX*&{|qaT~;c#%srNFE18)#-XD35Fi<%GHY!We|B}Thy4ZH77t^%M;@;OX7(YH)H1pC{rJmOd${dTIFn^>eC`{QPR?Rwrd4$%HtE7A!9q(SA_;treXXhB}uyHBK%Xv7@bInX#@qK%XrxA zS_9g|WwEc-+2*z}jQu-IAO$+ZAB_z=)X6w4nm$|NqS9h|)-x2_z3G@_fKq|eS|_cJ zxVrwfZZzE6+af}!gj!w!0dH%)Pp`3hiTd=G_1UhQ-<04^v{0FB^!0|5xztT)8EIBt zTc!C8oZYa4r+r3tj+)kdxXf)unE8mmVt80=cvdkuMM5ATARuO8Ffbq>ARsh3I50*4 zz&E*%l$1}mQtJo@y}XC^ss|%{=7zsEK*BsVQryD`%_H{O+>Yq4?5}O?$y5iA=Zw!@ z?>Z=KgCh(`ln_Z82}BscrhWM&b?JKM|F|-07e33Qwe&3+SGUl3{jO2JoQ+FkzkFSQ6nZ}B;Quz-(E*R!ttj4{JtpX~ zVE=KNtnI1dlr~9t#Ch^9&{{u8RNo!Iyjy$awb!BgIcvUJ)G(9&|Pv?v2BJAG2V z5VnYt4veG&cAwW2JYThd#!g(NfCj^^Q|Bl`1s@-f(o~WpBpK}C9+eL4KcvU4C%Q8V zkid8UynEm#lpW`qfPPNpW9!m78=%>fcgDe{s@23%r>iN!6(#YRWw?=g8%E~k$>%qp zK~dwrWyoSh5|TzSg>S=M-!k#*?A2Bo9m-+NIvS#-Nm+HFR%sa>WywzNY|%v>Flrux zcAybhBPq4)Zqq0)^4DjPfrh-^9Nt!pbN2F!t!V~BUel204V1mK*IUp}uCF{%0)3Rq zarKBuR1r&zzvRLo6s~MxsOI5So9?GmN5)08up8W(jaA00@ zW!=*<&Ol6u3~Fp}pe(W~luKXdxd!llc9#?^!Ac|EmT>l+zw}+)Xy%dV3Zx&zx~DIX z8;CO6(r*%cV{#YL77N3h@xg&)M@z5Zo_IFX&A{%TsDX zDw>IcmXMK*o18bO&^DN!2xpu`NrWC>$PH7CMy1DLL7^1R=^_|A{Wc6jSaitG!vc0u z8(*E>lEmui%|M0p_r*HX!PU8+pIt}Fpbr0y6~rZ!tet;y+hC+QH|f2dc!i!!h;F@q zOLYAW*&E~1=D}lphhnWUa$WjK0By-UIyLX*Z>=^6L*r$rNXRfLPgw6kY(n@P1&;BY z?x^7#k!m90^SF7#)Axy+&Hv`>t8@F~3|xzw4j)s5_e)OzVs)_uc)Tg>pR6xOt`ABz zBez19>Yzvo)7Q=kJgN+C4W6`8)Ch;U*z{L&&ri@Dszx0U$|pYiMkXdidxmMkvaA@F z0g*ApjJae+KdqOW#q$o0lwOTY61ip4t>2Cggt+z;_SS8i{>{bVrq9#ba(s+gO1Knl zUX7!f{hlkA!XkvDUqeShST(-&MYEA$sxkD?wU*QAN|*R=s>`^F2&2rt@+Qa%a#9cq zd48N+GAv|<-%V?$ek4s|pp*`2zp#6N5vp7S!nJjrARE#W{`HhiiK%i~+l1+Aw?AWm zIZqre-xrPv(j)FZGJE7W&@RNApCZmLn4=GEUC&;aka7T;n6tAupQYZE1!I}~)Uexd zEmE>)Z@^OAQeKb%7WHCE{0C$czwW08C}`WEiBaA{VW25|c0om&>Yl2#(p6^2(q7l>9xRPAv^toNUCf;Ed$8*Ca3!NI) zL%zds!J5kHpM6e&_L4!HD#glMEMA-!-(L+*ZQIEzTjQY@2ZrH{`*N)06 zPg8tIQXs2nu`hyOV(yM(HX0>y$;?}6e~sJMCOmF982HF-ZK23Jg0jM419`*|_A?It zNx2NkuRWNg0Vng=qkOt_Rn|e~3c5w$N660foM5o~zfNxbY6;F}S$QWvD?!U|%AdaF z(ovjhlFI4(SpF*6KYK>*y}FtO6@?f*Mw{cxOM=K2rN%ou7~zJ?Sg?ogkA@o@su8{f z$bh+2nXT0NWT!ci)hIiTtuwc6Qs@~dM^qD~h9rbdws$wF*-c=@ZW=QS3R1~C`$fzq zbxh~|VUEG$4Jr3K1DeEfDTQLkUt)22qKGf%-_nubAc2MEB2S9Q+MvoJRXmT(1?rkz z9Lxod_)cI|4>wx-Go5}##@z`wxs>j(L%Z2ZaaJyj_`uHi-U-)tNJ zzwt~^m0JfSkK|eA7felIM-!0f&(~yA?@80r*xcJg;ka__(`B-*_PkBs+i3nwxd9Yc z(|#R^sdE8UmGc4FOX8FGF>;98%`(vdgACbPXVb(``^E;NOK%BCL{GFlq`PMN{8o(B zNj}%7(;Jpuu3)Ehc@M0ukupRg34`2GwX;o-$%`ml$N&|mo5HqHjhy*$I;BOyDSak@ z6pQ9x(c&uGwK}RA8lzb{k)rQGA!j_+B-%Oz^;(C9y55XLQL4 zvvrDt{|L4E_>_-_A&|6Yp5ZzV{GgZy#teZIjXB`w-rOLgx>4)XT~s!{MeB#wiT|Hn zVx=)1snR*O>j%0~7Rmg6MEEr2y^z`L`x5wFDz@=JFOJxRQy`gDtAn(9R{VfqCT_($>jE&mzM%?L=#s%EkLw z{;G5`Q>7C*i`<#ha`>Df;NZyj9m22EIAkg zQ6QX6BYeXFVA6W1_&YHzmzZE(Wlv*g#8)Q~Lnl^QkBDOA)S$agt(hu{;dgZ0rhkXL zGikHEbDl(p^97Outmw12+(66wHqPio3oh}KNN8gNnH6~L=j}DIsF*EYGzTIgTpJ}=>+`UnDie6 zCTdzk@xWk?YLC`?Ew|e2s-)yvda9;Qs7W|Fv^BBX_(au1K)TpM&dLZc)IYv!GZMue z0I2%~*(D=i6UYcuK-K?tSA|%?zZn^{JCL%zZ|SvM-<7-9R~f4FW#w$V!N8#xf4BAsXc{UG^pQ1ZgiXm>Y zuOjbL=QFlr;{Pwf-ze&F9JF--kQ2gIyDojcu7$H*TVzno9Fh!&%wgfe^I3P*&??A* zuwe%fyo5&cZRwL$jW066m^le_uJA}>HKn0ou7Wwx8`Tc?oEqJ@vpd_UZoWWJvQmD2 zzo>)b2M1&yl~A*sNMv{cz!VuqbTFvAr7zKf1d`aQFVkZL7$HMd*V@rWkt`w*HmCc* zAE4V;%tf|xrvxc9n0R^FvCPs6SEthH+Zo!yG7EOloQ5Q>WlLyd>%3q8@ZSwuxQ%f$ zfZC2z0rZ@8wLX~4kP|l~j*V!NNTLXvz%e6no7kIl*Vcas$i7GaiiMrynImZnDRK;lOH0-N<~f z+NN15>5jrM;O6owgoXt_$RDfv=@2``_~}8VDJPRvVuFu_;LwJ+K932`k&paWFk6ho zji0XoUOgq30o{KsY`{Wz^e#Xdl3`uZ_6eAjvgu}U^u+Vipv}dpJY6J%{Ivd;jkI)F ze`vax`CiMATw;*h&q$|OvdQ@t&MIyL&{wJS>H^i*GbpHNmctWD@%G0qgd|r@QWNP- zrseioFu+mNwyVMv>zRTpU>i1c(})#w+4rb~$q)@VYVPdiEdX+EL;b=|s<1((ym$Z* z>Qda)*I5WFbTGXtZ^m7(_+&0vh?Yp>J2|~G0|iCQH>m`q+`<(MbxvI(bvhC&-2<(o zYQvA>MV<|oN|n-Qq9mM#dWmCfr78@;o83_-bduWnFQxtqQ+&Sb zYs_kx2^-bzCRcN>7jFwfutQv?M7t>tHv}Z26|7Dd<#d-*0Sd`{K zYuf1Q# z=J!b^Kz(0V2EAZMyx;TkLyo;l1$Tw0${~Wg0^C7LJcXNFKz|3N;YA}*$&oQEBXZ+U zLc?}t&26~Rf+^m0_TdFm~CZc2}Z8Ae)^qxcKol(RGA=9CRrW6>pz52UhuD`Ne*7Nt0$_ zOSM(lssW>Hc0*!{yPa>#a6U1)Xb?4c!mydAH$Z7pgw;=OO2`xUL#>zxtg>AsW|;me z5lmU9ETy$i(hZTmgwEM_yctK~`aJl@u5U_gQ|TBdLi58~dm2=LJc4lbQDMU5DrpJ} zHVr|~u+VE#g3$@ZS1US^bxohpfun4#l33rXr|(}n{OT=#UcVkNNcX)*|7{!m?IfbUP`uzpvF>T!9cDB|MVlFH`#jI5kM?9-v6t=yX^mcGx8Ru`xT`pyE zzP9mB$+f>aQ01fBGM~T|T z7$?2Qb@~`TfuccU?fuD5E(PWFjD|hMvt@|bs-dH9kW)+-~7E?_0 zu8b@@m`V|Km2*@XlDd5&cRkrSo~X#d`wD6GTG&0frpNEm?sAz}DNPgCEPPI^^9U^) zs#<5@JQ0%yK~VIZ3|0i};k8_{F@KT1AuiFLFavRq;xh5P;aJ6#Rb%C=EjdAcg3yd;ABpKyt%_(w4ALKB4J8Z*_Jh^%N@!F3Ch!@* z1tjEj>k%dcT>E@p!KQl;y6naL%(pdW$DhEzr-VbKdEFud`$Y`9OI?} z!a3dur)|3GSF6XcPrK~YqltBFZ~Yx~=$;K9{)XcTgNnCMWkm>8twM2f!(|d#DLm(!v)yY9|rh{z%KK~{e;mqYkqz9J#xZfGIlrYd4Y!HQr}qH zVr)c+4T#*KF)V!WR$**;*D}h;TWLG|II(uCB|1}EUv#8X!RZo2_dP~Kpht6Xv_@Cv z3lAM+DKa-2f}ju4Mux@rDlc*=f_Y&e`@t>Z;|OL&nvT)AK!GVlQS-~pZk&09zV!rj zu5l-uQTnK#c!vscdCvOP_>+LK;Qy#1;w6iu2K2&!KIS3s!0 zI7qx;nSs6Dn9szrr%<2qeJrmF;t&tER;2cA-heuaJQfIg;Oo@w9JtWMC$ znLd{?}juMab@SxUQW9=vok{Gj}UuRH1)kuOTfWhH4X~)N^Jo3~} z!xW$tAht=JDo3TF?N0B6#TPG_p|fH~B0I%(b)UTwT=lZUBu(1OrBFHLAj`%eaOFti zE?X1}7$FFOYpfFywlKiv9fXau6K8Z#_2b;B4Nce*d`Z zLL6tww4th7Fjys=FjYB5I%Wz48LJcQ(AyPquEErWxOXDDF2f(|(vsawgBjr&2fM=V zXJjGT<{CfDi-mAre;O$_w6|JWZ`X~UOp79W3Xmtq;D;)k^xZ4-W;{bo{f=2m5SN^8 z>LK^3!QKvF=6E2KP+%ZHm!@y*BWk;;A1fbct|PfyLday%fOGm}^i}r6mZm@C2{QOn zjJ__7ap|7}!>WprevP}S=)?e>y3+#w;KtHg<|rhdu%2$bOt}?bDd*GV;b*$~)8FDO z<(?4-%6_XD5_~!@6i=T~3tZe@cOvH{B-^bW#KD6yv<MFBg@45&ok z2#hjyrCO~d>{}NeRVDl`yn%j8SK=4llqE&uxtT39En+g#p}~RM!B5oen$Y}GwB$YH zYwbV(n8y`S9UXAObahb3<&{{0^_Q_y`*DDNZAk`LP`QOk^7@9KjQH>-2eE&GLING_ zPhYmw4AAyR3UyNEK_POLLs8B86P%gU#>GD~#w%Du+)-MC)QVkfOdL{d^w~F(rrhe0 z)inw6h#Q<(Yxv3#nk3dyZlCo39Nj&;d3%KRMk=5%qb`0=BW_pohc(udY|J^&ir(Ps zXiiRG^W@jLVT&sRC27pVL=3U4#Ouq>V@*!>R?|#VMugG7^4%v*6lO=sXm-!bPj9aga%H9qu}luPKtbwT4mn zu%w?>0Kfp2LMR;h`d)nz5dggV_t$tyeaVs{BfYl~5Sn_*Qo8}D>#nYNkhXG37EV2T zgPULnD0Nx3?o<0c-BY?^L-UtfBpTy;M@)nIY-2`6v3lX?c-X(lPkWuAQL_4Q>~Is= zKda<+Gnc1xdTdN$^lBMNa|ZIRPR-~AVexYl8iwTRkV3krUvTO6>roftE`EV%$y|tN z505m=$x2}uTNS7LksB$zecbf(rMLHw6tdg^b)BB1NpPAKD5ZrAbiCu|G_v&JJI3j@^;{W!QBg&yjXiLrFhVv(;+(>r-@b=Ldl{@p12F1jxu@5-*Mmoj4E!*{XWO-Ezyl`PjlIP2r>2M zVE?0a%y*4gH}q=EOKj)XHr|#8Z8mwTU{bd3xo1V6hC>%f?8R#T0#P8Q7CV9JArhWI zVRN4_m%jrArUB@sH*v>hpQscU*hOMG3;ZgkEQ$XdD{5CDCs9;FKxS90lOz5YZ0 z^=ib`Ss_n~ft0n-@fB5+pZ8x)4J>Z17@ z*0H;gK5nH)9;0H46U8>(Iae@y(#5od_cAzX^ZdF5kroWsOh|IYpbCO=;pq+4r}|HZ zz3FVd*UZsRtQCS%zrw?S1e0;NheR-DFfT!HBo4eN-zy-xn(%_J;AeYJC!~&`UW3~m zz$3b#$Cn1E9L9bv`x+xurJp&n=1J5f`LWQ-9t2-XL3Gu zwxU(!+@oOFL}fP5feDYPY2tW#?dkz!IWXp+Z}-1cYBI?&swB}qG}lD^lHTLOW7BK_ zmR?gahf>QwFHTdfs}Ju7%hr~atTZDl8H$>yzOQ|1Hnmw9c}4#+T?d@!A)FBKOgjm* z^|qNcN2ymvv3tujOJ?)6>i9yigMimW+-{tkZ~;8#E_9IT*P$P8aXJPoB`?y=m%yGSs`7JmREQp6~sMkR|ZZ?if3% zrKnn@^s@ELKgN=~{Omu7^sjX78==O2nn*aT`)RWKyR67)JfW9i50$d@SgMcxQA#JG z^Lu~Btj)@Fhkr;mN@6|a9Dn{oa{c-dJ_DRqt7;v#g2qjD0DJi8*T;O)s|476+lJ< z;vxXL>K@P3l0l;loOgrh)`J+P`>f}Rmim={v1}B@x>|rw5=Zxuc!xxhiPlWsj_)y~ ze8rc9QcpoSoTv<>kb$)r%zOI;0tr0cn@z>CLM?VnH!$R8v`};ZxxPNy1>!h6-Q>1f zM1|)aT6fM#_b-vE+3+{tksx7Cbmn(Vuj7J;ZoE(vHbx}*So4N^+9A`=k;R&p(ukT> z(Nf{R)sQas#1ey?yApUCm(*&iB$3RA8AJ`CgvNqw`9$DCuFLt7b>r7D&HMv*(U>CK z(|`#oE9I*7TuRU*bMb8mg7GYa9b4U9-6%e{GA19E9>tSkV~}*-fnNA zLl*0-*P0mYq|!%`cK?NR?l++O|78iUf(8R5 zWVcM30qq(bz5Ywy4x}7NCxJFhrq>YSHHV%_u0{6#5n}ayGY076oWsW#l`WO<&VL;y z;aOr6=7iC}v|;`lY6fM)zdhHAXHqbY^*D1|{gg8j+PQse$gBz#UY6tJcW;14Sv;f( z^SI~8MhGhwFgYoATUhex@WA8CSBhDq)Q`-8duf1C|m>~8W_aKwtC@YVHv<3M2 z=$IFe%f-^xdSGVumHs51^%J^5$I^T0_WZhcKQZpx(q2hLX=TN#-9iNg8i>epU{?db z7Q998$(bj+Rv~OtsxeYf@j0!Yn(aot9GgJnWPP3XJPCoIB#Px&8FHbi^<*Eeo`?{{ z>^ETAXu8&7KILsSI4y|=#w~7=FWdRN!$slkOfCt8TWG!1id-I(Kxrm+6SSSSL0Ip{ zoo_7oh)N!Ni+6)QtFAF!4doUIu2ZmGz@{kvrb+KO0h5 z8Qn-8(1vd%uGv$Hw-0+@2(O}fub6vY&FI%}->5|o;L;z>Po>|<&t4EI_(}!3vXei9 z=*f1axTY!D#h?)NL|)=6nFazMuUy6gD$mzI3BD8?if=J$kY@0e@D!807)w+FpQ>g! zvv-NJj#N+l_p*J?(>}+ByFSt%*MNEZl31@u6)lp|4A!|8sU4g~b zDd9(oYlOP;*XDtB&@-VVZS437wFS0r5?IliEc#5^fM@03}6JtIrbI}mZHW*$~t%v#1 zHU)N^dwRz8HEhvEyz9@wK6f-O>$?k9ha4Al7Yqi>NtmIv*t+d9I|+q%7+;5QQ&&WD zlSe3IwSk<6eLNSdD-YAxHy_fa|tNo?3x8Kt@`yuc(8-*QV^oNo_Q%H+pu8 zKKd5L2hFQ4@<}v;KPlTnO**GF39aEySZ&Haqc2i5j)&3~uv5S8YCee#=tN$?;gnN> z@re8ht6bwH`Dr6N@A|pt8Jc`CTzh&13@}Fc$hRlErTqA`)Mhn4O((Crk3I)3+J5?+ z?vZ8OnqivVu&5venqn@jLfKP_m;QAdVDq>Wh>gF9@(W^LgQNF=6rLxh2ezs~szny!M2sMx#-Qdq26J)&n)L3j!J>VFk`?RB7uz zDrVx1u1cOy$ot6ism^hJ`4CNq?a@5HIl781{@(QmXaxa1X#3ZS#=R`nI5;}zGrdWs zSDV&k03J~s{}e4Yoe~a=7HgJ)A^pOHAp=<$?~6mo(yp_(RR9`5<-eAnZpE&OAJE6B z#&BZ>*@6Fe*;E|;5UC<%xv$4Ar?xqN}*uAsbJk(`cwjJ zj<2UccMl24`g=zk(23qjv}A`$H(L~b))J`*W}R(@d8Xds6?|fIHb2_3$-@SI<`&gi zcS3k6XV1-Db`+_!%Xt_3*+0#4wGYGb9nQPK^;pb{D$Pii@t*^1dRsC`pX@;@MLJo* z(_ShQViKrVBXQd`FW-cUE$ZU72qrkwFq!FFSQ5VASLEo{;74EoF7M!F!^9|#Pv(~* zDx8p*rH$1jAw!Krg?V6bm~poT6|67m$m67vMGp=`08Rn<1#V2lLB2ZW({^EssN z(_VnGzdiD&-GNF#vVO}k>R~A8CfL-8|6rQfx(D5O@eF|yOK&OiFjuOy8qchc@gD_A zQcR0`hJS^*+AlP&GVd3n>SxSWKk^*q4mhWY=w6XrF=wIp`Aa-m1 za^A&ROp{Aei7(%_SYt&JEL4xyNGxWxKwp)9NthDS1FpZmnI%kw2(DY?ZEYx3TM#)+ zjpo<4JLoTp7)vn%9N!0A0rm4I&Z3eRJieWuf4FgE8_9~@RV(Fp^K)F4+hok_EPtfz zFSe&d;>(b!ak#+?Hod{|et8zQRqL^y2?P|znQv?^`wAX3TF8f<7Yo^({Mv5Oj#Ut| z)=E<`mNGl9GR|c;Hc`A+j*9F(`h};{=fqAfK)>CVJdJ1PCz9?5JLx}rJ@YRzi=(KQ zy)=b>P#3J$}0EQF0Jd1bQU?vctH*)gYPZmc8XFpj^>xbAyf-OCwz< z&US@QBxAOSXd~eq3RZ`F4RCAFKwx~3HuL~q&_9iRj!&~-M8ll;XFKuYqnL&~!t>54 z^VvFtW`GXY#igoh0sK&HJaq-66f4X7HO=}Zu7|Yf2G-r@uG{11WU{dfU_E1ScD!n+ zpeJfo{m2nf3UTVAEc~=?U4FU?_P};JK;`Q4D=-SB)&u=hbIjo;N$LEp0iXkXPbmn& zB=cx4ZE||sEJs1)nx9%Nr%Uc_%Z7Ff>t87ODa`I#IJ&Y~)plYc1{aP4gdU z6w%R!cEAU~{IXGvd}z#!Qt1 zF%!8zo(l9!{hys$vKF?ZRA~&<)5_&|`pcQmLO z>uI!=;|k0gbDV=!sNiccG0HtWwR>FL@|NPn(1P z+s|u@s)zpqI_B+-pEf8fR(s6;!M1M6R9_q{A1}4_$n0NHr|s*Dm7vAA-uoL*eY*(Nj`8l;f3iox;><&0gq zL0cjSHUVf8cQliXUe+B%o7Q*Dq5L)wSFP`7YGE7uZK+&lRwP7IdD|<7mO;rb^BrWA zp_4A9B2?}vTydgLW`jdhEt@I3?6(p{jM*vPz>zQ_!D*T%_y)TQErCHOmoK1WE0Ob+ z0Ns)l8(^S+Ps-h5q*Vt`I8qt3Z|ML0Wk(925!J%chHdVL#~2a<`Sl>5+R4y(?B+?` z9x{L$Nn8231W*_{DoX{(q$ZGo>~(V1sqOd^mmf=#hbF)|CBh$#p*{mAXR{JFh50Ph z9sxkpK0Zr2e9}5ZhgMy1fR1!k3#m4##TZ-D--r<~M#gLC$ZbLPJy|#kV~*siTj4h5 zkDjfDT-7ywAC)@370<2whz4Pk)J>b#4N7Dza?js0U?VzZA{qL6V`H~nDzx|+ICQ1F zzXM61+mIM5JSpk_RG0tly>F*L$_`+8HFBW{P2lB#_qE=&Jh%lVY!7mq9D6J9OG9b~ z4hKZ=iH_D5uzoHwHFJFKtPqffW>J46Q`7aKq8@MkVgGmD_~h@o3ZIY?&aNU1$CtNX zSoMLP1*)0glDnmRT7tKXgxD@(fTcMgrEztW3+#LX^1 ze@k@N5!Wb|(xD@yoI#-hi5s5|;?!p)+yX}PmHNk8S&^FSz0OjEFMTxsoT0q4o$D9f zkf*7HHyHUdAAMkpmc5M*m(M0ioy0|U=+*{fox@j0wF4kX%OJxo0y-3a*OGC9J0%(R z_{dH**Jk}b~c@xi~QIjrBO z9zU@~l(o4CYDXdiU*}2nk1m+r)b8kf(z)7@mJOucaPZzI>ZEIo4A8FTZ| zLXexINJ8 z|EFIM2?5u*@5x-?VIl@KhvseVzl}b60F3Bm`uP=N)>Tcr9W$XweN*_H} znP@v{_L3>YOgQ-kwz>!WQAlX{ow2A)-JX}nWp0$S$M{-?6l(KP1?V2<9~!#9RZW~V zDBCqM6DSovwPESKP^}hYqkejug${?BWo$7lb#5tW1Zc)QA0=l#-=ctfy*32eu^W5c z$%5FX50A4(S|YAPWV8Q84uXx{(B*iVdbaR5P#*2gLUz{ZAI-UGMg@}%>su~W40rOD zH2;aS)Fd0uauXtJ5()S^IrX_Q&!aecY+;q^J76au7+jt*FPNqRqE_)p!Tx@&Ev@1T z3U~p1&UnQFTi`g?Q5^p~3Q;DmEOd6#Ka09sGaG059?l(ziGLGwd<5d83`!UK@*l2( zxpC0YThV7E7B1-FhI*E2=*SgeDu#@l60qtQ(pM})?#~WnQBiBaxLD&0$IPSjD{Qed zT|{p;g>4_c8rrvUJWx~|xFA)nbwN_{PNR#h7w5?$vv+Cq0RtiH%&w84CDP`dB3f`P zC*{*s`iAo3RkB&RLMP|=@v&neh7y(2WO3d++&NC*dNKZYR98`(+d=d9)dp}Qv)zqn z*wFxUn5inBPlZ5uaVOntFf$>?&Jv-fk=CMjMgF&mFM2boH+f;Cy7~O4Qb+?Hg;K|W zl5F|HM}@(j=Hhwk))Cyi>w1gh@vGQfrPjq5`C1Go94f_E`LgH27)gz!TJrW5t+K+b zT#q8d?XP+mMNKSB%{VXwkW4z7tL9(=YlSU$T z@^QYsaGbu#rL~|ubL3i03YlV|>lWkQ63nQN+L{1g3YxTNJyyLeiMQ^!LCMhdT8EGt zL>pW-w&<=^I=qiuT%ZKJMEg2tJ1%?nDf5I2<-YPW6x|jp(8&l|U)*Iivp6wRkyZM2 zz;|^0$41B(8_8N*jHS0e&)xR}xse&Zdh58Vv0hA$|BS4AR=Gcz0BHw}#A||WG@#X(+b$Bgx=?BQn-K;|SN~AU>xCCblJk6T&M*0Ax zN+lMYx|THzZD?j6l6`BN{RDjf=>`SO1+yU{&<-D4M&0F?iSjhJlCGNL^**!NU9pY- zs~d*>;#1mK?0#7v*`^GP@~^D|d6GA9FhG~a0JCO;0+!!0@6D@88x0N@S27QHIHFK9 z(ujuFo*&E$?VSPmzk*Nn?kQJWt)Kq&rf}kTS*`u)-UpQqPj#7S$D=H`)GGosV`plf z6zb;d7p7n8UdVLU`B9anJbA?WZH78qMdztY$2R$UC2KhfhY%tm=X=TB=n43BHj1~h z6#uxRpO-wr+p0o_VSQBi9LbE~Uyt(}V$2g;~rb2(&S1WHq$Y0bpH zwKDh|7PK5yfr!oFIsKOBO?$_p_L&vTIfL74vsxHk9rb1(OF#r|BXO0Z! z@+QfX)?lB~l8{(ngd!?qOkEnBg*jh#A_ca~JP!`9D&=ioQbA>Z`i*Y-ingg*Itot2 z$Z1y~S@cV^V@p<7N&Mlcu%+&{dPIY}(GQBSSTYrRytEe5RRqf!R^T52T#vw~f!9+N z(?{$IK~@n@*ojyZt?UgUr%J~F8%0)K!f)DZ(zCZYC!nHIjXGd<$4i>`RJ8r{c1oEe z8Wy!+53>JhWqx`Vczc4>Y!U*43i-xc%g7Ox{7k+2S-P6EKZoP;g5Fn^5DN{pQgZ79U@o)8g@f1(Z;y-jP5u#C)&(p4$GD)I2uUES7P-;GH@waRn!CEp{yF zCCfEy$YC1}dKl46;Z&p^L7*1bJuJPFBKYl|rV}ibOLht>hcU>g(q?Xu*Fg40Exvya zF7hoFqUpo{>F*&F<0^Q);~~{<%&f&hvcJ?b8#~U3od_R7R$S&*#CEvUzDXkWJ4~uz zAfcb2_5aS?HzMsVdN{Ji^}vs9%6*lao$VwLYrC%PT5b&daXxuA->%i(*1iCW(NBEz zx?WVQsqX#$Mrjr>Rvo8=$0{)2M#V@s&Si~H@6Np%5Cjr$7*Q}<5Ujjvjf!V z;PXW4y62BLg}^W?(6Nz(fWvht)Gj@!0=9H~FZ5emJcP)Fd{-;o^+@6o!u5dAzziOd zXe+%?lHH68f-Sl4u6$UCG6AmSx%B`oK%QiSeUh zIrj}wb5e|PnJiRGMkR7D|B`RK&~zCJDaR<2#^J7|x@c5-5&BU#8(p?Vx6(^?I}^s* z`BVDIG3gwkfWMu!q}%K{KsYv8u9aDlhZMbO>}wD=&s7bVzT9`1frb2R$d*f45WP+= z)Y*wZ%WgD95&FoRt_(c=hxzU#C^rCIrUyP?m zV&-+{sbAm55n5JMq3ie3KBu9Pep%KM-r=SAPRrn^eYUuJvUb$Ab7fW520-Gp$mWyz z5RauEXw$D{^n4SLTd}nh?(4bpFM#d9Jd9ZXIoQfW{@AfV!U@i*53~$Gv*J($rEo=5 zM{~>cq?w9ItE6J8{B{XX4ZHXZpj*}|^$54gg&sS~^1Ek{u2}C4ajtk@yl&Hx(9|X$pSH*|Ok6=-A!#Ztt0=ue}*LFDe zaU>?j(IvD4Rey+A35iQkx;cWg(qQ&jz9Se@yj9NPDFqX<((t7lt;L2rwhD@wmJC$c zaEo-3>4<1JJv}j_NPptUPsuMx=$^LbNQRMK7eK_w`{|)n)EUc9k2y4VrRzx3`jjh7 zc4u1{>Aoqc`uMR6aO0VWpTt>+M2s!)EEnDiiW#z`l`Vs#log_5n>g>{$V;1iBw`QN z1LZ@UW91^L*ZH1!uGc zMZ)1EcbIN2s8Q=Wu3Mh@!>CB5TPpq4H0{lC(PA%SoR!PsmMZan83yDT=ozIGOX4;h>=_had z#D_{FN03rZgUY8ZR6|QEf6##OIIm&Eg@BfJefD)TnkZRE3aKG|#e@64^3*$`bu>P@ za#rOHc(b9$vdBY!NFyK&dT!ZkT)(jYMiOpb!B-!my15^ zR8ThK2Pbn0Moff;l!sD|CWS_M!A!CaJPY5C=N3j`0V)dpe=~kxnt8=5XqSPGkYAZH zYxU%9Z<>)9>S4NY%5dZq>)2K$5+%y;AFYa3`REtb_0yLrNhG#)}SiH z53}jmefPd`p(LnKvC!A*<+byUm}}MCEN+5!km2S@bmi+|Evp<%WoZl~1s^_J9Q}kU zy|-&yUN58*E)6>>OPZ`NKpI8EZuCf+dx;{JS81%;O0`Bp>cW*PALVL7$+cE z- zt6FzH#6PHM`_GcXN~!Azr<=iKd06JVI=K#JDWp~ntc2s2l!YltOvU0!;ZAT=K^ zVE-j^dT_kQmMx@tju@!Sb%~1p&*?l7{CCL%H9`f>zyaO=4(0c1v+3WKk-!i(UH+^s z-72bYHb{oUUZq_9H>vhtBQgTD$8ohfRLz16{CteLM1wa8YKp9hMvVJqY~_x*5qe|; zH=P$M(3kTDs6S}&s0+w3LJZN1u+MHVRHB5x5E|fNZP)SgAU>o3F`lqCCM2L320z2f ztQSr8AcPJs`ojH^2^x2=P5ss#VCPI$krxx6n(p{@H8tE1WehtY39o5e^qB{-ngNx=Ig8E)Dz>ECT}%e; zIs>a?F-#jCi^>N?`pM25Sw*KP2zy3Hh+~?fgsoIp;12$cnd47_Wm*#G+{B}2U)nZs z4uYp9+e%q8sd>mjwU%!t*29-^P2Bx@mtSZRthW3FAr4zqih<#mGpq|!t=m#Va;|&_ z3dB?BU17ikcj=4oG0e}*jhcOkV9@{{zb@iwM!zf(@GiYgFMN~9_Q z-f=)*JfTOhKO)JMuuO28*~dsyR~*;oL&7;SR$G-L^;^dC37)~#jeS&z$+stca3N-# zAZ;g_&<-ra;iQW0x=CbY4K9oHm1z#tz~gy=d7cZBf-BLdmp0Sp{PJOcEZT0j9bjH3 zsWpmtlaf2}^-Y4Ird_w%Ix6!ecH)B5K$q|#ahYR>mmlO zDXL>fpv7T0-({8+`;RD~2eQh~@9HzV2pH8b>P_Tv>OEnrRD0X%$WI6RRDiLr;h)HdC&Mmc9lae9ZquLTUKLA_O!M;?#x=nCJw`? zd3_B<$*55s>IVv`IM>3ld9Pb@p#y4ZN2{kIX?aH_uX-crt;lO4Zcp(sb?hWAvq$Gu zS}UE=+;FZ_T7?2j4kv$u-PvLHp49QEcR5pm@YaiA$@nT4P@L({b0@E(jh3UAcP5vxno>>lcAdg0 zjL2rF*gAjde)UY4Ib?5{m_a|8eM7h)t5I2KPcwkj@F}tajk4@l*72X+o2Uu(BouP^ zdkWIqQ8YV#27Q7G{^gQbm1rOp3T^xPe?Ywk`f_>}3|cE6v{W?8VOF#uPLy64-v3<3 zpsc6tTKR^6ZLm$*H07|EPc{#=ls(Lr^TKsk;H>Ui8}}~?tq#H4wp`T&^$wd68n{Z~ zos0WjibRodxAKe>HybqE;QhZs{3BVl!dtNlm5^Ut4w(3I9qSMec(n$=ozbY-IZ$h` zfg^?8sdcN?O6}-Bq^A61#fK#uKhVf;1blKoc-pO3mt^lz8@hS`J67vsQQ=bwluG@V z)r(km@F{9qT-x_`>O(7)PZHz$n3HMA6xXkJe^E?soFd-B3i3V7%}2KI7h(TGPVJCk zAFflmYGNed(7q_5xEE=vGRh6jSkC}J{LRKXi@%d)er*GY-~M7;q*0j}IA)}+e<8R5 z{ZKIVp~kMXb}yjsRuV{1M;4_L+$GClbZYA@eAVvrmV_T@E|UmMe{ej+&{NsFeoQJK zddkFzq{^hQAv|X1z$aRz_@eNspX5DVF14W9nEZtr!WEs`wQ!))G3>R%vlG{GhlP#I zOqtjCZL)0TCqD{mexTp8Re7LR8xR73H8CBhSWm_T6< zpRhiriglMSQdyzxQ@Q@q+KXu51CqC6wQHg``VitTuOA|5`X#F7PUE3HLu3!R`uN$FWW|(bJG}`1*c)(W-o9Za zD+Z{9lsQaR`#cp;eJS|H#^HoGbOo}33*xIeGuTr%i)M)Sb>k0Dn4x7JmooYu$Gk^3 zIW(c^wmTjUk*qH8>?RkprOjzgw}x!#`PxaAF;GKurm{kP6#p30s^%G42whh8W|v{$U3E^I z7hF^q=P1gg+gaBMYdph{0EW>s%3~wFmp2N|feg4#7yn$_ny}6$1`RatKW^SFU_%Nd zh*^<1uu`TKOh4$|`Tm-DrmI5h`{pKU&HwP3kKcuHq@@^7;wq!Nu@`MdE29mM#fNRw zw>F!f+VhI`YZr+F>kiRtAxo(oO#if*n#$>YVY?wBHF2>`okPU=7XHHCVm0)Npm5^& zU=HKE`zkHr@*kz@dtOeNLf?-etZY9!!i#wF4raiBy)9!O9uM2cB)$Y=}xyS7G`r> zC~8L4|F#!vu3VbRw6*Xu_Yi?GR>aRXA}Huc;K4d=Yk2ya<1V1sMcI84&${5J=`PIdfkM8 z#WLnj3?dyMx7jIh?MkvsqK8q>Rj(^@MaG1c6%_$1ucdipOQB?vxanCtnbo_vfp6H4 zBgM|2UE<^WalOG$jR;?@HwH~enTyJ-fnY;@Ffw5mS`h0-whB~vuBLM$m*!wFq@5^i zTfO2!rYQ{=KM&oTl4kw7mtI(N#>T7`hGp@G$;*x>dh3#(m>72vd)iwNuZvL zVL_Inc6`Xn$gnC$git90tpgrVj~6{syWF<(RtLik$ei}8rKC}SDMW}$j6DbC;OC_p z?W=rfrG0s0*;BmRNmpanPDw)-TWWEQk(4 zzKew9(6jhAl1b0b0q#dco5Z{Qt#!lVqV&u}H_4s68!{w{CUq(7)-pjH&bxg@C;n-$ zN4&PZm{a&%vy=*rv?BWll3brU`PtnU^CU4mLQQ}rHOBZG73}(B2>CVUV*I1z&qsQ6 zZAEhK2(=QghTm7iegHaBc;Yl+f-7upT-|O~###M_<68V#F%$gN)VO5O6aJ5Mkwr%7 zJ^9My#r^oY^GU&#Hcd44c!6$>fKODKgKW3n`+1B?A0=&ys*yn}7CeHM+_w!_1hr5d ztcw*Q`rY#a5?0Y-H*wD+JYm5HJDp|&EY*92iZB7CZ>2ZjgVv~}FKf?IQ`P`Xp^aAN z>293Plsp~;94UtdLuUI|+$ebpoh_z7i9_Ov^aA4^7qjJK z_v<08kxjJ^NpylZZ&Ce4h9T$dFx8MPF!ovBbRDOl>SxL-my$dU0zNz##@6?}9Qf8a z%6x`S7JEJ@bcwC_=cikXijL{p>^WDxZtJ&(q$2ypBogaWThd!^)$znY7cLE8Nm{2@ z9A;6sY2nhR9FG#Ep0QXm8cwr<|yFYj;*Z*FS9NhrN+S(D1D=rtMp3n%PJH*XGYuvZxqZR3DA^Vy?E3RcQ8JL2_m{or5w42;{>bMpV8 z0ul#P)P!k%(t>C|-=yaQB}tpV)eZKOyVonM4N^MGzIe13c-xvTB5DH5z^0ZKA7;!e0IigwJ*&4i;yRi<4CM&#m;QB(Wgtq;QdY8{XeHI>Vi&dS$1t7 zGxr?kk+!ZMS-y(sX_VVg%CXL+%_4u@3sD7t7&F!3!s4xXq;>RoC8?f@Y!-c4)Le=J zirriiw*f}e?x@lfJJB8Yl{2umVO-a}@Jj_kA!}PIXmjr;C*^rnrca@T^;twv}A>kPaa}rF`vH}8CH5>N^t`m%06z}-Q+#lW+p!>Hj zQPo{UNn3*J&MY#QA0)Zi_}4wD?&@}%6`TMCDq~277|{|1#Ct*{^`QDohZ3-jcu=ty zF&dW3a}OauBD@JPPVutRT#Aa8!-51oRkSL@=^QB!$@uNM_?0{9)aseajU!py0u-Mx zMCP{8D6H@iV~P_pmegj`g(h*)U!QQF%S`WoTB9ct6d>7)FM z^HMSAlLJ5CvNW)%xv#`d!qP(OmCzJPGlp+vq2zaoygxk1=J)WU-OLSw{^O%?3%O@^QDhY`)HC}WWovy^2C+j;teI8)Y z3ytMuh|O{E%fh%5pXhzFy`6}MYS$=PQr@;Kgc{+l04`b`f$JXWCOTRe?{WVkC{~X< za|(RXLJZS{k>xXdT%1QW-No7-X(g{z16l|jAe0WDF?R7+btS}sJ4Yy!Qw1tt(C6fN zerw^g@y!Bf3VhzvH{}bOVRrNSVa6pfh;ky%Po@*tAylI(LDwW6h&410@G6_RmgL!)DI5T`sNL!}m9-!U97}(*(=aNB z*@%uP+M2ixMp*eg^FwBo1}||81^nJd1_EnFzX{D8685Ur8-6P~$?kQbI*ra5_A5z! zS9D`4YyV#G=q}WWn*IAs1-<8xEUa6;m%?)Upz`*{Fn@XH#i~ zLglAUOpvf%>x0ES1e=pv8S|mC^MJRIGz$QZhgSei1a685Qn!eFrApQ-;D~Y~>FnIs zU3mCgM3o8Evcn**O?$)b$q>;5r8utzRXL9DxEiUIF&T0J{$##bMiQS}>dsfjdZQAd z)D_IO-{k}lSvSMw&M!_FFjda@k~z>C;Mm%UA-b2d0^(en&Uefa*1;@`G_sF!IopHN zpW?d;yn~5bxs&X5{e}=4~Px|4VJ*GqIh(_#Yjk zJFe~aUO$$$9H+{69*}wgW_v^A^X4)4_tjX%t$JK5?hJ-`lB1IJHLGLj5j}4B9^wtG z`odug${g*k!r#Q+#_Hg`Kbd2Nv0vY-Y?)odjRnVaw##+}(eAVyK*A0#aH^t)lkF6- zvV_>jYPk1e?W~B>X$si7vlN$G=P%Y={PHsNLf1jZJJz~@&>m`$Rlh}LcUIX zWMs)#GtPFMScHvZY)EppubT-A9Xw-#Fh_cLGgYQ+TmH`bHok=AZA}?HH`9*Gppakd%lMUW(u1hGdSaw9Vqlv={5z;$;{Dg(}862 zh$}`=QNp_nT*T=kfqa-OcoSL3!WKt;g7EY?tGJj&94$63Mbb0`m(P5}0B<|KLf=+A zBWwO2kiTE`^%&B9=3T41dvYUp^GG9gHVFmNVf&R)hDC<5j*`EQAkPP+*{Lf*$RCiB zih5jQK`gn+MruED9-W|l(|xUo;(0|pLH3doEE<=A+h0AlsGnLY=T%PFRvo}l*hJ_H zfVVjlR4m4>2LiBRG1E;~k4C9?yjb|uaHAL_Av_vLgPw1{YCve&CoJIlGPi6Tv;dH# zm5N0_xO+bb!5>mj9E2-c|knZ4JwACbnq6!o~6m=8Y(tL!kJ8BBmY2=!6GQZ z%ZZ)4qkOS>?9T)K)4eTvh5Xxf-bdCyCEW;&0%{`wMO2MK8er zrQ;VeJ}EZlWLnmsfoR`PGSrKuQ4_6&ulgmhrtgys=-->K%bS*thD9g22UF8z^+Ybs zsot%ck{Ld5F0=dbn9RTw)52SK3)RL91S(vY)^QkI6=#Q5|O_@1;&%?)uz zOS#sfJUd}eheOivWGOeLMEJ%dU-RoW)g`~K z!83ntSzm1#^7s!hiLGYI#kL5LivOXY$^71j{;>}{`ln(VU24+k`T^ClcecHdi}p3{ z7173wfcqS55t^)xe2@)wB8;)neQ;wa!3-S`SS6?}8Ux$XDXsz#N`m)m!FA2-ZR$rr z&D5Sf<*M?h%-IX_+xS@15nk~^PW{zSXyevEbm&!Bzy|zZ|7z7$0K-gkK_|c2&lv~< zWq((*?6Un)@l4mH20qOZFQ`IeLM~*lq%fYq456^V-yf|CEKHY%6bL=iO1KDDkUOTN zsX9PKu)jIFa05{suN?RqE6Ev(P&ihKt!1bdW?V z_N&k~_!0+zJj+qZ2*6GycXpo}HYFM6F)~a#Gqwedhc~UtvMf6j^@}ioU`B&eFNs{M zq+i<$q_N@8gy=Ihg*`K>>pK(u63l*Mhf$P_IHSpVCCv$m7*szpOX6pm8gv8w4*hn> zY2>_|UsLU)i`g0Wyqg)D%AgbRz0&=L0`BV#HX1}AW+UJ9{*v3hzBuUq=P`RZV&_+7 zaSB)nqO}K96|gE7AglhDALatM|0PbJ2N|li1W^`-!^~FwhgQn|6gxG^rB17Ff>n^@ z4jsBk2!{MxXSPNl#mvmS5yaH`_a?ALt>sTV7a z5L;ZVozZn}4}uk9r`qUfCeUeFq7z8j1l~B;+SPWO-{%P2P2O-czWsSXT>(3qKv5@X z@QAJD)#cyzvRXzCQnV#Q{`Biw2QJm&b{tN?DU*EdzrRi4T!3ZNb+O}XeHXUFZzo@t z3Lr^NoG0IM|{gDV1HazgPaARIe_dX5Z; zF6&evTA3I7?Oi~a(OZx?Cp6(T^2?b|O~I_%H6zY;bF9oI9uB^CTrc)K0e0kE*g7ol zDHA+YJO)G2OXC3_J4(#c_QRu0Ms45!taI$x8KlaFH_T~dfUKxfgA2!q1rPZ$hhYF(tSFFOH{TU z9}X2M9+zs&fv_{0s9Q~}j-}|dx5W<&x}6K2Jo>9bsa81+Q*Gn3<8|m(jhE4AT@k`|ZsvfG*9e%2{x(yEeuoB-b-l=CZYAiKdeJmV2bj#k_}%Hfx=3buN}( zre}xGhh4Lb7O%Ty0}*8}a*mu_`+nnu1QSh+SeOL@s7W=b+&0_yR#o6ABIv{Eb@1}oP~%qWw=?jhY_Yd$ zS)T%2(Kr3!){BYgqmnaVf+;H39KrVk!Er-E=T2tT^T*T_(byJUOsPe z#JnUpepQhPDP7jm(^&HIEt+zC;e@Tk&ug+;{bYE|6qK+cvOaMAi)ZXHzY~4}N6ds( zPvaU8SCZRvpD;v=Q%BOQaa^_>-+M2uw(+$<-x8DqXR5U57L3re-aC$4FuSwgQrH7U zI_+S491&`br*OJ7`M+nRzsQ{1{uN!DKh-y4Z*uP>zt-XR^pzQDJ9KoU{JQ6~T?L)J z`puzz{X4R-WpLP{E(?Y3S(agROiLt~t2RpOWig8lXn?nIGllHRDP$}iL)%coLF|e9 z;!&^e7fL#|W%~Ny?geV#>+SEtiMo(GN_<|=>osJTt;>Oa0&jQkzlrY1=Xk}Vvr@kv z`t-9<(!ex=;uW6^ilXp_IH?IA=f_MZ=`t~g;}M0@Zqs6W$8Hxo_dZ`2uRvnW65oLyRuhOs!tA4x!s<9BTpw(*%~{hK*Hw;(@{aJ2)}QSPh6lZpjseANPHl_ynv6xh$!L2Zw&e;-?PfG0cj3rO4@vDEN6 zl%~qinlk;ESIwzpO+n-8rz7`MLl~Xqq=A{g700r+;;KgE-&%m$`LW}BnB|camZZCg z?)euTV^9Z{_w8WzWR17xAUqitbNAREuhU0C~t0f#<|$)gyGr*Fb=SWidV>uv zbvjVFe1al}6Pm5bsfx_ZiE8Gyf3IY2n!cNK=W5YjzAEUC2-U*t#_g82A6U%hA_$FP z$ZlH}Tr1P)T+(Yu;dNMIfl!xt_&txLDQpF14*}RJEArB zDjwfe3?+w@w=gf`y43)NX0fGYx)_Z=j`4=b^;4Ict6z@1$q+8EUIVaExN;2KssAqY z=3vq&q{(q&$L1l84Dq92ma|PC5r2DFAVuXK)H#dBq_!LWFbH5!XlCJCOI;gu3=S)1 zVaNz@CXPFIdZo|gNp0bD-OKRmYjHKVK0*{Aa2`SsMj8Zq=BfKqaLw!c%`CLS5ig}J zwdE{Ix`j4FukpYS2_1zg`o%|}4b5+ssFPNd-Y;n6WIwEG%Li;y)8y}+go-1zM6p>> z@ifXwh-3~MFxj3?9Uq*>5(d*ERq987p{TKYAFxIPiv?wk92m-1rGuv^sqwBA>KD%z zp_*H}F$5leNdQ&lBSdfI0Sm+)gFYliC+GQ*l@-An9e+ttpxvIK8!)%iAeGfrx>E%~ z)I6n4z_CByas|<6m=b1Vf>Lm8p0pF)v{Br=pu;j6J;x;LQ^gi0RSMmUf|m0g4MMwOQ>|N`csH@ zY=G3b?vEQUllo}goT$~Ykn7asmtevhLl>Dh<#dz-WMf;TqtkDEm7 zO~XbR#8&BI);T$*3Y(Xlg%*0N+-iW^DVIPPH458xpWpt#>NV&V(fV zR5$j^>V6K{e^9HcN_f{LaBm}OV_>)~uu;q(Fw1#%Dd&^nmAQ(npJPPk zQt;C)Z&*qrh}td<6EP>PNp9Oc1sF8Cg3u28^An)N8b`mhwd(Mq3o9j+i0D zTn)}24d~Yu@^PS=T?CY+3m*VhMz$&FAujXF5T`y=P;*h7(z38fahiqx{%?{)<%;41r*MUW0X1ybD3zVpU&FdHuX>OKn7WxsB2mcdXa!&-vz;kp3E z9xtY(H!m2Iray|~L#JD+=)rCfEkNQJ0)a2^gZPj{vH`o!u3ax|ZK?2G;l;zgBku-^ zh}j9wW4@((nx*1#?`y}-C1yqxt*Yp*jS(LmXX;}=V`LQzn|GT`EK1S}8!N;(Y|_&P z9X8LjR2}VeatD%Ej_>cK`C5(W3S@=k2t8c8A)f_|(e$?C_om&}nx!y^%M*_Mt4`pn zNxWl>Y%?hmev26ti)Ohuqvu}vZSW?c*v}9;_G#6ovV$?o*CkaxLqR%*>axN~{(-ot zoKBN;l~opKYTqY-!U1wt5y}pab~P0dUVn`J4PbOGGdsL_U4SnxQN0bKKPAbsbg0=d zfA3jG5Pg<5n+0U~8LJxG6lS%}P10998Sg%vLG^S{wDlWsb+Sss)Lzl!1jXW8u_x)v zxw$odJ0k7Lwf06OENa6IvY97J_hHI+VTX+=RQZnaD-M;NNNa_GKKs}eFnXOoebuz< zJf!S*^G`b(1IGAy)DZxuBP~Z z*&kfNnL)N2P(T!g;cN&)4l((xQ<#LT{9n(-$utRDG!`>nj}i05emq3aqbR%;!n{G2 z9lum!x$h$w=JLBO^}aFmj3vKx=qJ)iOjs&XUEX-HxiFcrAFN& z0Y@KmK|E8HK(`cQ`~)Nr3A1ZbTfp;^K%cYFl}=ZFP5q zxo|Z3z0*CIYmxO#tCqBR#n)JKzPT6sfE`;iI>$4y+2kC3gY5gG>VRo;Pbqy^uv3j| zLXpJfn{74~YfNJ|QtsFQ9F3h^u<-hYtFWEAx>SZ?J)8qktm=%_@q2=`u|cf}Ti9l^ zMJ_82Nynm|WNBWDcKx@<>L#3{yz@vD&xE{4@a)DsLrO{5a$}g6_HCc;L0j!XGlB6Ghy#}_D4zb)|n!F!SJw$&2O%u_rL#&I*=nmkwf%lt*Em#@4 zN`Oj_v;kKLks|MgjucMe#RFZfKiA-BmLa?VJ)fdA9qAU*5bWnihuz z=thWdeR8TUMUz)P*8tT0bzaAu`zavjaV4;b<8z)U(=%;C%Ug44G)cm)rhvMO)*uG8 zng`CXq|wG!qb!OJDnz)%y}F|3bFvYdX)AW)#RYOccMj#@e)$yd+L#7v3In!-tr~)HQzYxRvtgtnLz@ z>rqAB1{^hpytoMgmfP-@b~M}V)QcB}-UgEz@4wprF>?6x!5#+-*w^0DUKMQ1B?t9J zEX}vG(&Q(4QFSx-raO(U;%ijFM@d0F*XH}j0P*sxLwO8T3&sHki@P53=2`y|O zj`FJQrAQ*DT8HEemD0Mc`vk8iO0|s#gcIFt$d^{^sjVZ_NMy-bEzUTL)8F**n-pZM z|G?#4H#U%WAGLa?iRjVAA!if^9AQo=Qj=qiH8-07sb}*m!=0cee|=Za(m~Z?m&b_$ z+`*Mp31v6Q!sSe;bKC)c>_wY*w%FkU5NED@F5Qz5(DdPa5iCU5z?55E26! zxx!%G&vKSj=s+<8&G%2Ks<@^>j5V5P{Hrf2GUQQ+z*kn3%#a_1 zYvSwJFtQnsq^@~!?|dza9|a6^#^y@peNA)1QM6rWOu$qgx{j5)K*tx@N`c1exmbVL z{rz$%V(!-v@cR_19B-5nQ@`Nj+FEsDOPN4cYI=bKGbE$AWIOBzrdlT0Gq#WfFL=fq4Eseu=cwznl%i0L>h&H>TY`B|$j>A|BNWI0P6F(<5#q>S ze951g1;3NbWW1Tdx8?TFPIYya*W9;ybSW(u+mV*N4*r+Kj= zGLTNRzq`E~M7@o;E{Ijw)=e&DaG{?-9E9fN{*EWx4P~hkd1L8_ELO%-J6eH5CmVmJ zn_cO;2IbImt@=Ggr$jT@%9Y>O&wQz=1_3=GUQPt;gBH3yje(2PJG}dm9AOzueu;B_ ziHHaD8B5%=Z8%(vi7S;Pvr}MEe=h`B1G?j^u9WgJ*9N^O7sr1?dA$aJnd@s`=K}KY z(8~}ZvDo;lAObY=iV8|QpDvU`V>t%WznusKc7bD&OSce$@^@^g`Z!!Wj;>`9P09|U z5;?B&g8%#|jTb_2*23Rcgdu^lVJy_$-f(JwlW}AwQ;{zQEyB3Tpeg_Jz=npjsyE>0 zuihqwY>aFWber);-6r9--nT=d{`0Rm3Yt!Zh{L#ergeneLvtOhq`?6bk08Iy0Ph{6 zQpUJu|7~UMl~57t=Xlk+39}b6{Q2zmLnfF z@|?AE?g0I0$X644Ao!k^cVJw_?1!zjOQX++7{L*&Ik|dmn;DKI95gLvq?nalOBT>l z{;K;r^CrpyxNN^ZIPLWu`}9MjVI<6Ur!9-A>#EN%%a!iUDyBb?!CXvF!^n@Iti=K~ zfzFf1;h`rEKd-dC9>LteCLaU0s{Wa}k~>2M5Fy9#m+6*HV<-@%5)15F=*cBkH}v)iNH{$xs%$t8UP#yb?xtEl80zhO}$W?L_i9 zYoJ;<&xP)a0+7XI0^8G$<;I9ajEnAh%1HzR7m87CaU+_5*$-ZYq0?0Gx=?M*;DU3w zIrAP}qMzi}+bxcqMp);yz4@uHcIGD<#7{&YKdsZ79NuyM6s3_)bKBDdg04mDD1&%) zNr6Ip6GZMv6qEIu!7pySg}wMHQ=dE9m#=He1BnC9&FX@HyTLGP?jd1Gw6K^^O#+NA z%t@v`7Hf%tLxCt&qb|0l5$0ga9vw*3Lz${1EY!;FN@gpID8`U{Qq;RI%4R@_s$!Ba z;;y6oeqTITcq@MKcN6HZ)q)MloTPL-+lphCtS&Z`ijfLe=EGR`8YU*?5Q$`Ujk%w_ z9lSnB;2khq0xaOIX?rLL@qSp4T{dc-2#{o)eX^l(&~+yP{jHSP_U7j?dSXz9LlG7$ovq8#hPulsABBxE}o6>;dJY5Yu4% zp*#|CVWm(EHq8hbREj6sSEPe8smU?I@2humd<3K~W^kM{YZy_A6l1Qi`+2k)h&`aF zjx>cBGmQ1(L%g#qdRYrRWR6yIlD=Uo*m1|%#6LiZ$O|ve2n2^R!C_t|3+wh;j7(xO zP?8y=bufhb9N=OMTxf(2YhTviF`!>3aw>LsA-)%RT2xX-Ew|j_uwG`eZdyI(|w~s*uogp9cxc zFLp$h!W_|>)hK%?-SpgZi zjLrRQ&|1dQ3;k(;E`}t>i)0c@1p$WjHI*V2lq<7=b<3#>id+pOaZ88sBf~S*~ zeM$;kK_9i{jE-f#wu{V#g<#J}3*LHZlMnx3|3*ctqW)km<|IKqamD@9AYPXK$a{8| z&E{`jzX*n5t>yBr>s%iAii{uIP7VYn{zqXpkP&9ObU8|XN2x}4JGq@YPT;SsF4+ZY z5qj^MY)9uCT;t$une|jmzza@sRUR5i9+#2obV2lE35Gz@A78A1U^v17wW4SG*vueG zv+GpfBO5JzKFezv&GW&& zP85@%E10EWU47(ajTENzp#fUz8#Bn_N-$$FJ_L*{hpta5Xp)l8xao}uWP){_??7=6@^-|>WLOLN-LBuulK{zGfCJ^Ua?Pn4nfD-sT+sbN~5 zW2S$IANM*=j_o)Z7o>MR1O{x<#?T+SdbopoT`J3#`s%I-`}~@O6`KHm;E~sEKR`!W zSLo1Nip6;7VVCJSs!GX8)}51ONhcVQLCjs4)sz%sgl2eRlu+XwKI_QwiT|ZS0^g(LB-;z?X=$>D- zh|tte6^ZZRW>u1rNuUPcp7rNoB)Sh zK=Yrb3rxf@6$6-KrUNjZgiU#}E2V3$56#kAI&&jBtep=gxCmInlwglib)Pkfa1+0V zkC7O6$mEYNyu`Q6DbRX{_A0Ev-s@`2u{Neo4A8NpTmmLYV5b!(Q4j5mwA zm+amc%6}qG$JdTt79luji&S8iwve$yX=l&GJC=#|L>V;-p(Ce-ob~z`UwO3>E)DZr zsky$bT7>RWy&|`bd7e3lKK~tX;1+t?>1kCt_U-ZX=-bSm5_})(GSmwH2#2)!L5L6e zp|UFlWbfU{N~>86eSF8eXM{qXgh1oy3pW=aB|^3qFRX~tlkJ8O9b^W+YDsf2!j%kP&D50$JY_|x~XF^PXJHJ-u?+G1F?#QmyQj8Ob7Ddys zvJL^sTe1qEgbic&*V~Q3TTgh^azd6yS^JJ?R>0J1YA)^(EyytjkgqUyN4PU9hR0J% zj!Q@LaYO$hN@)+ZgdBbJcL@~j;Skk7C(nOL{92%f9q=0*F)*srXBGS75|lumee6j~ z$0!1I#hcgl4RzdGF8i{Cb5%dy-oNzLIY7!oDKM^DDprxhO`EPu2v#qz11o~u#V`UT zBCAdO(DI83mjA04g=g}9=VxB_v%J1hJ4g`A3A)B`samn zuV|Q^ov6f_)Bz&PA)CL{`)!)GPY?u1h_EjvDLQkio}(AkFi!Q^<)Bq;cG4M;&<85B zlmk;Ogd8Z4*lOalmIz($e!A@Z-?%X*jlKD^i0q-4FzSL^ED?_zbe}(mAHTIsH_6|y zOJ3nPR6YFLW`hrwXQ>fdovF7w>oY716)Cm#^ajP>c@KHInTs*r8r>IHJO{=Z zP(D$>FF`u4%kxC~<`sZIAc2a3fUz)I@&RF4P7qVuRNm@BbZPs(Nh-evwrU)sm#VI- z_KxVa$Y)M&&~LBv%~?|iVH|FGzTYUxqDroqIC9&4hEilfM42xi_V%m{e?~(kWY$95 zyE(OB3^Hl&e$uIE{IcoD&@ewzyH})Wv*l$}QGa(0+Bh7L3cB27?uWBnVE0XI--bV} z1mACb^^COVJapn0-6*bzJtR*9v3QTmk{Y_V#G*podM1{Z)l6FD&U-wtz{wWg7^uZ) zAoxnt9i!z%+JWDXR>%Z#*UtaKusijLA6{VVW~$~+OI9A235+%q4>oO%`SV%CS4g7Q zb@Ueq-on}&TZgsoZ&NCjJJ_b1TO5|nc@tPpF*nMkWEn(cpNK?i*Z||C>rL0Y6SKrv zzj2OejAYhf#0b2Hts5Gp)Zcd9&_LWBY>65C3~nADJsrwS&*FxjZHbF*U%T#geBFTu zIrO^zdciic8LjABkAq7)Y!!rZrOV88ClONKUgw4Oo|LwluTF<1$Y|v1U+cfgl|gUe zkRc~A1DSQ^)l$XjTi#m@j1jl%eSW&eO;1i9f&Run(A6bTjnf5f4?b$&V$OIWqz@ME z2yt&F(-DYG2l1h$x`28NYcS<}=)_qopTw&fNm`^ZHW-? za#t&4g6`?krOF362dYGxobD zC~!97_nyHB$&1tT4lt!}qiSd%4sOAXW}oOTCVKVqGR}ksrj0M}Je9yLF&t}w|5wOLW1YrLkw1Fvc>UEx!9&ctYQ{yd|9)z5o$N>w zsOqZj$uINa@QmRsF_KEb|7S!`+u24lh|rk%PBgyXQQ67<_~i=MwbGnUYl8uH;;2UV zu*?SY2B+7FY&k7Hu&XD+X=9tDJ$}%yG+0_-mMhtL@zrKOul>GoCmT4x_R=!BOA!T4 zPt_a9YmLqK&mYjr$mhx`sfCNMg9`SaGkA3yOA*$*dVa(_J9{q~5MX^7BuFN`W$2^m zUf|;Hl|BTYw{f-OuMU}QN$d03mbi}id}n4{y@hAn;&BgA@L(QDoX9qoa9^|}9q!Z}3Dog!IEnMtJg9vjtR?n00b-$h8!I>GSfpF7#w(rjr&X3B3 zU15f~C^UecXm+EO58c;_CVr$YPU?CGEQ(`tvS01jAm?Rsrwi$6WYPC7aCU%caUv#$ za$R6yGu7RCb~Cd&M+BoLVlyneDz9EvmKh&At2qPLwrObNXU2d>C4qPdfHcW0N_g4j z&`ReVw27%i^T9S2k6)P3LQik}eG%z!I?F`0*HY;Xq#`*Ot0rB`jHisM6|UnqH(LB9 zI<18gzTK&rht%_9OrY~ZV{g@C4s||p0c&)WqGoik^?E%A{(RP7OTTJ;C!xy5XY;Mk|Y{tY5Smq^9x!5J{ z9LzYT%D6(8KqM=ZL3CLm;a86km-FER>*J4L^DtEVua7aG;;aV8{2Spb6}FsHRNz9P zMD&q{Glin}^_ZoBzz-d+FS$HOS+9AsVQ2OObV{F3tPEp*LzDe+Agn;&s zhKRQm^8KQ}j^-QgbB*`66~CPnTPa7 zNVc!-=%^jEn$VE^{Nv`-yJ0M&6k57vEZl&jw9Luv6{qh<_>Rlbz9UfE)Ab!mux7Ne zpbo6Z#dJ`rTx;qN*~83qK=`C5jb~FbwBtVT{Gynp;jd}s6j+dogvEGjoW|iUe_AMQ@B=1NITlK)>okyQ zrZL@DnGk|bG*p;^PUfc+nXY{GUAwrn-o9MT>(O4)$y7FY5#FTfATcuPAL9$LuZs<{ z-f^ADu{aZnp4V9tg2Qt6Oc#~P07K*#!iz;18ydt9%f+z6SLy(Xz9V$XqA#{j_9 zj8jHxc5c{oqpWg3&C?B0(%%rbHU85%iAA4*iQpg6XQK}fH1p38*WgNAYTORo;vGlN z*+iZCl}t+@tWmCxj$Bzg0Bbpp-{r7L){Eg~pj5xE5Zi&GrC_McJUt@Y2LD2|Zm9zs zdTBmB1r5$ybFtM*z;75#sJ~>|8cBVymmsV!{C+MEZF=D!X~~v6IGWXgzx!Z9m1`J= zNIX6F%$Wy}B&DB!Y8;QgU{VyQgp}5utWNHGdHdo_mdDYc2yKCYQzFY){ZMTkv7tRk z+ILTeqzb;YWJPG|!Ktld;DC&jrJl*65bfnIIo7vCjD9Pl)J@QYV|Sano!BhtgD;U- zSWHB;P`n<>Snm#e`2GZ1Y9dNBCm@7m6J;)V zPbm)o)y`xhO>J!Y%VEgH1@gpOHIb?9y2VmM@O}+(3bJFeIR9c0_yWXZl)p=HR9!Ti z14aw~`9{q$uJVJZjFGSQ&K#P9iIkW%0r@?P0JmTTR_B7Y9pRW+`E+BJI!?99-_>(^fWDHWMJRbGC}g=EU-BI>A{pTd-cta*%7BvRwv z2sH~Pdx!#P#mtiu0zRXvda_1(pV@riC;G5UTg?Y0I!w{w$57$ZjcslrSNMQrj>Mpm zIe1mkFmdoe%%?bC2nO3wdtC(CAkpKI93C=5HYkv-lx&8)U7?6{9iP@ec zmO8MO6Z4QWFqqy0;Dg@Mqc$N+Qod_q0M3xnv$#YbXf5}qcUgRJ$e{3#Q}&d_0tcT5 zjf|||Oa=6>LHn189!1%(GznZu*9O`TrG?4M9n=^eJJKx`>cVh0F5D*M9sS7ZgjY;K zgcN91Dg5z6Yi&ZklK#RIVz#;0<7No*lSy=uc0Eadz$#$mjs<7y;6PzAatzY;ML-vJ0_&N00P+hWA96t`t|@jqL9S`?GL z$2tR#P3W&U`3?$KXupOZ<`0!)9sRvuX-E7sgxveNL8Q6Jb|0Br zhDGK`Y>(yUOg7@mj#Fqb%NzM!)t0?@uT~0J$6?JZlPO;|-2#=heUJ?N#=pQ3yrAii ztvEd0NKCBp<)=Y%P$HQnH0NZiJi{mT%oqK8mJFGkCb)CtUw@jx?x7z`!Z!E#4YH;S(MZlyj;ZlAPP!+)f^d z&&3{Elm34!yE96by$ae}yu0wzl$gQV__H*-304wfP95Tu2ja)VNy zdlOOglOTN7r4U#5jOME9ywxK5R{SPyQ+7nGh{THbn1Jxd#f4lxe{CGGL?Y6Zn<}9Z zFHZUupsyN47N*X;NQAP1K;3Fo&`|ZrvzJpmE@fukBKPxZIPte?2Dc=aFw$~??N<6U zd&t{{JMPjd7gJVb2#g!;?d%;F<5VxM8%L6f{KRIu%tj#Rax=%R?4^7;=;R9<6u))S zG#O)b;FQ<0I8~@WqdJ(&ZrWMU5EYs5K3XoGtuN0nuyX)N zHG8lGURModbU`&cX;xBI0V~_Lc?drQhr4)WD?uDX+s=%yS0YQ6=d$T(>`EEvd=RC_ z_*K`Ub1y_`43nMVRrBUNh$bq)m(13)g{X0n&m2zKR%~z$yThkG!nS}{wc~hlKbBa3I-oj|Rt>Y2TSmQ>kax7M%Lh1gs}EsZ(qatHI

;t#TAB>(#=5EEy z?A7H+)Op6&cy)-GQMZi|YjJf`=B+x%9ZBDxtCP+H0=z1Q{G@d&%DW2N{9sMrI#w-# zb=L+Uf%p+~M~8H47|n8+;uie1#8z?iNN3kghmcY6F_y zDD;Q0;MlsXp*uJSND6)7HN<082)7Z?b-Q2)u@T0i4io^=e`y%RpGk4i#C$oc8P>>p zF!`5R-Ggam2F2f@z4Ylx1v3E@pDyh#l}1vP*dKgEYZM*TBImFi4mR&_ngp|w$JryP z0abVvt;@Ez@w$F4mz%TmDOHxU58JN&8fWg3TTZ{eqN#KnmR7I<*R<+c_)`*3ksc*r z6h%0Fu0{ET+`Sp`4IXX&M1T@7)B5T0Yyb1{i1YdjL8(U_pgs`Zy%72nR?d3@Mo+2H zHjfBTXEF6ODUH=vZ29xy7-Wq7r*Q)GzJXud`v=CR>MR`yzYs}vLF@&8N}=kUARwM7c8qeuB6Rjp<^ zFEgdHK*J0C&j1PBus~AQTw+5qavz?S`_4%*CV|)4 zOl{;I6HRfdF$`b{=L zH3$K%Fp0xXji`QWhZ`s4gmUk#imD>5Jp}Qra1`fFIDe?njYl}S{ezL+Ia4~DI7&*- zi)Xv7!6|$}QRan+Tg}yq=JA`50JZ2RN*J981MRm4izayrX*9$=7a30XdoD2OaB*DRe*xQ{BKbQ_*M+493t&cteqKp+z559A-D z`)mlWiAVJ$!`uQQIEnq%WTy$CZD4|cXoi(92q&y*q!YV)e#3nv`3z{DA5*_>aWo!~ z!Q>=CKv4hy03<***i|M%LL=_@yQB^T0Kk9ef^te6`eu#}Iu4Fb`n1kU(LFMK^aw&% zpJ5z+C`h9~ji*o#^BTW_c2E1)jFpzK-Ed7m@wh4jnk$&w?u^fidSnJUZq3A0trn|g z>88qAI+q=qm6wA%2h*R}QT%s*N!+AsT1m}qd+CB{4KnZjw7w0%mjMw8g^F^w$(N=E z5}hpGl#zhW6vPr^N)hr*-L@@&44+*4jF72fm+0@TloUN1q10GE9qW63G=ig1Un7`T~D#SV4f%rY#zmr#@O zY1o89s7P7n`Zvh04J=LP|2Im{D0TnMw=tr>je_*QjbdtOX%!_e*+-8OxOGQ$neRuV zY-l+#S0W4zHuU?NhS=(y7Dim$+VJDa*sFx<**X5|Iy2r#uEp+_Jp(dq!#SRZ2TkKy z!2j_U5P4z0j14kmLC`G^apCQl&E!8S$;Yp_#A3p5{4%=5r!DmMxcWPfoOSA@(~84j z6=@c9VaK7EUX7mK$Nvu0C59G|-2h6G6DZtmGMjqW_0>_&350$UO>E2e_d!z0U1BRU z#!+;}0ulwa`Dy`0d|zHz!m&;Ob0ss-KG{%Z5|f6eE0kI_q7K~vOPRD(lxw|cF>jvj zDXC`F4`^6)B6SvI^~`ee50IQB5HJAnKkY^YE2hW!+dQEl006|diT;uABB06lpEE-P zTUu8u%YQ?-)af>BVn6^-DntP6KlQ%lzo7K*?7y2Ht&ySeze!|oodLz~+F{@6$3NJ& z{1=%c0rWqlnYDraztIUihX%p#x{crIzm@)jH~Hd8{s(GrsP}IYmyu4e8XEw>Q3e3u z{z3k)H2H>0{Rin_s%LBTZ?>y5{`>I)0GZz^|6A*SU9hp~f3Pn9`&MP*0#2VER9sA$#znlF3z_e5U3;yl^a*|-+ z|I~r{J`lcJhU_#jfEFOkWaDCIwj=l=j?M3|!h delta 7209 zcmZ8mWl&trw#A)bg9Il)fZ(pdEx2nS3=YA91s`nCAuvG(*WeJ`-60S(xVsJ>TwYS| zy?eiVyJ}aT?ppiw-qk;P)mnM^*tb$d8p_Bh00aaC3RA z5Wx@N8LXI41;fftLF=2-e!e4GeTd$anfVK`#9Zk&QAInzW$yAYWJP8AQQlki zCQY&@0sFYN_~ch=_q*07E^>K8H;#z07r~X*QWs^`Fb~;kmyET5(G+;9H)U1@yX3ZX zqwr^oXw5I`v#PQi+wP$(YBFEwp%Da-2{ugck;zApg(4x&)9iXHk0j_yqgnJTB=1Jd zf#i0pq0DF+SEhnuwrl0+wo!sYY;RsbY|R`sI><)!*p~kq`J&w>@yw5%CYrcS{lw!| zHo7>P+clHQjDoQ*>Zo{o`SW0?)1-NG_#K`nIk<0&(Z{cUjA?A{`;xd}gU%h=f8If@ zwr?ni4;=wvO#lG_=g-y1Xy^)?+qt`OSXo-bjUAmggz%qMJ^;_w1MynoV4gW58MWJ1 zf~;6(H&|x0`idHa3-AsJQM3(|*mwlE}wir81$|zA8jB8hZxiv2p zNL4~G$LdFFP|B}WszjyB|Ls7Lj%2Wou)gVPsmZ2XV|^u{w!jH7(NhRZHvh_$H9@8+ zvc(9ZW7+USD23NaJ?nZZ27Lrq`1-Cbq~ThE@4vnSglvbz9_zv*j4U zuJ&Rb$_Jf!=!iw^wW@ zFWC$JRDP65H5Z$FmC$1ddW zb;MJbZfTpSlpyChOowQ0gCR~T*1iPoeZbNVo}&!ND`=3()JpS5(U-cfkU=dK&!kJM zL$-u3lXHikS)zvb2ww*Fd%BJLNRf9~XIIflwGr9n5A#jQp%D@UX5GtgqVDex?;+wZ zLl&}3@wE!RWMwIYbO!hq`8pHUO|(hS@4d~$3FHw@l2v`aPNZU4q3Iq|FWwasV_E@n zg29Sx$hbpuswU`ZWgyB%a?pfS{@c$nZEG+658XRbsPYzh>=H*(Gl>pUwX``8MFz~> z`y9OI27-pdG``-uEvB;3NGrb{=H;~J$o1MFv}1aI3a6fbVOS>|digb+>LXZlY8l0P zn$J;N3f1SL?Ji^m@Po~ZI#Vtq6)i*D%CR(?HFlZPNLQw?JJ{(aEO zBYAI;7`Odv^V+G%Vq#Jn`)uFhk3em>YirRcwu`6*crrONvzAt(uaf-dTG`siOAF$&-*L(ZbD{rD`LL#no zR&Aqq*8lmohv9cU&G^yP<3QHY9p{4glcyuPx^U^bPavVx=MNyy^hsYQtcWfZeU0-s zMc%}FUaDDJKb%A%MDcq%KB&6FN4xAUk8$Iv@*rn^v8DpOaf3k)t3oT z3j%xyoU9+1m&!JFU2006y_Pia0PEI&AqSsc2$l}T4&dUV{2xST$EC*g)@y0p>o25c zLk)D~&^b=;_7tD8`vJov?l~Lt(_gu|J|A%B=9binC}_F&v1Od# zbN`$)jK!C-AZV&a?iFt+-Rk=S-c)b&r}06-J-(9U`rYhWe5SNy)jq8t9Y=%vctag( z0EWe_4e4~cNgKMfs;rMHTS-CNPC-A@xXt~!{jfh6w9olZ3ox3?xBWgrLU=?3PoSnH_X9SB z`BgjscSJ7<07n$L^;am?8xj3rt^6W7-oqb7}Z-Paz1|`%Zhg>qvQM z<*`1zm-CsNT<=`hXGO4c)~zQ2_g72npx@wJ1~Ou0^b1a+rMx!y<;eZa+UTCg&*QzT z0{#d!y?MO)k-K9J{&fq`_`SIRuA0<&O@5ES3<-4Ru1Pz1dj5TU$Ygwx~+T zQ{X<$KOb9InG&KO5-qUdjWXg#M9^ObRP9myI}if_L4yDR;l)26UpHHzi&dh&34}tQ z`0Dq`*__3P4<};|sQ@NEIFf4hl@noj()ih&Y1$n1tOZjG3%qjPqeU5}n!5ZHdR`-G zG^rJkD~-C5567-lSCq{scC6FNQ;N_#on$h-3q^?_tSbSLU^1usoD|1eT^;EKeWbDl}uGU*GtPuLeAvh~jrDUpZrP-1`j#WF!QysJzRO?ymg3 z^3k|z`IH89O#>XtU73NKm-IH>Blcrkm^{3C*jSpxLhat5LfqBc-6d&$b7NR* z%bzcTiAYkKirQjNqRj6vfGAaf!DdD%{bk`zT@)iLCXj?TAEJz97g1@6H|$Fu$Vk;R zCU@^S`W6>!VW{#uRS22#;JE*rF9{#@cneGAv2+SR&3eJ_WO7f2&&Ftn2uc{_a?_(RoXX_6~AQo!TA=3YYd zjC%_YQMe{raGDeSIN92=05qGcf8$Gm>*sKy7K0@ySPsjnTTf^{Z=j^O+lge`__YSs zRrbm|kQ5idNj{5u!-7rR78;17?Xyk#wGuWKs0}t$>=f6*>x>m8qSxbU1Uu_@iJNeL zlmq5>1qd+IO}lzaLc@&jezb>KE(Z=9#o`iNJLhBu&*! zHro%dsvpLaNy=|+b;**srbZX259?x>S?J{Hb0>rAIieJhvF45{Gp$$dX)Px;Gf)!D zxpIN?8i&5G9M!UHq0-^TMRaqMNat!(gVk&JNEbX!8W!@CHZ4$&%~a0yR3!{u_W(efM3CwC8JwT%cnk(HO$YycT4-4GguEY1l?G+>vGzTkDM^N~%#`U0QutXRsTAn2wM8f)rcsi3 zkgmX`#b@no&&EAkbl*_a5p^@*CWXh3K?Oh#YkcnR&<~U%H-qaJG2VUa-%!Mc7}C4n zxmNd)FaX2)G+ePGy4a$1RDBB!yDoee?yp$*qcj`C!S)%RO_bm<>|)JA7Z7JO_E7yo zc}bAki3PWebDeBRNeuHG8=plzWS-eC2z-!{;wp~irX@lS=G77*3GybXG^!(GtBlY* zJhjUoCmy|J{jS0L+Y{y32GOspZygbB&^mU+vRsJm3PG=9E11&0eJ_{=1+SSl*32+) zDBa~WpIQ=~m1FJ2Xmg;b5NJ2JHNR7ajZO0Hi(M*e{{f(GAiZ|?nGs(0X1GaQ&vtCY zwnD)Hw^xGPw`Bcw?z4cgo%6=`U~K=;*j7&L1ksUbz4bG3>1BNsk@Uphe#G=Ct|pZ2 zuLHSMx@QelsMDLBYEQw{YBzq0d?>4(>Mw+GUDGunU4od{!*tfC?4U^rIpQawIaE?i zalwof=XFiCc{tWeAuG9<)am|aJFOoITHzl?dU>U-E;vQ z1SAf0(BQ(;p2EQ23!~Z0r+mO1r1nG#yPFq4%;i)^vhvB=2g0as-o{!x9c9cL4uXts zlR@Lc{x#oF+?1d7CLl+%UIF>;Ps0mVVnVA-Y?F5Bw#kL$0Wmjplwrf1?ajm&GG>G` zAG{7zt}omnrVQ>Or-u@lk90Y)Q>>v+kny*dy3(=QiQ>w8%AqU8x7{xL=ZAiEG@T0m zshpefNJBTlSOH9?CmZOi3=ZvQ0 zXQG8%VCKls^Eq^@&@%Z4?gqiY5Xunx$+^BCf!Fmaweu`TNB7$sJ_(=2 zn={rEH|HQO&%p}R{3*@tyB_x7!Hh22t}_I*vmx3;iN`~;xZN!O@38Z_!q5i^t=Aze z&QD95aM<&npxMPf#o5j^pIOf)d^!3N&B1hEFwhKH8YDQ5AWTcfz;%2gxVAv79``f0 zS3Htdf((Q31{4x{C#69UMp_ZInG6xKSV!M@fpb7V8t7oN9iXUplwh_!>R@BlfXaF; zRat$qXgIIj^@Bs%ShEr)SG%mDmTTDu`GM4{;$!F+=pY!6OH$_0W@c5|#+Lch5oPyu z>uI0;6KG9C`S#@&rg^gDug4POy_wKy%VInL9i z&`Il{d!hV3VcDO`8G4gc4b#(`4SgpoTIuGk#(@s6&uA|DC0A!0miTS2>IOnYU7Tw* z85I>ny=K0!LdUp{tGc<+-iS0aY1H7q{vH+<92JWe2bEA(5+;v&^MEcbp#G>h93Sqk z0n+T=ILuairxnT0nZeyWJlw5-l0X}))WdxGnPD^%e>?^7+D-#Yn~xUUlaSpxl?#1F z`X`(5QkzMPn`{0!2k;y|F}x zrcR54WPa|H<%NOBsfab>X!e-zl@fnQJBD&i^{!4p;jE5G{-p7njQD0JKOb@W&xjb@ z9ZuX|uSnni@}Lg*1{Zr!T=l+z_Fr6Br0?$Tf_{U(T|YdKUHsWQc028W&o<=j8qtC^ zvi`G6(!koWpMUg`!9RihPm1Q{ggA&Ucw^w;rN=EKCr$fja0{68{GDk9&ADQ~kc~YV zDdgqSB&Qaaloz%(Aw{P6xz-^P9kxGHoNt3_hawC5973cHx?`{=OQMci_H|w>;k8k!XMOrG zd6s7xu$3j}4rZLuoP*$k+p&r>nfPt5SsXe(a@>fVbgI&AZGg&oD}JU5zo=CO;HwB};PNQJ*!N1+2fbWJc0;&7LLNFxD|{V5;cm~$x!TF+&2sNoc-(h= zSrCsjoZ5UAFRnMoX2Rj}a|^Z6$NXETI?21UP|vz(`U@%R2Ss2Sc$|mwu4y6(h#fyjXG^1Ad1M&LNKvmD+4 zRJ&f(*OJq_qcM`1rFt4EU)SwB`M6R+Qxq3bn59Rz5uVKmKT9MMDQ{>F($>f z&A3@Pxo%kXX!Sj%L?#}MDm-hJug$AMEh9>&V6O{`!Q6AjPX=sE_L2K_wOf$ncA#5< zY^t)mHl1z(`umkmSC}cHTCCWmj5)gv5Of+jM-?aBrF$`NC4f~lJ!h21MKCVKN~5yj zT9&V{cxceOwSOp8Edw%(6*{NOD48$yLVIZlyWBAKcE11Ma7{98#zE(8p}x5c9*@{Q zL?_w5E}VGZgb~)bgH`kq_l%d}QpZ>N;!b=!(bQLWX{U$lF<6eac${m-?(s+#&bQ&0 zS*j{aHsaP&@2a*x?|WN~Ijt0B%9G+zzC(Cro@_gSyz?4I(+MQ419RIU>}*c>x!1^vg<5ETS9f)INq?t9M22rg^u zD*j{fITU~E;bzd*Y?2KAn(~H29sT7%ixFtC6AxFwDBfFSbM%H#QmHm~FqG3ep^_{% zK`CaQ-!d$kDdx8EHZZ&j?sd5GJ+Dmvd*Is-1$KL;ZAxlm^jrHE>B>6X`gA}a8HL57 zZM^#UQ8RQt!gm4Y_hufxJL{w@Y5Mqd0W{E++l!`GfL+j~Q+3Ft#x!MK_N@JIFCkBG zmIrYZEsvU){Z{l2)sGd>@mgLXi_Wh&tnO$%0V2=4!{@s`KBhpqepQ~qUrJqzs+$ze z9DDW#iKj+*8E0H-EkDV%4?%%H3tqKi+}Jz|T#yQ0?8|>V5?}rj#DaM&?P3IIu)FS4 zzeGYv%ozm9%o)~HV=swy*#lSWCV@yt-gu@;$NED2s5BnYTD3FL^amS7(&b}w$s0NG zJA{MnU8IgQpBkYU>DBk{W&_3-Sn--PvdE|Cl_ZJBt z4Fl_LoOSE3uR7M@`UIpM0}0x7Y!A79+PQ2NPt%}J85bVsuREP{Jo8t=Eet8s4FkE|nd)H9v*j?9Cjv&s-r1T&(xecBi!s6s4i&<#R8BOS$Z_T~N$;zX@ z-{ZHwf9>2jsrg2bqW3U2Huu}EL#9^~UMuqOrGegyin0tfttK2;DORzI1>4ZHgP(iHqe4RU zO%i9`o42F{QQ|l#H;?0>ivAi4?}=Yx-4iGcYO(v@_c{86bK00`eFD1d+|f9g7a=I@ zfWf#5)E@r%xThWef{SlTvf|bKECZ~^csMNIye@OPP*Uf2LD|@vp-ERnyyK|urcm8N z_}o8PKm+3_5)tBG=|*G>i4GC-U*;9eavX_`=I`>akMd6&QQ+LD{g1aU_aA z$*_@eBueBb3->je1ZnZLhV0C}uule%-qdv&*52^Z>72|3N)KEr5LO}Sp zclO`P(Z4hIu@GQp6G#+ve-HR?j`kP!zhxy7A;8inkY0-Zh5a|l`S;(v{~Y^Yd~g2;h=7(_ diff --git a/lab2CA.runs/synth_1/CPU9bits.tcl b/lab2CA.runs/synth_1/CPU9bits.tcl index cf8d3c4..0ff5867 100644 --- a/lab2CA.runs/synth_1/CPU9bits.tcl +++ b/lab2CA.runs/synth_1/CPU9bits.tcl @@ -17,6 +17,7 @@ proc create_report { reportName command } { send_msg_id runtcl-5 warning "$msg" } } +set_param synth.incrementalSynthesisCache C:/Users/willi/AppData/Roaming/Xilinx/Vivado/.Xil/Vivado-18452-WM-G75VW/incrSyn set_msg_config -id {Synth 8-256} -limit 10000 set_msg_config -id {Synth 8-638} -limit 10000 create_project -in_memory -part xc7k160tifbg484-2L @@ -24,21 +25,21 @@ create_project -in_memory -part xc7k160tifbg484-2L set_param project.singleFileAddWarning.threshold 0 set_param project.compositeFile.enableAutoGeneration 0 set_param synth.vivado.isSynthRun true -set_property webtalk.parent_dir {C:/Users/JoseIgnacio/CA Lab/lab2CA.cache/wt} [current_project] -set_property parent.project_path {C:/Users/JoseIgnacio/CA Lab/lab2CA.xpr} [current_project] +set_property webtalk.parent_dir {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.cache/wt} [current_project] +set_property parent.project_path {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.xpr} [current_project] set_property default_lib xil_defaultlib [current_project] set_property target_language Verilog [current_project] -set_property ip_output_repo {c:/Users/JoseIgnacio/CA Lab/lab2CA.cache/ip} [current_project] +set_property ip_output_repo {c:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.cache/ip} [current_project] set_property ip_cache_permissions {read write} [current_project] read_verilog -library xil_defaultlib { - {C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v} - {C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v} - {C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ControlUnit.v} - {C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/FetchUnit.v} - {C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/RegFile.v} - {C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/dataMemory.v} - {C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/instructionMemory.v} - {C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/CPU9bits.v} + {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v} + {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v} + {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v} + {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v} + {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v} + {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v} + {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v} + {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v} } # Mark all dcp files as not used in implementation to prevent them from being # stitched into the results of this synthesis run. Any black boxes in the diff --git a/lab2CA.runs/synth_1/CPU9bits.vds b/lab2CA.runs/synth_1/CPU9bits.vds index 2265976..8dd8172 100644 --- a/lab2CA.runs/synth_1/CPU9bits.vds +++ b/lab2CA.runs/synth_1/CPU9bits.vds @@ -2,12 +2,12 @@ # Vivado v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sun Mar 24 12:08:28 2019 -# Process ID: 6500 -# Current directory: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1 +# Start of session at: Sun Mar 24 16:57:48 2019 +# Process ID: 9320 +# Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1 # Command line: vivado.exe -log CPU9bits.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source CPU9bits.tcl -# Log file: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1/CPU9bits.vds -# Journal file: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1\vivado.jou +# Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.vds +# Journal file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1\vivado.jou #----------------------------------------------------------- source CPU9bits.tcl -notrace Command: synth_design -top CPU9bits -part xc7k160tifbg484-2L @@ -15,131 +15,115 @@ Starting synth_design Attempting to get a license for feature 'Synthesis' and/or device 'xc7k160ti' INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7k160ti' INFO: Launching helper process for spawning children vivado processes -INFO: Helper process launched with PID 12896 -WARNING: [Synth 8-1958] event expressions must result in a singular type [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/dataMemory.v:30] +INFO: Helper process launched with PID 4932 +WARNING: [Synth 8-1958] event expressions must result in a singular type [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:81] --------------------------------------------------------------------------------- -Starting Synthesize : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 377.188 ; gain = 114.703 +Starting Synthesize : Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 376.207 ; gain = 113.672 --------------------------------------------------------------------------------- -INFO: [Synth 8-6157] synthesizing module 'CPU9bits' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3] -INFO: [Synth 8-6157] synthesizing module 'instructionMemory' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/instructionMemory.v:3] -INFO: [Synth 8-6155] done synthesizing module 'instructionMemory' (1#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/instructionMemory.v:3] -INFO: [Synth 8-6157] synthesizing module 'dataMemory' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/dataMemory.v:3] -WARNING: [Synth 8-567] referenced signal 'writeEnable' should be on the sensitivity list [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/dataMemory.v:30] -WARNING: [Synth 8-567] referenced signal 'writeData' should be on the sensitivity list [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/dataMemory.v:30] -INFO: [Synth 8-6155] done synthesizing module 'dataMemory' (2#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/dataMemory.v:3] -INFO: [Synth 8-6157] synthesizing module 'RegFile' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/RegFile.v:3] -INFO: [Synth 8-6157] synthesizing module 'decoder' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:261] -INFO: [Synth 8-226] default block is never used [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:268] -INFO: [Synth 8-6155] done synthesizing module 'decoder' (3#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:261] -INFO: [Synth 8-6157] synthesizing module 'register' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:777] -INFO: [Synth 8-6155] done synthesizing module 'register' (4#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:777] -INFO: [Synth 8-6157] synthesizing module 'mux_4_1' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:407] -INFO: [Synth 8-226] default block is never used [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:412] -INFO: [Synth 8-6155] done synthesizing module 'mux_4_1' (5#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:407] -INFO: [Synth 8-6155] done synthesizing module 'RegFile' (6#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/RegFile.v:3] -INFO: [Synth 8-6157] synthesizing module 'FetchUnit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3] -INFO: [Synth 8-6157] synthesizing module 'add_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:56] -INFO: [Synth 8-6157] synthesizing module 'add_1bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3] -INFO: [Synth 8-6155] done synthesizing module 'add_1bit' (7#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3] -INFO: [Synth 8-6155] done synthesizing module 'add_9bit' (8#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:56] -INFO: [Synth 8-6157] synthesizing module 'mux_2_1' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:336] -INFO: [Synth 8-226] default block is never used [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:342] -INFO: [Synth 8-6155] done synthesizing module 'mux_2_1' (9#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:336] -INFO: [Synth 8-6155] done synthesizing module 'FetchUnit' (10#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3] -INFO: [Synth 8-6157] synthesizing module 'ALU' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:3] -INFO: [Synth 8-6157] synthesizing module 'sub_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1311] -INFO: [Synth 8-6157] synthesizing module 'twos_compliment_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1376] -INFO: [Synth 8-6157] synthesizing module 'not_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:687] -INFO: [Synth 8-6155] done synthesizing module 'not_9bit' (11#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:687] -INFO: [Synth 8-6155] done synthesizing module 'twos_compliment_9bit' (12#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1376] -INFO: [Synth 8-6155] done synthesizing module 'sub_9bit' (13#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1311] -INFO: [Synth 8-6157] synthesizing module 'or_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:724] -INFO: [Synth 8-6155] done synthesizing module 'or_9bit' (14#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:724] -INFO: [Synth 8-6157] synthesizing module 'nor_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:643] -INFO: [Synth 8-6155] done synthesizing module 'nor_9bit' (15#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:643] -INFO: [Synth 8-6157] synthesizing module 'and_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:175] -INFO: [Synth 8-6155] done synthesizing module 'and_9bit' (16#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:175] -INFO: [Synth 8-6157] synthesizing module 'shift_left' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:853] -INFO: [Synth 8-6155] done synthesizing module 'shift_left' (17#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:853] -INFO: [Synth 8-6157] synthesizing module 'shift_right_logical' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:890] -INFO: [Synth 8-6155] done synthesizing module 'shift_right_logical' (18#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:890] -INFO: [Synth 8-6157] synthesizing module 'shift_right_arithmetic' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:927] -INFO: [Synth 8-6155] done synthesizing module 'shift_right_arithmetic' (19#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:927] -INFO: [Synth 8-6157] synthesizing module 'less_than' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:320] -INFO: [Synth 8-6155] done synthesizing module 'less_than' (20#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:320] -INFO: [Synth 8-6157] synthesizing module 'BEQ' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1425] -INFO: [Synth 8-6155] done synthesizing module 'BEQ' (21#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1425] -INFO: [Synth 8-6157] synthesizing module 'mux_16_1' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:535] -INFO: [Synth 8-226] default block is never used [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:541] -INFO: [Synth 8-6155] done synthesizing module 'mux_16_1' (22#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:535] -WARNING: [Synth 8-3848] Net result_M in module/entity ALU does not have driver. [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:11] -WARNING: [Synth 8-3848] Net result_N in module/entity ALU does not have driver. [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:11] -WARNING: [Synth 8-3848] Net result_O in module/entity ALU does not have driver. [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:11] -WARNING: [Synth 8-3848] Net result_P in module/entity ALU does not have driver. [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:11] -INFO: [Synth 8-6155] done synthesizing module 'ALU' (23#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:3] -INFO: [Synth 8-6157] synthesizing module 'ControlUnit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3] -INFO: [Synth 8-6155] done synthesizing module 'ControlUnit' (24#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3] -INFO: [Synth 8-6157] synthesizing module 'sign_extend_3bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:996] -INFO: [Synth 8-6155] done synthesizing module 'sign_extend_3bit' (25#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:996] -INFO: [Synth 8-6157] synthesizing module 'sign_extend_5bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1090] -INFO: [Synth 8-6155] done synthesizing module 'sign_extend_5bit' (26#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1090] -INFO: [Synth 8-6157] synthesizing module 'bit1_mux_2_1' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:350] -INFO: [Synth 8-226] default block is never used [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:356] -INFO: [Synth 8-6155] done synthesizing module 'bit1_mux_2_1' (27#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:350] -INFO: [Synth 8-6155] done synthesizing module 'CPU9bits' (28#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3] +INFO: [Synth 8-6157] synthesizing module 'CPU9bits' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3] +INFO: [Synth 8-6157] synthesizing module 'instructionMemory' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v:3] +INFO: [Synth 8-6155] done synthesizing module 'instructionMemory' (1#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v:3] +INFO: [Synth 8-6157] synthesizing module 'dataMemory' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:3] +WARNING: [Synth 8-567] referenced signal 'writeEnable' should be on the sensitivity list [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:81] +WARNING: [Synth 8-567] referenced signal 'writeData' should be on the sensitivity list [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:81] +INFO: [Synth 8-6155] done synthesizing module 'dataMemory' (2#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:3] +INFO: [Synth 8-6157] synthesizing module 'RegFile' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v:3] +INFO: [Synth 8-6157] synthesizing module 'decoder' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:261] +INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:268] +INFO: [Synth 8-6155] done synthesizing module 'decoder' (3#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:261] +INFO: [Synth 8-6157] synthesizing module 'register' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:777] +INFO: [Synth 8-6155] done synthesizing module 'register' (4#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:777] +INFO: [Synth 8-6157] synthesizing module 'mux_4_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:407] +INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:412] +INFO: [Synth 8-6155] done synthesizing module 'mux_4_1' (5#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:407] +INFO: [Synth 8-6155] done synthesizing module 'RegFile' (6#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v:3] +INFO: [Synth 8-6157] synthesizing module 'FetchUnit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3] +INFO: [Synth 8-6157] synthesizing module 'add_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:56] +INFO: [Synth 8-6157] synthesizing module 'add_1bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3] +INFO: [Synth 8-6155] done synthesizing module 'add_1bit' (7#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3] +INFO: [Synth 8-6155] done synthesizing module 'add_9bit' (8#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:56] +INFO: [Synth 8-6157] synthesizing module 'mux_2_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:336] +INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:342] +INFO: [Synth 8-6155] done synthesizing module 'mux_2_1' (9#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:336] +INFO: [Synth 8-6155] done synthesizing module 'FetchUnit' (10#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3] +INFO: [Synth 8-6157] synthesizing module 'ALU' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:3] +INFO: [Synth 8-6157] synthesizing module 'sub_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1311] +INFO: [Synth 8-6157] synthesizing module 'twos_compliment_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1376] +INFO: [Synth 8-6157] synthesizing module 'not_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:687] +INFO: [Synth 8-6155] done synthesizing module 'not_9bit' (11#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:687] +INFO: [Synth 8-6155] done synthesizing module 'twos_compliment_9bit' (12#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1376] +INFO: [Synth 8-6155] done synthesizing module 'sub_9bit' (13#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1311] +INFO: [Synth 8-6157] synthesizing module 'or_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:724] +INFO: [Synth 8-6155] done synthesizing module 'or_9bit' (14#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:724] +INFO: [Synth 8-6157] synthesizing module 'nor_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:643] +INFO: [Synth 8-6155] done synthesizing module 'nor_9bit' (15#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:643] +INFO: [Synth 8-6157] synthesizing module 'and_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:175] +INFO: [Synth 8-6155] done synthesizing module 'and_9bit' (16#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:175] +INFO: [Synth 8-6157] synthesizing module 'shift_left' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:853] +INFO: [Synth 8-6155] done synthesizing module 'shift_left' (17#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:853] +INFO: [Synth 8-6157] synthesizing module 'shift_right_logical' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:890] +INFO: [Synth 8-6155] done synthesizing module 'shift_right_logical' (18#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:890] +INFO: [Synth 8-6157] synthesizing module 'shift_right_arithmetic' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:927] +INFO: [Synth 8-6155] done synthesizing module 'shift_right_arithmetic' (19#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:927] +INFO: [Synth 8-6157] synthesizing module 'less_than' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:320] +INFO: [Synth 8-6155] done synthesizing module 'less_than' (20#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:320] +INFO: [Synth 8-6157] synthesizing module 'BEQ' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1425] +INFO: [Synth 8-6155] done synthesizing module 'BEQ' (21#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1425] +INFO: [Synth 8-6157] synthesizing module 'mux_16_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:535] +INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:541] +INFO: [Synth 8-6155] done synthesizing module 'mux_16_1' (22#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:535] +WARNING: [Synth 8-3848] Net result_M in module/entity ALU does not have driver. [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:11] +WARNING: [Synth 8-3848] Net result_N in module/entity ALU does not have driver. [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:11] +WARNING: [Synth 8-3848] Net result_O in module/entity ALU does not have driver. [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:11] +WARNING: [Synth 8-3848] Net result_P in module/entity ALU does not have driver. [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:11] +INFO: [Synth 8-6155] done synthesizing module 'ALU' (23#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:3] +INFO: [Synth 8-6157] synthesizing module 'ControlUnit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3] +INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:17] +INFO: [Synth 8-6155] done synthesizing module 'ControlUnit' (24#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3] +INFO: [Synth 8-6157] synthesizing module 'sign_extend_3bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:996] +INFO: [Synth 8-6155] done synthesizing module 'sign_extend_3bit' (25#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:996] +INFO: [Synth 8-6157] synthesizing module 'bit1_mux_2_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:350] +INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:356] +INFO: [Synth 8-6155] done synthesizing module 'bit1_mux_2_1' (26#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:350] +INFO: [Synth 8-6155] done synthesizing module 'CPU9bits' (27#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3] WARNING: [Synth 8-3331] design shift_right_arithmetic has unconnected port A[0] WARNING: [Synth 8-3331] design shift_right_logical has unconnected port A[0] WARNING: [Synth 8-3331] design shift_left has unconnected port A[8] WARNING: [Synth 8-3331] design instructionMemory has unconnected port clk --------------------------------------------------------------------------------- -Finished Synthesize : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 414.457 ; gain = 151.973 +Finished Synthesize : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 414.008 ; gain = 151.473 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 414.457 ; gain = 151.973 +Finished Constraint Validation : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 414.008 ; gain = 151.473 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xc7k160tifbg484-2L --------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 414.457 ; gain = 151.973 ---------------------------------------------------------------------------------- INFO: [Device 21-403] Loading part xc7k160tifbg484-2L -INFO: [Synth 8-5546] ROM "memory_reg[15]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[14]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[13]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[12]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[11]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[10]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[9]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[8]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[7]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[6]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[5]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[4]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[3]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[2]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[1]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[0]" won't be mapped to RAM because it is too sparse -WARNING: [Synth 8-327] inferring latch for variable 'readData_reg' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/dataMemory.v:32] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[15]' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/dataMemory.v:32] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[14]' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/dataMemory.v:32] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[13]' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/dataMemory.v:32] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[12]' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/dataMemory.v:32] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[11]' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/dataMemory.v:32] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[10]' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/dataMemory.v:32] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[9]' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/dataMemory.v:32] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[8]' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/dataMemory.v:32] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[7]' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/dataMemory.v:32] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[6]' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/dataMemory.v:32] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[5]' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/dataMemory.v:32] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[4]' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/dataMemory.v:32] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[3]' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/dataMemory.v:32] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[2]' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/dataMemory.v:32] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[1]' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/dataMemory.v:32] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[0]' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/dataMemory.v:32] +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 414.008 ; gain = 151.473 --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:08 ; elapsed = 00:00:07 . Memory (MB): peak = 447.438 ; gain = 184.953 +WARNING: [Synth 8-327] inferring latch for variable 'readData_reg' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] +WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[15]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] +WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[14]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] +WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[13]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] +WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[12]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] +WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[11]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] +WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[10]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] +WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[9]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] +WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[8]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] +WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[7]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] +WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[6]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] +WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[5]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] +WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[4]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] +WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[3]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] +WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[2]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] +WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[1]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] +WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[0]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] +WARNING: [Synth 8-327] inferring latch for variable 'dataMemEn_reg' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:26] +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 461.227 ; gain = 198.691 --------------------------------------------------------------------------------- INFO: [Synth 8-223] decloning instance 'SE1' (sign_extend_3bit) to 'SE3' @@ -154,11 +138,11 @@ Start RTL Component Statistics --------------------------------------------------------------------------------- Detailed RTL Component Info : +---XORs : - 2 Input 1 Bit XORs := 144 + 2 Input 1 Bit XORs := 162 +---Registers : 9 Bit Registers := 9 +---Muxes : - 2 Input 9 Bit Muxes := 23 + 2 Input 9 Bit Muxes := 28 4 Input 9 Bit Muxes := 4 2 Input 4 Bit Muxes := 2 4 Input 4 Bit Muxes := 2 @@ -166,9 +150,8 @@ Detailed RTL Component Info : 2 Input 3 Bit Muxes := 2 16 Input 3 Bit Muxes := 1 16 Input 2 Bit Muxes := 1 - 16 Input 1 Bit Muxes := 21 - 2 Input 1 Bit Muxes := 17 - 3 Input 1 Bit Muxes := 16 + 16 Input 1 Bit Muxes := 24 + 2 Input 1 Bit Muxes := 33 --------------------------------------------------------------------------------- Finished RTL Component Statistics --------------------------------------------------------------------------------- @@ -179,10 +162,9 @@ Hierarchical RTL Component report Module dataMemory Detailed RTL Component Info : +---Muxes : - 2 Input 9 Bit Muxes := 16 + 2 Input 9 Bit Muxes := 20 16 Input 1 Bit Muxes := 16 - 2 Input 1 Bit Muxes := 16 - 3 Input 1 Bit Muxes := 16 + 2 Input 1 Bit Muxes := 32 Module decoder Detailed RTL Component Info : +---Muxes : @@ -211,7 +193,7 @@ Detailed RTL Component Info : 2 Input 3 Bit Muxes := 2 16 Input 3 Bit Muxes := 1 16 Input 2 Bit Muxes := 1 - 16 Input 1 Bit Muxes := 5 + 16 Input 1 Bit Muxes := 8 Module bit1_mux_2_1 Detailed RTL Component Info : +---Muxes : @@ -233,26 +215,8 @@ No constraint files found. Start Cross Boundary and Area Optimization --------------------------------------------------------------------------------- Warning: Parallel synthesis criteria is not met -INFO: [Synth 8-3886] merging instance 'Bank/r2/Dout_reg[5]' (FDRE) to 'Bank/r3/Dout_reg[5]' -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\Bank/r3/Dout_reg[5] ) -INFO: [Synth 8-3886] merging instance 'Bank/r2/Dout_reg[6]' (FDRE) to 'Bank/r3/Dout_reg[6]' -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\Bank/r3/Dout_reg[6] ) -INFO: [Synth 8-3886] merging instance 'Bank/r2/Dout_reg[7]' (FDRE) to 'Bank/r3/Dout_reg[7]' -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\Bank/r3/Dout_reg[7] ) -INFO: [Synth 8-3886] merging instance 'Bank/r2/Dout_reg[8]' (FDRE) to 'Bank/r3/Dout_reg[8]' -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\Bank/r3/Dout_reg[8] ) -INFO: [Synth 8-3886] merging instance 'Bank/r2/Dout_reg[3]' (FDRE) to 'Bank/r3/Dout_reg[3]' -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\Bank/r3/Dout_reg[3] ) -INFO: [Synth 8-3886] merging instance 'Bank/r2/Dout_reg[4]' (FDRE) to 'Bank/r3/Dout_reg[4]' -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\Bank/r3/Dout_reg[4] ) -INFO: [Synth 8-3886] merging instance 'Bank/r2/Dout_reg[2]' (FDRE) to 'Bank/r3/Dout_reg[2]' -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\Bank/r3/Dout_reg[2] ) -INFO: [Synth 8-3886] merging instance 'Bank/r2/Dout_reg[1]' (FDRE) to 'Bank/r3/Dout_reg[1]' -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\Bank/r3/Dout_reg[1] ) -INFO: [Synth 8-3886] merging instance 'Bank/r2/Dout_reg[0]' (FDRE) to 'Bank/r3/Dout_reg[0]' -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\Bank/r3/Dout_reg[0] ) --------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:17 ; elapsed = 00:00:17 . Memory (MB): peak = 666.957 ; gain = 404.473 +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:20 ; elapsed = 00:00:21 . Memory (MB): peak = 723.004 ; gain = 460.469 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start ROM, RAM, DSP and Shift Register Reporting @@ -262,8 +226,8 @@ ROM: +------------------+------------+---------------+----------------+ |Module Name | RTL Object | Depth x Width | Implemented As | +------------------+------------+---------------+----------------+ -|instructionMemory | p_0_out | 32x9 | LUT | -|CPU9bits | p_0_out | 32x9 | LUT | +|instructionMemory | p_0_out | 64x9 | LUT | +|CPU9bits | p_0_out | 64x9 | LUT | +------------------+------------+---------------+----------------+ --------------------------------------------------------------------------------- @@ -280,7 +244,7 @@ No constraint files found. Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:17 ; elapsed = 00:00:17 . Memory (MB): peak = 666.957 ; gain = 404.473 +Finished Timing Optimization : Time (s): cpu = 00:00:20 ; elapsed = 00:00:22 . Memory (MB): peak = 723.004 ; gain = 460.469 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -291,109 +255,8 @@ Report RTL Partitions: --------------------------------------------------------------------------------- Start Technology Mapping --------------------------------------------------------------------------------- -WARNING: [Synth 8-3332] Sequential element (dM/readData_reg[8]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/readData_reg[7]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/readData_reg[6]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/readData_reg[5]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/readData_reg[4]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/readData_reg[3]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/readData_reg[2]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/readData_reg[1]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/readData_reg[0]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[15][8]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[15][7]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[15][6]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[15][5]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[15][4]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[15][3]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[15][2]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[15][1]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[15][0]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[14][8]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[14][7]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[14][6]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[14][5]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[14][4]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[14][3]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[14][2]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[14][1]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[14][0]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[13][8]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[13][7]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[13][6]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[13][5]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[13][4]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[13][3]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[13][2]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[13][1]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[13][0]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[12][8]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[12][7]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[12][6]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[12][5]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[12][4]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[12][3]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[12][2]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[12][1]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[12][0]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[11][8]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[11][7]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[11][6]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[11][5]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[11][4]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[11][3]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[11][2]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[11][1]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[11][0]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[10][8]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[10][7]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[10][6]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[10][5]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[10][4]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[10][3]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[10][2]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[10][1]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[10][0]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[9][8]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[9][7]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[9][6]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[9][5]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[9][4]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[9][3]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[9][2]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[9][1]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[9][0]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[8][8]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[8][7]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[8][6]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[8][5]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[8][4]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[8][3]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[8][2]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[8][1]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[8][0]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[7][8]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[7][7]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[7][6]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[7][5]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[7][4]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[7][3]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[7][2]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[7][1]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[7][0]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[6][8]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[6][7]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[6][6]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[6][5]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[6][4]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[6][3]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[6][2]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[6][1]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[6][0]) is unused and will be removed from module CPU9bits. -WARNING: [Synth 8-3332] Sequential element (dM/memory_reg[5][8]) is unused and will be removed from module CPU9bits. -INFO: [Common 17-14] Message 'Synth 8-3332' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. --------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 666.957 ; gain = 404.473 +Finished Technology Mapping : Time (s): cpu = 00:00:21 ; elapsed = 00:00:22 . Memory (MB): peak = 723.004 ; gain = 460.469 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -417,7 +280,7 @@ Start Final Netlist Cleanup Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 666.957 ; gain = 404.473 +Finished IO Insertion : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 723.004 ; gain = 460.469 --------------------------------------------------------------------------------- Report Check Netlist: @@ -430,7 +293,7 @@ Report Check Netlist: Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 666.957 ; gain = 404.473 +Finished Renaming Generated Instances : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 723.004 ; gain = 460.469 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -442,25 +305,25 @@ Report RTL Partitions: Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 666.957 ; gain = 404.473 +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 723.004 ; gain = 460.469 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 666.957 ; gain = 404.473 +Finished Renaming Generated Ports : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 723.004 ; gain = 460.469 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 666.957 ; gain = 404.473 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 723.004 ; gain = 460.469 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 666.957 ; gain = 404.473 +Finished Renaming Generated Nets : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 723.004 ; gain = 460.469 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report @@ -473,48 +336,65 @@ Report BlackBoxes: +-+--------------+----------+ Report Cell Usage: -+------+-----+------+ -| |Cell |Count | -+------+-----+------+ -|1 |BUFG | 1| -|2 |LUT1 | 1| -|3 |LUT2 | 1| -|4 |LUT3 | 1| -|5 |LUT4 | 1| -|6 |LUT5 | 2| -|7 |FDRE | 5| -|8 |IBUF | 2| -|9 |OBUF | 1| -+------+-----+------+ ++------+------+------+ +| |Cell |Count | ++------+------+------+ +|1 |BUFG | 2| +|2 |LUT2 | 45| +|3 |LUT3 | 50| +|4 |LUT4 | 51| +|5 |LUT5 | 69| +|6 |LUT6 | 439| +|7 |MUXF7 | 6| +|8 |FDRE | 81| +|9 |LD | 154| +|10 |IBUF | 2| +|11 |OBUF | 1| ++------+------+------+ Report Instance Areas: -+------+---------+----------+------+ -| |Instance |Module |Cells | -+------+---------+----------+------+ -|1 |top | | 15| -|2 | FetchU |FetchUnit | 11| -|3 | PC |register | 11| -+------+---------+----------+------+ ++------+---------+------------+------+ +| |Instance |Module |Cells | ++------+---------+------------+------+ +|1 |top | | 900| +|2 | Bank |RegFile | 45| +|3 | r0 |register_5 | 16| +|4 | r1 |register_6 | 9| +|5 | r2 |register_7 | 10| +|6 | r3 |register_8 | 10| +|7 | CU |ControlUnit | 14| +|8 | FetchU |FetchUnit | 126| +|9 | PC |register_4 | 126| +|10 | RF |RegFile_0 | 345| +|11 | r0 |register | 216| +|12 | r1 |register_1 | 14| +|13 | r2 |register_2 | 100| +|14 | r3 |register_3 | 15| +|15 | dM |dataMemory | 365| ++------+---------+------------+------+ --------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 666.957 ; gain = 404.473 +Finished Writing Synthesis Report : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 723.004 ; gain = 460.469 --------------------------------------------------------------------------------- -Synthesis finished with 0 errors, 0 critical warnings and 181 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 666.957 ; gain = 404.473 -Synthesis Optimization Complete : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 666.957 ; gain = 404.473 +Synthesis finished with 0 errors, 0 critical warnings and 29 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 723.004 ; gain = 460.469 +Synthesis Optimization Complete : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 723.004 ; gain = 460.469 INFO: [Project 1-571] Translating synthesized netlist +INFO: [Netlist 29-17] Analyzing 160 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 688.945 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 723.004 ; gain = 0.000 INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. + A total of 154 instances were transformed. + LD => LDCE: 154 instances INFO: [Common 17-83] Releasing license: Synthesis -104 Infos, 128 Warnings, 0 Critical Warnings and 0 Errors encountered. +70 Infos, 29 Warnings, 0 Critical Warnings and 0 Errors encountered. synth_design completed successfully -synth_design: Time (s): cpu = 00:00:24 ; elapsed = 00:00:27 . Memory (MB): peak = 688.945 ; gain = 439.730 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 688.945 ; gain = 0.000 +synth_design: Time (s): cpu = 00:00:29 ; elapsed = 00:00:32 . Memory (MB): peak = 723.004 ; gain = 473.363 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 723.004 ; gain = 0.000 WARNING: [Constraints 18-5210] No constraints selected for write. Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. -INFO: [Common 17-1381] The checkpoint 'C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1/CPU9bits.dcp' has been generated. +INFO: [Common 17-1381] The checkpoint 'C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.dcp' has been generated. INFO: [runtcl-4] Executing : report_utilization -file CPU9bits_utilization_synth.rpt -pb CPU9bits_utilization_synth.pb -INFO: [Common 17-206] Exiting Vivado at Sun Mar 24 12:09:01 2019... +INFO: [Common 17-206] Exiting Vivado at Sun Mar 24 16:58:31 2019... diff --git a/lab2CA.runs/synth_1/CPU9bits_utilization_synth.pb b/lab2CA.runs/synth_1/CPU9bits_utilization_synth.pb index fad9443840651607eb8fa9b5ddf321c053de7fa6..8c1d27574d94548d95547a2fb45babde328da46e 100644 GIT binary patch delta 59 zcmV-B0L1^H0-*wsHz1-yoecmCMo8ri{+J^@?GgZh5JbHb01U=Qg%r^25kHYvObq}) R{Wt&s07At%00795uyIz<6b}FZ delta 59 zcmZ3;w2*0nxxxa6xx5TKZXQp0xvneQycJ?#aB$cw%)oQZy;TGxGBMnTx556e1p@ - + - + diff --git a/lab2CA.runs/synth_1/vivado.jou b/lab2CA.runs/synth_1/vivado.jou index 85184e7..c45f63a 100644 --- a/lab2CA.runs/synth_1/vivado.jou +++ b/lab2CA.runs/synth_1/vivado.jou @@ -2,11 +2,11 @@ # Vivado v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sun Mar 24 12:08:28 2019 -# Process ID: 6500 -# Current directory: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1 +# Start of session at: Sun Mar 24 16:57:48 2019 +# Process ID: 9320 +# Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1 # Command line: vivado.exe -log CPU9bits.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source CPU9bits.tcl -# Log file: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1/CPU9bits.vds -# Journal file: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1\vivado.jou +# Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.vds +# Journal file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1\vivado.jou #----------------------------------------------------------- source CPU9bits.tcl -notrace diff --git a/lab2CA.runs/synth_1/vivado.pb b/lab2CA.runs/synth_1/vivado.pb index 0b32f9204011586ee3d01872b8d8001ebefb943a..336cc57102967bf6238a9bef224d5da075438010 100644 GIT binary patch literal 54774 zcmeHQ>vQAQbvLz=w!YcA+tyDxaju`tf6#1l7ZCe2Kfd}^niKBoQDe(1M$I(>Kgp)(z~e?i)i{v}*X0jxJ8mO%;XtM-QX}v85VKnZJPq z{YWwPwpv7&b`_j8)Hbf)+Nf?zhK{psQ8VyivwW8;eat9YPez^Ik(Nu-I zIOYGOl6fVwIa|q6excp7hqG3@L1LyZ=@&1|&zRE2|7Hg1t^hjyexKkPzAfz&d|AIz z!_9UFU&Z-+js6qxmoSmVwoY2dHw!q2Ek5z(l_mH|n}~PeW1(Ei@%b|RWLE?{uVRq? zT&c_>Kf}P}g~JOLli!&_b0&KrgZHUs?5nMgY{@GyKQlL7C{)W%H+&ZToTH0=vi#%d zk$}7Jp}o`XIftW=etQaKgnr#t;)+yjiB`u1fDjA~NW`z7 zE;c^iP6(v`o?IL}`Liq#lAOTf?osr*`BP-X>U8Fbt_!hhbSV zqyrcNU_8_f7*fs^ORg#VJo?N%O)^MB5w~R$0NNP9(|^dBsbrx&-Vx`ACkGGs9wt23 zU|2jG;QVuPla)-rz$ozn(1qBlF%Y)_w2?7Vza7g+J&Vq-k=^T3pt1#9sIQ~l(;Xgd zhE8Zu{3I?OEz(q5L<zU24^;|c<(Q!#z&6fHK4I{jU86P3VE1V~GR zLpuImB?IMmV-15aZ@W&6<{qqn8Uc_5+oo?I>2%#nm!%M&a)6l!vql%sTEn0xwpv?s z3t?bL#=>WU`G2t#YRCdSgLunEHZEN1aEU7}LrndXUViQ>IO@=oBqB zj5_^eat}0-5A`IP;_`!|ng*AP!SjPyZs=3!+~UoR062mj&?D45T?Z7HIU(2c`>}9< z!A|dNr_lABL(`nVMXlE{PWew`A#)i$ZroG#EeM5a%Mkyd7->bR9BVj>y{f6GX+#DL zcBv9;rRm?28*I?B*eFqZ9psLWvzI;)sEpPAG&!ilDkudw#{TD6OoL3T7pcz-!vCHe z;Ut3tMKx_(KZA`W463mwL#md-;RE!!%opM;@C>o_12e|BAW>mUohz6CB zwjacrlR=!Dz9;P%TQb>6*;WZaQz^!>ZvTsRX)~=%l{;|fWu=(B{7A&5YZ4bk{EBb7&abcI3KrV?1@V1giqjO*}x&z zO%lNb^2W#5pBa~tB^p&m-ad|H`Cyl3sqwXRNg7>DD)6yp7XOso&c#HC3R&6~l?MZq zk+Rq;CNxxmE2S`2p(wET7V*HQ_bn1O@+d*T7XEsdUS&(SmT5N5(V2WMbA!8%XBwGv>` zLwDpyxc3e*QvJ7>g-U@rQh%~Ay*`0y5NTSSz%&R;@>6ekRb+WHuqnul&aw2%&3;ma&1>Mtdf1FA+b zwr$4&)VTWe<5=_DFQSVyRm2uKGzjdb38_2q01jof8Y|4~zmgm6VbG$Ym%=^VXmA;C z9rA3%NScJv1rRuHE4A_Z#aIh_>6`-#eGXdrZTf#E*RG_}Ks76c`_)3E@GzS756OXr z>93OsEWi^FjytEI#@LcgK`Ju_&ELwP=j}X-u!yiH>bRpcRYf691F|QzRmi0XIo{|p zf*^3tGD4iKou|?C;@kOm{CLxRc$BC&JJCl;xEXlAGZrgfPk>9*roYKRWk4)GNP@+H znbTmUrzzhZtWSb+6keAUN#6qj86-zxAt*;-F(gM}fh*+l`HCk;VUf!f%0fhr!uQNP zipa^MxP#WRC;bZM039MLOX45*q;mXT2EFIP1d}3200>DJ4g7{EOOS~TG69BXb$mDw z8-1U>!Ai|n(7c&^6W>(D7EN?{i>8u=urZo_lU^??kivD}?7RqR7=7DT*tQkX^G>U1 zg4|j_hTO4l(p%^kyltQZ6Xb=0+??~XRtjDISI{rH>2UjH{+;EyY#~om|GGIn|GGzi zf0{wRlW`T;d2Szxc-YnX+f(RyNrA+R8dVipgbWjA#^VEsmZA9*%^Zw0!=k{u85Y6Q z)ri=l83eZ=HIsip8kelT;bDW|`9p&kCnf<9FQCWv&CJ3ShPSz5fRFHyLQ$ANp&eOL zAxxlfpx`G^I8bmCC>$t)rkQCpn;#|^L7qoH?-@a=XGAE5MAyNdw zZ}{*?2>*i3=of4MtY`7@2O0FuQT}&`WC&$wn#vqzeWrZ>b$ft+bFuj z24*cnuqrG$*hQcM|K{qN9{t-*_P0wY_bA3-OP7^)$AEY6;_#AWzlAG{EELNt@o*Kv z*;ST0Y{yg1poWZDbs{l)lq>gDb=dQey^5>m=Mn5xS@vMfh_biRIfSz#k*E)VoXZ+z z#wL3e^A&m-!CEl&g129SsTU~mWYn2nFF3GLa>u;Wt7Oy}-cOo3SMnkgOr1;4JA;gH z!pN|^2UAbZJ4>Ap+E-b`AX?BWUWVJqDmYipiq6HWDRai>#DhK~LCH>OD0WMI>cP9pYzF zM=+|Tqo1a|&30HFEikiRW>OQhq#NN#kG_GU=ipTq;yn7SH4`>N^w)^4b~M-wTJP-d zi`voH6__jaH9>Uk%y{6Iktlv<%%EMrin$8_Pr2Y*=OIhlgs_S@3?Iqqg?Y%A z1$kV@e?0k{o&bTLWzcty0|W-?#n4~@J|7S)z;hwN0%cx+^O^L3;;sm3$gbi-DW5Bp zs=-1sM!^Dq(SzU*Gw6d1yM=xNSIAzCU0r#ZTHKd07;RIl)@jmo?CjXV8yW@xB03Om z7ash%R=4MPQ@LCY=Flu!TV1I|@U61Hx`XO;&86qX++r@^i@n#`kU$2P(Kh?YH_^4O z?Igc0?i15(#JFqjH?MBL3+LP6;u>iid-xFFky`M#iv6U}Jg_0vtjNgZs?! zXeNz((K<~UO0r@eA_K3+R*OeQsL0r9yU?v;+q?{Z9ME75xp4zt&>OLn9iv` z(-T3&@PQk}q3gsMbXz0tcjqvzmjth-u8 zmn;~sL%JKt8%vL&ED^{NMeqbzc3_PVuG=iIUq+YRF<^o%i=_W50^6{SGC&yOM4_aF z3{hFQE)!Adv?FMZUIjMir!3gQDGDB9fp=qiH%kcJg9=wC$*66_BYea{AJU9~zX*8`@)L}&bgKh4E z8euo+_#@|?^LMxwTk%TWeQ?R8EwfaQvZWA%ZaA2)y!{vBeD{{9>#!TqU7=sL>iQ_y z`f`L)jjY9^H_`rM1eTw+MikM^kA7#*o$Ggz| zz5wtvaUvD@@W3yo@-oCNpU)Z_P21B!pK;l$Q4Mz3(8C&9^fN0QAP#Tzht$4)%q z_{X`89@9VXF=D@$LErKku@Gb*b_+K8j`PpELI?F*5L}~A2J~z;0)vRWGk$N$1a_hd zbh7Gh_K#pW*Pq4B0FNR<83H2&-BpNiXea70 zYIXQkb~S@X&xMW*u-rM(g=k&)(;g@3`x*4U*GckCqbaTvMHsargt^pw4Z+Vdw^6#I~I){RYGaJLR; zSRkZ3Hklqatc8aV8R*B2y{5agas37jGp8>;J~v(KpllZ+wBemercg{k#>^3fDH(WY zk}2jBkg;-WQ8Mt(BEuyhQ#9eAWZ<1irc_Np#!N9{HVWQRG6f+CnX<*r%Ep`5%N94d zlzgZ$atBoaT)6yxryhfIP- zCDN(zB~oXJ9@C>~(dK@g9W%a!US#phT_=0YmSaP>FsFTT0$08)k$0fnZxijIR?Y{+c2-DpMY_un!)c| zD-=!K^`vk!$qJ%=gcpKd~o+Ix#Rc`M|a5^-Pp|l}{ zqr437=oxY|%i=xjpt)|I@#U-7xz0t5`gXNgcMX|Vi#>j@_t+C&gL#bvlgb*cLQ3#u z{Ynit+Z{N1ozK_cAAgFGPp&fliM1Z&^7}~!eItZln$AQf#)uhSLin~ODmnz_(uEJ* zKK_3nB0eB^hDJOhnid{vkI$cPYbretyg9>Vi)C7m+ARvYi?WLlBzbh7(t!Hd8&LLG z+K1Ho<{@F-tZxZfC}AnWyg^A$apn2*_9J-670;FO{(KvoGki76(W;T|quxclP2J?% zEi{XpHl8iWa8G%FlebuhjD|`}H=oB>j-U4g%7i_QuXvyK8oF`|7>ClF&@h`@vo&yf zMQUQzQ*FS)sZi~~DeooM0dgI)AT%&2DF+ZxPBf5Int>ng$WTug!+99ElQVg)R_4#a zGx%VBLvC-*3S5>Gn09egv}w=;6s->E#5m$y_hAJ7RrWGQz(g$xQo^#`6s z=k4?g0mPp{GkN%30OieDuABwFp+npS3J9otK3JUWgg7+>TUfMuF2}hY%R?9-#*5Ht z1_TDHJ9H}QmQ~R4JpysX%*#)$ujT~e=jxTf`&+~J)f)H^ipS@KQc%H;0KJ*m6WIu|C$FIw zUROZ|oig@13_&uCnJ}D!F~Ij|PMsVScb?|ByfFV6&lfk(bCqn7=jeDbdpK)}^rsmZ zp7#hY3O^AYbow!VMoH$qFIoAd}hftHoIJZ?vF#_b^5Vh*Hg|)`5)%E4u zt82@R^@T>OV^u8?<%K&$2ZdJfiUbL=cSVJc=gFN?$Cu3=W*VTwoG1fTHCM&qd;c{6 literal 73425 zcmeHQ>vJU6Rrlg43DyXF#e=nPcpkbiuV6bnVtwdB<=ay3o*VmmXA#ca=icHFkIQa;4mYdP9A*%69c; z&0X%ixHFSmP!w(dk;b`$b8lxJxp0OolP7Mw_`PB7(e8V26laxOxeEsWwWccFzy7TZ zd2YpZS-s&Aa4ovWT&mNWQDLUT=&ohcU8cKDo6$=bol6uvF5z00-NQG$OWuvNY-xVB z0vTAQ`XTbzZO$xMb;2z0j{{c2AK#f(iVIq<*bVaS49Pxkx$!{F%$?Pg>_|bC0cz%8 z#+UnF0~EW*Oqa5QhRqzuuuO;6n~qEI4ueJw6M}RwF3p;5Q};oGU6`Far$eafcs`VyU__|eX)_gSt z_?fwLxm>x}k?se{4|S$kn5_C9@`&W>E9AMsMx=A69^>&|lF^PEj)IcsDj#Uqf5+nV zBKc9nbX>bxaiN92z$MJdS>7h=gRvaC<%oFy(=+5!)iRlH&ZI+4FJE*%deOPk9`bx= z$cGZd<0PXPYj*SKf658y)_7iRcuz7W`nkC1oWw+)wFGxePslgMIx zTpw?yXG#lz*=?o&ZFF424SQT)g)2!k&%ZmkIT%dIG2vB-M0(hP@hL|iQ$jERe;3OD ze1JT<$@Z=rQjM3-{w?y!!8}F#MkDeM{}h+cDyvvkW=qCY&gUI+bud0xb;qb|crl67 zgW30!vuZ(!6AJu!hJ2vC4xl?Vt8$kb4mA;a+O&ya3oh`isunsPaO~JiJ+Z@F0Z6w? z`n|!YF4QybuwjB~k}>WvZ^tgEs6iIy?mx%UX+*X*+k+=dj#3wk#h!|R<;KzY_V)+Z zj6s-(4&)M}8quN>R3o;zt2Ym}bK9~|b}HsBmyM+vi86ONMeV88qc(SOhYdQNPmE4S z53-=szd88yA0Tdlqze5@YI;$QAfkVWzR=T5NSt51m3o_9B`NI29o!+-Z-cOo$zGJG z1un}x;yCr94?zhteu!v!kSPI)l#(H+ekPD0sd)AR9)tTj+GFqgm{x)qhLtOnVy*7~U~nr3RgcX)H*&JDZwk?1U$PP`-S-BU)v&XK%PmF@ zWSmj7XHg<2l_0op4KBDr>?s#kLN=cJGrxkcV#m^!og9mcNmW#Han{~LY&>Hf5tnza z8VTBXjue%k83XvHWT{3W7pvxGSl<+rd9EAD*}#pK)o{9jy1_)54W*cmV>X71%6B{inK{x(2RzQy$4QJojb+>D5HyQi6;JB2JWjVe*c)&3j`&>T~vdh_* zv;_V5uUPtViTt>)AGWc#4=u6wjEY|CdqqFysZlB)TV;DR?L9&u_jNNVs-mf}?8^`<-+{P?cBnBnk;iO|qlG1CN4yL>c9K`GeL4|(!B_b?HCFsO zM0O!2<6I>YvgOvFoCKYSz2@baMWv9O)M8$Xr5A}xE#`B*69?gHtKpVpvB!<;bwaj8 z5kN%`v!K@hhlkZ(!h?Mm9sX3B|J2kHawq(W2wbaL3?;>Q!fs!`$7t0yP+YvoA67%M zSM5|5V{6oZux)!oVg-99+QyJlL%qQ9DJHFy6CQ3GE&DG$-0a_cxY@szHuk?U`0}CW zq?TvTxrvOC2pNNAh+A?M&)wreu{FzdZL1cm%XRBIwuD3@cEBz$LZdohdOuc@1q500>IzH&was5tCen*QOYC*lfIk>#A#_TlGkc8R2qs|zajaR1E;X{zp zS2EMz!R5%WE4%I&Fh?$>zeS|H+#Ikptp41$yU5 z=c2D=$XkJ!u!KTi9P}7+=_`88K+6*}$m!k0=;4sq^vCQKQEPsZ%zM42=nYG+^1e>D zctbQ)&G2SZ{QbI#Mr3tw@FKKf`n+w3yyeN09j@8B-ytzT2y68Mb?_1_2m$yEu>x~B71RDBnu592!MMtv`YM?8fgNqh*fWu+Df0kA* z2My_-BJZwyZRNJnU*dHo-@{|(^Esi<$M_KBrj9_HIs$d-2$ZQK=oQBv)x^(@ZZ14Y zez@pe zq8OBg-zSPeS$Kb<7?dp^P85T(<;jU+FyYb6@K!Y8!OZXsCOnoIp238NGQ%^N@JMF* z>7VZ$$(4LPL%wjBE7^Sc2EB>1cIw8Pek$@7GXIRKy%Qw-kd)B5D#cz&++1-nr*)sJ zQpzos^T|)*{zis;4E?@qmdST3_{kzV&UNt5bHG_2S9l_WpFiYxJJ&k(5J;!rz9xIx zsJ}`?@8^<=NHN_(cy(TgHS8u`do=IS^`qDn=kc+MVXEkdzMB020pa)tlQ%}poz zw|B(fu9C&aD1|LuHyceCukcTytH^nF{`q8a+EgxwOA*MP6{(}ZhasuV&&uxvGaE$z&>i~N}*daa_Y+9*agXGBbJPaT~2*)>>_nlihWen1xsTq zlKObjUJqfFq|}dz>;ii|ICeTJi~0#sjj$5!sF8mRS(Ic`rb8o-SA;lm2*CpBSdjluK@iX~rw^d+hAK(-Z&pGw1zX_>I7rm}=q zxsQlq^jMw3!46TsPnjtkLZ_Y+od)`Mw{@zk2SDnjC58t>nD#!x1Nl<4FRhhQ7qQE! z_hmi$pgxU-ZoZ%SydrAp74mpnOS>OXPQ9A;6=(J%uIVoVUl#{#jVuXkYhlSG+m4P{ z1Wcd7=_7bS6mgb(&|hbJPeW}o$7$?3Q@$&qVpS=v8=d6?01V)@?a`pBt zWVs62EWKQ@YP818J$HXu$!4#RRXl6NKg)}s@kfwWl@i5wyq8*m=JD-tc`@pHgU33j zKeFNIBbYpbOj0wr(-&zt`RPxVHQRC=x@tAeDrSlIMdABXnHdd(sV`K-^N zfR2XwXg59(^7^BbskFW@o=jyVk|Wc?8xxnQv?w*6Ol2gJBh%vKWh$*?jwe$YiR8$% zGGSwz8Q)#ttJekT!BuA#X$;(t)e;ZGxG7`y=sXBR?N=ts@$y7!n zIWkoyFH>oiaXgvINF+z5*?wg@{WaTP9w})4?F{*)!-D31R&G6un^FG~E^fv}d55Y( zp@3TEt`1eXELv8jphMN49FgF!X2=&aV#)6a-jQ~F$IXpr_%6#c6dN_(O8PcGUW;}r z4Do`OEn_JrUr?VvIRAF$kqc*tuX*Pu-`Oibpn41H0KpuYC1`ZB9D&;sfAuO^<9XI2 z|Ab4z8+`HT`-L8b*8$Lmc+1a_tF89N_)B`7d0WMlw!He-n>#;^4u5!al{MUbdO%+_ zs`%TY>p0KYTl=Drb_E65JvIY^dnSWTUa4<4E4=i5!)qJ_;EnCr$gi+ow46Dq!CAylb)CY6}ogaHf_X)CDf0QBDZp$-2 zJSWyP(Xg`WEnw~&wHohfX(PhChikjGRc{|+g&x`dK~engva?R>(HAlPzOeS|izrWgFbdMl9PtPBvoM z=4^b#XtgjN4}$Vrc#y8{ujqt4A|EnY%%kxllf^t5KQdX&qwyq@#XK5cGFi-{@g|eS zJQ{y8Sbv^}{Q|D8FIdwh-m{aFdfH`$O1(;LkQ-C>jJ_VRl=Tp=a`V?SJolgPg z)cF)(PMuEy=G6HVU{0M+0p`^C6ktxBPXXrC`4lCEJ_VRl=Tm?=bv^}{Q|D8FIdwh- zm{aFdfH`$O1(;LkQ-C>jJ|&w%p90LO^C`fbI-df}sq-nooI0NZ%&GG!z??dt0?euN zDZo4$pYq4!s{2Bp8?o-IJSvwmQOKk5C=-P|8iz7b$fNNm6NNk)cQR4Pqwyvag*+N( zGEvB*@g)<5oHkcdN}nqMl_K5rsGUN-H4dyud9;25oI+rLq+A!UA z(DTJ+)ZN!jw0)tjQO8l&x>=?Bx`XyG%%oMr(RXkX`6AtNm`yAAVg=s@8FwEoW$=BE zX3ePMkT%z%4r4ye&L_N`p>9@~vq*6Iz!@bsKU=Ztb<0$7F!^nMb^|Rf;79^Be7J!6 zUUC)(oP28b zyIWUD*1@%O(mJ??+b$8mjjGqvlb;4-@D`Xj`zAu};R8@c)=@7^xX8X#`c3h|Ckp%By4G*v^ln%vJ`NG0pFR`QNAPK}Q)8K2^u>6+ zrn@fVC+yHww#$9PsbEE?5usc!i-P?Gxg7X_Q`w4=48MzzZLf3TAYq(y^b&JxIE0w4 z)tGKJ8xgdoKLw|c;F#0cPDL8lPVY*DTA`Ew8Fb+c8mxaMLz;mB=r*Oj!@sg5{8O_h zE&RJG+R10g`#Ry@+CHn??ab!L=&vc#d4sIC8-<7Zg&+18Km4fks`IyN(0eqf54wGZ zZ`|^`r?=K!RzGZ{&R?~z*|vqnR;zt_9bUoRx=sAO${ZY9*YLu}_U|K9EPUfOdKnXK zh7LdKyz2ZNj${9L-d4R?bB*n)ZQNt#w#i)HYv22qZU3b-+q$JYy!&WtgMXR&U#9+- zlgjb*P4rDsy^G|dew?<+Ouf#dwC9=0Y~6)mgSAJ5G^fwh^b!2o5i^Yw6~A9YN_$#$2|2wp}Qog1PZ%MseKV>?ZwR^^t=+h6P)pPl?;ou_ZaaL%d_Ykng` ze!km?^^oktZo#HMaN%`VXEKDG`YoI*gh#OOk~nlvu%}aj z3vHT7lMT@?mhX-|Y+A=0Zhvchl+Uf}?9 zP=VkljUz1L^aGR?ab{m3k9YPvS8ICZ?y7abqEp>r^Ke{dH);nnObyxX4jPJ3l*LJC zhpCMg+c4C8;Rl=YUBX{uI0tEqm$3BOX%0>YT@?+44^L^b&aFD zz4swI``~m1=aog<)Nmz)0Ec60U+8)nWm>~TJF`4M*E!A@!_eYWA2}2GbPY02VWQ(f z+O`3%)$mlLtsTC)@c%2l)a@9g9g{%mb>>#~w`j}%Yocn<6OGbKZ;m6{wh$cDtu_3w zWwSk$0H98BaH1oS>GY$GzGRO$;Xz(7bAh*CwCU^4a-E z8@9!dlShg1LcXYUml(fDuH3R&L$^7N_U|Zav=Pz%p;%lfEzuWoVo^F`l zYjWZI+*!3y3W~aM<}+5%ULlr)y=yKilzV$Rut>v|-28;PYF$xL=JE3F=%MfEr^Lnc93{uX(13lrR^`-*~5t@|atx1064Za-Ki*eHdHD2ft{ zsfwz}mn_=;9jmcAGqHDYpYe)%u5O$PD(5A$pKBLLb&tvxd14bZbcc%!6~zqItjib` zuWG)e1p@vMc`TS~VYa=>_>$I5_^&eLc?|BlxobJk@L}#0p?GiBI@YUm)hqwUx7sBu z%&hPg33vh{7tYO{QA_iW-81g#Rm-i^{0jYC7>D#OtkGjL7&j89ANv7F$!o4rn5 zk7xYP7E~p$03Rl#!fWIj7Va<6fm`GgYs*VKo$f4s%5vEHo~c(1YiVtT-q3fJYWj}4 zwz6n9O=rp1MP+I2)>e7PaGk|!r4gnGjnG)vQtuf7_ot W*+MsncN20A4^nNiie*gpDatHM)elN7 z$S=+Wie{!3>$|3uCMT9;=I15m=!d5km!uZuDfnh4XJn=)<|%~c0o4}))mG}eI=dR1 znj7d&?q_zMe2qm9Vwc-w4;BO3+jol2mn=Zsk tS0*Ea$$@P8v<_7#CQ~C6TMg-Ct1*hLMs%{(1jSZkI@xNv`97N;9{^g^L$&|_ delta 198 zcmX?VwpMGyA10>%jFTU7yH0jyHk6Lwa&orP4=qkDD%SVPFHZGL&r3|s%-45zRG55$ w*_qLN@;o+On1&7(LK+HTO3$(qQtCW8f>jTuT!@{Ja;M32*z`B6@G9~F0DcNWkN^Mx diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/xsim_webtalk.tcl b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/xsim_webtalk.tcl index ce99532..1a88206 100644 --- a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/xsim_webtalk.tcl +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/xsim_webtalk.tcl @@ -1,6 +1,6 @@ -webtalk_init -webtalk_dir C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/ +webtalk_init -webtalk_dir C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/ webtalk_register_client -client project -webtalk_add_data -client project -key date_generated -value "Sun Mar 24 16:34:26 2019" -context "software_version_and_target_device" +webtalk_add_data -client project -key date_generated -value "Sun Mar 24 17:41:17 2019" -context "software_version_and_target_device" webtalk_add_data -client project -key product_version -value "XSIM v2018.3 (64-bit)" -context "software_version_and_target_device" webtalk_add_data -client project -key build_version -value "2405991" -context "software_version_and_target_device" webtalk_add_data -client project -key os_platform -value "WIN64" -context "software_version_and_target_device" @@ -12,31 +12,21 @@ webtalk_add_data -client project -key target_family -value "not_applicable" -con webtalk_add_data -client project -key target_device -value "not_applicable" -context "software_version_and_target_device" webtalk_add_data -client project -key target_package -value "not_applicable" -context "software_version_and_target_device" webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" -webtalk_add_data -client project -key random_id -value "17336daf-0d92-4f07-b4a4-ff1c52043edb" -context "software_version_and_target_device" +webtalk_add_data -client project -key random_id -value "f67bb5263bf851bf9c1beaa84fe1017c" -context "software_version_and_target_device" webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device" -webtalk_add_data -client project -key project_iteration -value "82" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_iteration -value "22" -context "software_version_and_target_device" webtalk_add_data -client project -key os_name -value "Microsoft Windows 8 or later , 64-bit" -context "user_environment" webtalk_add_data -client project -key os_release -value "major release (build 9200)" -context "user_environment" -webtalk_add_data -client project -key cpu_name -value "Intel(R) Core(TM) i5-3230M CPU @ 2.60GHz" -context "user_environment" -webtalk_add_data -client project -key cpu_speed -value "2594 MHz" -context "user_environment" +webtalk_add_data -client project -key cpu_name -value "Intel(R) Core(TM) i7-3630QM CPU @ 2.40GHz" -context "user_environment" +webtalk_add_data -client project -key cpu_speed -value "2395 MHz" -context "user_environment" webtalk_add_data -client project -key total_processors -value "1" -context "user_environment" -webtalk_add_data -client project -key system_ram -value "8.000 GB" -context "user_environment" +webtalk_add_data -client project -key system_ram -value "17.000 GB" -context "user_environment" webtalk_register_client -client xsim -webtalk_add_data -client xsim -key File_Counter -value "9" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Command -value "xelab" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Vhdl2008 -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key GenDLL -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key SDFModeling -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key HWCosim -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key DPI_Used -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Debug -value "typical" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Simulation_Image_Code -value "109 KB" -context "xsim\\usage" -webtalk_add_data -client xsim -key Simulation_Image_Data -value "19 KB" -context "xsim\\usage" -webtalk_add_data -client xsim -key Total_Nets -value "0" -context "xsim\\usage" -webtalk_add_data -client xsim -key Total_Processes -value "281" -context "xsim\\usage" -webtalk_add_data -client xsim -key Total_Instances -value "144" -context "xsim\\usage" -webtalk_add_data -client xsim -key Xilinx_HDL_Libraries_Used -value "secureip unimacro_ver unisims_ver " -context "xsim\\usage" -webtalk_add_data -client xsim -key Compiler_Time -value "1.19_sec" -context "xsim\\usage" -webtalk_add_data -client xsim -key Compiler_Memory -value "49056_KB" -context "xsim\\usage" -webtalk_transmit -clientid 4125363012 -regid "" -xml C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" +webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage" +webtalk_add_data -client xsim -key runtime -value "520 ns" -context "xsim\\usage" +webtalk_add_data -client xsim -key iteration -value "0" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Time -value "0.12_sec" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Memory -value "5872_KB" -context "xsim\\usage" +webtalk_transmit -clientid 2822203569 -regid "" -xml C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" webtalk_terminate diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/xsim.mem index e0224a8ea2ee24f7f975d5187575a46556b7a54f..340948abb4d9f7cb4ddcf64e039b2106dd5497e2 100644 GIT binary patch literal 19803 zcmeIYc{r5q|2KZOX%TJqB}I~iBxIY3k`R(D>r`Z4vokX)ciBlovP^|!FZ((ZLJ~t^ z?88_F6NABQhTnD3eSbgC_xK&h^Uw3=r{kF8oVl*^e6O$9`+T2g{`%|x{3lepd;0dq!|Bb-^s|ciTZEb;{f8-tf=gXh*sKj4??FYW|0-x3Y znD@V?{a=5+`cu!1j{Nmk;I0K5n;7ed{E(c1FrD2-Lz7-QMECfj9IMQ zXL+u=!&A~J`rL&`Uboc;Clv0lHEBNhImY+k{mH*Oz;F zuRf0~j}PinBMzr#l+`&pI`&ib9MJ(|RQebtofv}g=}J${INSbXNXJ>_<)U%t!H~$c zz_%8L@>g8ceCp#0c1ity09jRu*iLGSOK*UD4G&%SdlZnrt-a-#;rh}{u7kPZPr#snd9fw1P#O~^VKz84!jUJTejyI3 zhTM8?^Odhxrxpk6vkrf79|?Ud@HDrR>*)`rYwCg?Z-REY9q`XYkCA%+A~_}W6X#Xx zGHPnJI&HOkSW`{7)0>;>w}gpBUG#>BMD^NYDK&B@x;VNxksTJQ$W&3b)PAe(ZZ~>i zN##+dpMzwYh&-1j6c>`#71FGyu;^Gu`h}}AvqGq*p;|0ZNb7w0wX$?-6S32wggQ$b zENv5SkWs3;Xeey%sLNgx-Bss-{hi#f88g%HkR<=}glw*Rx`eRO!ecg#nQJAt89~DD zhvn-Y5=VpPFZ5R_V<6;xoRw=2e~1=4-oA>1o$b01)AB*0OFQsw>WzgJR&DZ3q~*0T z^b#v)A9~X_^Zxe_YP>e&9={BdvR3=Jm%8!3-{X%JptzY}ne@zb)wI^<^6f!QuN|;; zOBdkQk1{nBx&-l_E0zb1(tL69-!4z5*1p4?V)dS2507pOexq{65dc@mE(h9EdN=7d zqrJ?gi<}=lh=g93Fm>zl%nAxn*D80ug_A$`cI{HEHOIALAm3U|!QGT&C0d0u8$Nr% z>^!#}Nx}q$#|O{XD%3s1ruFzmVCxL>2VPq#dNb<__~bw3i3bbc2(2s1^0y#&85b0x zJ5^R07naV}qGK$+t{px!%jo!;`fMV&Hq%nEa6i;D|9q@FAhu_2vS5bzm$#AoiyXYIa3+|6@HAm3HR6mWE3yvE z&yc?1j8sd+wvZ9U^NjTeR%CY-GBPyJAX281(Mz3hVAeD1aVC%bO4jb2xZB+hpY=Py zLS__#V$(=-xb}}c{b40pt*+X~dOLAO3x2Ek=ct&1H>PzF#cgPw%1EEXLoda3%sr9t%{&?V;pI;B;z7pQp{J?Scg? zcRs#Ad562dN~z7a3Cw@&K++p+_%VZQ>N%Poo9}s11gIB=>V8&T#!26YdpptbTkYg>-xVunbZz=%G!2{ZgN2LI4l-H>_N_L;+(io>&AYqgM3|9#fG%;ySjXl?wI_;rvmbZG&Vg2*i ziIEnrBT@aiq`|v-r+Csbk=Bf@^Z*zcLYA-LbY?IEQ%@2lA6)-{ihsJh6K&AHl?6Y$ z7Acs(;d$eRk2UFGPY_PBwj@#Uy8?lElxo#5nAC ztVGO8)grCkJCA=0DjQKT984um6{desh&q`vqy^M4k&5WdE}x<4#C-^1I$d3gO`bpr zsS`>O&1BpOkS(*I{-wCrF>7A%hc+u>ill-&&7ss;-z9%;KDe~hp}#Edqlh-80w=*O z*p$ju@ z#;HD&0V%PKM?}nNIh*vUT$Fgs?*kjNVwh%LR^fYmNeupRLL-W5y8Tw^)@L`d#Njr4zLtekl%x1zr4 zl0>%M^AK(fZA%)J!sq@??yh{RS{2UsX{uv#;_o@v(8brEkS`sniy<>}iz!#SLd?H# zdx4nv{~4aNy!#RY~;T4ofhpRMjD$Ux3VC70FJtZhMJ)BLOHoy4;QUGQ*b#l z8xp^?5KX(3yo?ZE8nuJtKQCLTXCr-*23#l&=CrucH#lIe{>dY7%zb#M>yJz5Jj{jC zC(cQ-6iqzyZ}OCM5IW`|eE%N&LmkgYx9A^`Gtzaq;A$9c{W_dq3_sQ|Ri{i32P}F> zv4>1EA1?{lD3{3EO{J+Bq9@x!UwO_uZkC2j*O}5zHb{bYBD~Kn*<{8@h+< zs-+szIS=5ABY;IAfqLV0O*H@W_+ISBL+bZ{+qA_RIZ#|((3K_iPFk@sV4qv&k6d`w zL3|`y4c7XtiV}Gqub1?X9vhlWJnhmAbldtqw3I%c1Q;NjCP9(J3pWK2If4-U9kdTB znI3TRZM1$bRbztLzXo`i;7LVj;7wZt3II=fvwqvIA#T!;k8CoxjS3yF8=@_m{i9lh z2hBPLYrTXvWJ9~gkbS-@t;vTDHmr8gvP@GAa&_ zhb0m__~@L-obS}Mf7T$b)7G`XKrZjkhhqxhe*ywI4{s~(A_3F=F}$-#eFWy~rG-e)=Vq&dwI#jjG)6~%65Ryf_Kf_^6!2IKF9s`G zVZ{Qj{ebzGz%l3G@wTa;EobMbA-9htey@teGx?9BZH~ddF4^#}OjDiu2bi50e!K$L zqv>yBDVcMXuot!?MHK4&Eyw`FV47)}JIow7q94vNbA#hd9`x<^;9`TL7m!rpL8^5^ ziEQiR=77}!MXf8XkAI<>$WdM~yK+N?LJ2Dy{``A9_9?lrdJeSlc`=kc_lpVHeJOec z7dsXwH*UZ?a`kV!!_}rwNs-`^t;p{7jumDHpVy$k#gI!)a%}0FnUrC0s>(v6BF_Zh zp2fpY3q?Tr5>R^TDyUG^c*XBJFj`4fPYP0MFS3nTJPlC-){YE5RXqwUF$f(&go0{( z&cL=u>;VtN_V0bv_8dCv;o)k^UMdoN;`cN}3S3El8X^sj`<@Q-0}?zM#*0CnIO*zX z&=?u65md+usEVyoAJok(9Jh@JCIlpmuY#q+tTOW2j>H6$>9!pT8HsOu?4d(MLY;*gf*U8PWSjK?Cmyah%#~I?L2hR?e4u4EtvbOOPPvo8U(>zRkOk+4p$E_&7 zZbRryI7A*gyeW4^Rq-pSqO<+ZFX6UG-Hhzb<4VqX`F^`e0y>b-r(q(1s-i#cWc+c* zcE_Cwvi?9V=-1jYdsZYnh1tmGrF3Y~2@GA@-hhjs-JMSb!CVGJkF$X@N6%Mhf^JnE zT(l3^j(~ro{tX~R!)L0iKrFcPjNAp+tL|0e0`qIoxCUmmPR~mi4D}+<$nsi{4e)~U zC#$@B15N>otTE&3+MpcK>P=7qbvvmsZSnDo2ixM^+h?+y*(Mq99&OxYz0BWx;&;A# z@2$YaqS}`%-t@xDwSYi~N)dTGX_)bT?JHlsJfg5xq~G;^#T+xtqdGm6Ge0dZp%$uj zM}Is@gZu!C`V)WSdo8d%lZ|>!__^Y{m(tTciO15vo^NaJg~FK6++&9J;LV4m1n1_y zqqISi`#mAg=QOuJ1n94qe@B0sS#N(*S#_r@x+i&}0E)iMH!-H8Po>6ZCV><^sa*1% z>H%^9NO*O+Lnaiyh%{SNf(1U}9M!10j{wnsN z&c%X0MVGT`N6c;78%^oK_YYTRJQdXOUOkQ0BdGqm0{<9UZL4^n7NzL}A z-9E9k$IvL@@_C`1L-oPeTzwTXiWB@vMp=9*7a6y{Bzfyxl)1l}=XBZIx-rRrpLwge z1}uiF=Rk3cw}y37^;4*Rme`^=PG{|A^C9qD_yFkB9|VHbm3QsEmf&Qo1d;u zorffk+;HqdNqY)hEq~#*9&-%+j8zC3x2r$3RKpn_znrxX(mAmthm83maYYEy8qZBT z7hV>xFiY~;|C8u;Xz0$@SC{mK^`3BthI2b@{%ESuc1FaBRpk{>0$yjkz34ky@#5CH z5O2-X2}B`e!fqq*$&p7_;4zdMLH8g}!6PD*8%p7o-GGL!wird+#BVzeMZD{=bZ9u6 zX(NlP{Os=FZpZ@uT0q;{+n1&vP0ruWyPC7R#{Aw0bm3?PX~Yu}aCp}AfZ}`VF=W*x zB8-TQJ`jKGXTR#*he>)Zrzp^^l%VFn+4*SwZujZMW4TA&WauhTLg|Gf)gv>|Hx;lotOvu|qi#x}5fj~z*d=+?%^V6VfKou(7 zOuS*^bsX{fyysD(?6J(B`g_(69QB|+4TFtKQX=QbB zu^d8JkG(Nv*D>w3(0e1~@b`GW5)r#XZkRSqTv-3Zc+s(t{vOAPvXjrbQlB z)NZ_E=5?FHgbkzXJUm#BUd>B`l&o*uFlNxQ_;tk8bfuQfrq&gIf{cx^{WUKx zl(+WiJa@~fE|hyLVeOL9;Zhm`utSJH3h845rSYN8EID&Jinuo6*cVIOr5@;}R^G|! zj>2Rex@9qJR^lOBAU^_AjeQD4MR@w0NHlKHQ0x6cvPDK?+c6?L{8cjm?A=d}1OUL^ zx-}jq#3?e#dk9Xi^M|j0Wm&2ReXf3-@<6bmA%=Pgsp)N@O+t5(=kXnpl%G3Vi za@=Kip6Ko?&AUnoUa#*BOk`zxpF#|;^068!@ZnyYZZ~|0Dj}`Ll61&dn8+HmTg2qboYt>x)e(ciT_ql)9(cN zU~9L{Ao!Ub?6?28HdKwKM*V2sX3>mC2lb2Xv$wp6qb|4-O0-Gpo0nv_grEln#j^UlZCQaS^ z5WZJw6~1Q@X&#BR1Ji`D92XM?qVRV~N`;QB>q}d-_=EEfIlHA?_r0ZP2@YdI(v_%( z4mILt$$wER%=#y>^VOD06>F|FKhcK8x@%08KC-vK7XSu*fr|0MiSCt}Lo@_SU??j# zSRbYIvNn?eMeW9WsD;|f(&7+0lpSG!By={m z?7Ev|Vr`C#^W+_l8yZ1zxbmD|QPj=N%dxI6Jm8@i4KAmq=wLM9`-BW)6V3+TF>5QN z*2q2+|DJxSRbX{3$olqy_1uH3cM;ML*QNLQ5)1`#IzunLGAFs8*9NfH#bb`v@Sxuk1^H-|HYIC=htXZKcOKqrrDUAGbYz*fBke5rEI?!&klbNq1BN<1M+pQ>Zec4?vsz*1>=F%Cb{MLD)cOKJ5h__z ztz7rRIU{6F?Pl{vw&#-kH{f`gKqk7VCd!C;|MsHqH*# zu7E@E%I3i~pZStsL#h4FtiR)Y+>aT{NpXKPc?*`DTFmY>ydQZw)KvlZ%(W-CO2-%J z0pp$Cu#hXus*%&WvsoWe@?lVY{TPDv*I~GoP|3AOvZ?Y)1=l3qLwv+Xq#q98jpgZ% zz>#eZIOqZ{=qBW~bAk25iX9E?r!mVRmuL@IU#~{Ztet2+SWvFJ&usnBRbpSr5R_*_ z_?~dWM(6Bz+vs8;e0PI8>y1Y@DhrmK&E~yX9AhNp+GY>6I_(Z0OS=|Q{^ZCUR5SR;cz9061cXip)SXHFC=CWG|fGj)TGYsv^3PX_|fRQkGHlTTWr;NtIheyIvCm zJDCH&*UViJ=<9H>^mps+($fBFc2kfmCCS+60Ob_bGL5)JL9jQIHkq?mFpE%P6)JSIv_aX_JtF1DDr--PB#X8YTK?nhJ|7-Td`XSIpoRiX|McbMVY>PT(5|Md)BKg^ZZGI zp9}&|gOs+WF6kM)y-#&Q?B0XtsY320{muKtQ>j=gRf=X%Z?%Kv6Qqcxja>=vzx}qF z(wVs_m~tV+yF{%m&F^iWV|1NI7z8FJz&6)PstF===Z@upR8|}-z~e)Y?4WH(z*`io zoxxM)<=R&8@R<%i*KV9d|FLHWe&0j+BMgSBIY0Uym{uOM4IdaXuOU)2P$ehC!lqV6 z&@?*ouF3tuTibklr$M3|83!9CHU~Al_Vt-#Ct*IItq0(PZF6%3HF%batK(!cr8($kHM`6EDT$Q_L<%VGaUnG zcElNKxk<_|Fw)Dta{_&5us-#Y;lo3?AKeV=TiIX^ye}MyN0HmEzZ_H|(b?G08WXJ@ zZ_aTPL#_TJxs#%lsI<$l*1KV7$mh6O`2RA|bJnPGeQp0Ry_LyKyZ-%D7oNXuWeKQX z$932g=Rv8Bd6p=>bN_>u6QY#Y{6H^QsIdj}vpYL@|avL{_PPE=-ACG2UxfVg*O$d1sQS^Gy{Otmt zs$*>KAf-Lego?{HNQVw5O!_t9Q{Qvc+miMIl_Tae+)xZHaueMZuQt7ia9FP(SD-L- zRpW9w9Ee^2M&8f( zqxUUQ^_;!`QdM4*@|107V>c`enB~pkvM3+4!ra<8?`}=lRUZcsHMm~dq~i&&EUy1l z7ujf@(BbrG;E=N&qKnsp7gh{1qhBOzt)1kgZ${+JyL54qt{4q=L_7R%Xg+VGo1m5E ztDK&n6RW4dw-4Y%Ztk$Asc`OEdwjvg2ukksNfBOsPM}^DVjZ=J$5LEC=2m)Jq7?oi z1`OH%*HACeq3z&q>|N-q{9 zi8n$3N$;XI3U`(B^Ui_r>kD^9tW2K2Wk%G_bX#AB(=GNmb$oF8$J#I^8UOTc7@n1D zqy0jWv+d3z1iS42`kpJ^_wRn9KPWh*qh@l`|JGtNSOybO3XdxZn%h*K;VLpj)<6HJ zYm$Y!{^bt+v&r2hFTsp-v(F8c-1*OXW91ts-J3pH0l{c_0ECt+C=PtzejjX?+OYyx z1%00MOI%zkQol~33RcR_Id==HmR zHR(}*pfDh({J7|aV!y=J^Zg6UIy-gyToG3I3yz*3k%mx%ZE_qLy81uXCY=+%!?mz2 z)%^OYcVgJ({xm0c(<{29M3LMFM~Q|v74BF4LBfh>U^km#FJmtoT6!!vT)44H5U08X zJZwen^d)3F&mQbpE`xu!6cgCT2*5r@IXj360vL}nBiLbAy5GorT64XkgUU5;4#LvQ zgnl*bdHqT)_@*Erp-R)~L{|?{9q9AsZL|wH(W8OFpAXnOL$}=iKzAgUA^+gW1y1|9!pfTM5#4a6u*enaNzR+>X%g&?_L z+4EU*yGvO>_zQCQZfNx@lEwbVaDdKfh0R!oCPw@&KKIi7-hK^1o%! z2tXEtp@6GVAGX`mAZlL!F1$y*Vc((Ba*?^6F8l;FZ%nMPtiPm;Q+%o1Tl}Zre3wss zzf_^wd>g=?&<^*bMDiAp`r!0WM&~$`hFwPE^gmR#HRQuJ!Y!J zzjpS)fL2_7fra{a2USDn0j(xYvPZ=1B9Jty54Be#*-oRBO# zhR3jh#d`4fBpogNl1km_qk#;OqX+IdZ0AOIdG-g27})pAdZED&PO?MSc?*k#ii9aT z74}Pf@<)f3VjRODN`Jw2$j_L<5}oV}yZ^L6honQGW)U(y~z`D>zSjwu;-ldfnssnl#3+vVvS2B4??i;PyPndtw zlI|yD;5UV@i$D4q_O~+GEaaB-qR(z587Y|{cT)+8bULw*@6qdGF*|E1Oq{BbF!_T@ zf7HO%0gAt>XDrr=MgCQAbi~lFI-PS2ya18@{W?7nD2C|bak6H2YkWVY^{#YSoNRx? zZyh*2NGav?r&4UrZi>TDyR&PBie&IuEX7fwT3G);lek01QUKgZ>`0Hw{g{fs(A@_! z1H|wJFS1Ip#k-3F2HKr1?EUVn)(aA`9@o3h?43AXW-#SR*e{apw;Q-O2N6maoLk=S@~7WurFfui(=SO+n|Mpw(^YqWL)^S8He(wn*YwHUvjuSI|m#-0=8uW&ApO)`bl+qfm)j&&$=Tu&kfaDC>i)yGyS;JO~zUOcMQ3BHlI-M3I+qP`n4+r_nW*b>$DdBl%+ za^b&rZb@u+nhT7Pa_`{Psge~T&ymE++kImyos)9-(Q1QVn;HYP7Y2;5O27$o1aT6M z@!d_Efp3Ku0Q+pHVT0S;;fY4zNb@H>i=zE!BIpv^^5nRV*8uXq$XDJ5_`DCjB?MUM zJ4uWkd(icK;Vqb#g0Vg#)*C=%BVGY&RLC^r-0e1A(Nt zq(kub^M7SvT`EH_Y$EbqmX%h;Rm(kcREf$!5OAx0izmVM%~9|Cc2`KNuhO03JnLD2 z4FRq_ka-Y8vd-tTBlNm3&(Owz3B^ARR<&Wp=LqQ*1&x$}?sD(X?Z>YGw-Sr{(i%3! zim(ZwK#8{&H&SLJwtM0gAN!tU&R^h`?F#8-j6^@suiidwNALmE{b3Fn_OJePnjOg< z+ACRgo)z-CoErMGHK)ilbF!jhKvSXq{aTGu4F_Svxu&h{{-fV~r~L@@WNeghO%m7* zx~~=cVD-+bzyr?|b#hx9N;!bgCzYH%+3 zp!QDw6H)KN6@7fR>9mv&30>3!eFrfBqy}r;s#>TFeH(o^Y@rL)~ zJ8?(>wYx<8D;;s|t7tgJFl0-@Jspbti32X_O26^sFCvPtEU;o3epj%-{E;X`CCx=G#6?RSv-l+LBQ6A-uolSjXIS~ysG7Oyi zJ4;?C#G)ZciaW*a46W^;V3nZPj<|20-DX7v)8^>n9-*tB&#F!0*)1T)oG}^hdAa5IMu)r!R`Mo%wUI`m}j)f#=jiOe`}UE!~-YT4co80`N~_OIdtgx z9p~8&om0*cf8V;Lxu;et%fF-8RHNXI6}Ow|{0Z>m>t|%X4`-8CD?`gJD7a^(XEjXN z3Lw)TZW8fnUh+TwYN#oZzT!rs+v&Xi75NUABL>_iBrjt znHT6VS^U$h_e&0!`TZ*{1@{C-lJshJq!r5P9!Mu#R*iibEPN%uX)cGw*#VWva2P*MSW4K ztJg!(yyE0@LMby6td-zPB?Nvm(M-P-Ljt$fh@FP(TKuQV@7hvE%Wk zmbV4CxFpK;61doWgbQ5NK;VpB12dq?Rn-CLZZO_Sa@|gni;S&7R9Tf&>w=3tT1-K_ zP|tag`9f`gtZR4=gWiVh;JU%kI|D=xD=N(c2$m7as`c%YDB-yJPfQXTI2&my~i#r&65u*`H(Cp}KcrTi@ z5as z4|7+w0ooRB?_``~C*ydN?ToY1c35o{l?KKUT~y6F!T?Re{Bb*P$8FW94$yM)4y_S} z-o~Imq30I6_keYVi6;1iFcUfFQ3eEy`Hs*JCf8;M=5^fWAS034)rUaKP*KAn-(bAJ zgTif`FJid1OBt(*paME-xC8Ws9byNh5I9H3V0A*B_s9i>rxFxFTToIS-GE=L_??`~ z?&O?RLg3tL0sRJmwC(pFr0ebYjk&Yqx8*j{se(Jz3}ZU7$=m?B9S}7P;%!~ZBMi`0 zXSIX$&>f^>c92fp-y&R#19fbb?4&z>C*7Ob+v#3>ypwK?opeK`cG8_y-9V**v26g; z?Nm)|9^);&xya5hr36>ADfg#@tbQ2 z0<6V4=t@W}t>`$0oB(N>k&(&O8d+&A$780N8?R6D3b=IlJC@Nh#F4}^Ub(e~SBVLl z!_y&rqehZ#suX7KKH45bv&;$_V%k})aPL+773C!sn|*@Rzty|Nzz$?GBaUqd3$ z=8OYrb=B!S-W`I!kVmGQHP)$UnA1lMD^!XuLQx0Nr9Uq7{nqa8Q_m{pN6$c{rvn2Z z>htQ<$1oK6M8L#KUEqVV$S?2*-memc*XUOvLWMQMSS1C9&C*+7M#_JhzMnF24~N9d zUhaKcge}O15OolW;$9MWHLTt(91^%Cko2g&#qq|PvU3UyC1^k5MYpNHOnN=2GBnK#r98R zAbD7+Y>2GxxQFKMvz&keYnaZ19HEl?E+(i3yA8(1i~nuCVG!RC=T_5p*e^DgV2|i^ zd@|=1ng4ih|1z@e9H#S6VEXQ$iteCFK9qDU3at|hx$SSzt)1$OIOYFNc~oDQDJZ>? z?Ttiuyy|H#tfsw4eJ}Kt7*hB>V1|f9+{wb{aRcw(!+2$*I^P)(SaHV4s*N8zF_D*8 z8$7+H?D>&Aal}B_R=`NId^92>?o{%ldQ;g5o}kvd7zk7p*#w9^uzu(Tfue3Z9m2HP z&LxCe3;f-x^lHdqm|^ZY%!ybKeaw)2scEVS=9MfL_U0!Sx~cA8sPS*9vQa!z0q(10 z7;mgINAR?t3Je}j@m(euTob>k($yaKZ7;V6UB|>RMkD`r@DR{Ks+i6tX2kJ50{&k8 z`bvY|jIu@fidil9l{ImrJL?USwQ)sPaujHJg{q5<9HfqxXwvxSlG|`jHNC#JcOp!j zoXcvOl>mL=7$)>55K$bK9mF76n<{7tZGnfS0dSaFJWkb z8SeDCDlWc%Iqq{&V;+HIHU~{Fisp5`g40I|gER>Wefpg_Awmxde_l~asKRvoD+V(sK*80mgZwnRvhHB?Q#fub|(Ae7$>RQSJxzgeh7TLnNjNunZodPS6`xY#GwaMD0Qy z1Alm&SCwyj>V{P!nSp%wj?Eph0@xT{bOU+2L++AOujX*7$8i*p^fAUqD!ykAir1Oh5hzShp< zQS|xU(!4ct7sAO~&OKE8wlN#1YiYfF(kqJv0QF(59AyN;v*377UX*7*wEcknP}Mef z(_{ed9%a=AZd5^|e3SdZ!WhFZUr#@)j3!Cx6uz2tM+B9B z+t15fqXQ)Y5UB${>qwxmOp?eI_-!+*WL8KN4Ir#jwl7~SBS)n(?(YvlPcXL)tx=0u zw4z;qdY`>PB#5#*bgQ2HJw_KL9FRPRj4v#F5xQ_R%& z!p_E{Cl9JmtO@gKl?_&PVW}+ywI5Z{yaA${hi0;Uu4iU7SuIAabn=}EaD6A#jxYBC z4veVWA;+B}F)B-kTw7sX@bwp}Dx!$~s$tI}F-9jX!{l3Vn#)|pm}p+yg8`ob@wi8& zlf)&gImtYzE;QqBkjGcfkphcph)O5zArq>$rePrK3BAYd!`RUVkK{OKj(K}wnPbF> z`Px8l2|~#|0~PJBqd)mL6{;1!3xa3XHxQi&E5PSfEl zhtfx+zNld8l!Frnm`b)Gj|?$RWvbN|Eiq#rTg(ne`@ffh=LlMY7k{7IM0Ab55j?Js zTEP=P_K-CwEP<_%51(*$G!~;}o>4!M_)lF3wKKY+7v#jZN!~MU9_dv|)EpKku|Atf zwpn4$Sx)sQ0oDGP;6$~|=3R5`6Ybao+RB5=%0EVj1pVvjiaS8|n@Yi#ROuD~C^@1k zIdrWapOVi|DsJIRL#LWyo=1 zfW+*N2C4~@+dD#B5E3zFfRRC-*`M`ml9gF-{MU-y&gN)1hUt0vlbpNR zuO=7JYL-*`c6wrl?6};EV?486BdB57e<^~9$c#t1OKA%L+NZWuL13Td&`ZyXB znt+U-V4Yp;CwVm`Ztq%FsQS>!(!CvLpa$oh0QPEx>15rBQ_52~AEn>HVvL-fd~Q0m zQ?_8JzI;e7VxYtj;M!#D<{HHSC^9r}_SjaYUzKfm1}E~{zZP0&e$o9;m)&iSbGI-6 zi5oBf!{s`&KH^Xs3vMj9qB@Qvrp)15*eiL4FOGR;tke5O$KtP?qD|@_?zKGVLX1cX#GBurNp3rxPd9^ z0Gg2e@1qH`m7JfO;u5<$+;!c0t=sAaYe zmO{xmw&ywMC{M#s)ZKX$?89jGj+a?WbqvtyC=77@_&YF6+$+0tN3(0I5`{eX9UB7onfz zlSZ}@$D8Y-QG57?GcoZvs=KFGG|gmdZS<_((V5|vW(CQiFx~Xk)I{L~ifJHG^*vmk z%{lI;k}6PV7R~M{zuZzP{&nn{0j@6eq91BQ_|)%j0cleqA3MT}Mb>?S2kz6~)$g9> zK38%zXy11!uj3_OK9HIMSnv4921FXJorIgM(%+tbEnqE8PNSthyje@T9rj-6aD!Ah z8QZav+nDT{h20mUF@J}l0^G*6vp$~oO$M*$M$LzAd-|)`rH7IRdo~=uZ7?5h(o*kU z3FSQ^$h&YFdRoYjXc#8#89jsLJ45A`7D8nF;?LT;r#rPJPJl{mqE7kF;#-qhqh4sO#pckO({U%HYz!vA6 zpHg5>U0*?Z=3=~AAtlksE(M+bt&GymYoYN!TXNDwJ?=X+Z?>}KrvEJ7c!)n<1l^x? z14Y6grx$Xglp?Xe_8t!L@vfBW@)?r=_JVkhR(&r_>4ETpObQEVmHA!8Fn$E8Jb~)# z%)LHOxG$j<5b``Ga=-reLsz)7mEx7 z)*BwfKzT5RV8h*jKR6lO%kT&)Bl8N__e&PL4m{#bpJ3Tk^7Ar?HOiYoeOjAA_pmwG zX4R8IKFiQ>1+vdi6*uXRD{5byLj+emQ6tbk*jx!!CO5gr6##@_hGA ziUv_^?j!WKL_XA(*T5vSo-^a)X5gr*5o=i`mjhfKbvBr(xAJ_N1qH!&t znnR^nR=Z3bu{FcIdM6V%ovl`qs1syc^>U>??#wj3*5EtEFZq?@sKm4$opF_QX3eNL zECJ@8Qyg~3x-HS#@kTA@eXZxe;!Vu8f7T35*G}qwg`#5o!c#fT%?raPe0#a6G;T_k z4qiK4P&QH|^y{o!HA6(E3lf(t;<*?YkMc#_ASDJF3_-6f3b|$zSBP&D%yMaXO8l!f zu{mF>V)o+e8q_M912L>fm#2S@pcG!Ze>d^~E=ao(p0tB{7hN zC>l|sgOpfy9=FfDDO3i7Vv^)WEa<^6>Ho5TYeWuf@vnJOm^#Q zFIUE^htY(t4ybILRT8{#LtPHTBPPsh7&Y`RoPSV_Lnxm#wfo$EKYQr;x@yg?Sr>XY zQ*hAyTX^!GQtG#qju3lt$^%;B?*c}hSvqd4&s;gA@qMef%^p_j6Y*4W;f|r=yu&$9 z`Wl2a98L`jo;y|jDcALFL6K@wG1g?CeBJ(GGivV7*wo$^-Q6$p@;GN4n8^XS&tEvn$m~|>4E+qNBiay($wRy!w%bKyV$Me@T^YR5E`{yE3(GJ03)Pl`f4h|P*YhKNSMnRLNuQiw zx*Z>W`J_PhB)61#&A#HiB(<9bi%H0Ej#QH{=#8-Ye1X~0Vz#S(-Izy_hB+?zB>B8I z`S z?^JlDc^tOyvAE_}vGTHr(?OOXt|zlkp;4>M;rT({+w-o|`Sas1l_fnlOiZ~2%=VWt zhJt5^iFeIY@(lL>tks*JeyMz?NXq=#k%}w%&DRj!gALhfCFoj4OyTpoK!T}hN8-?Y z%q_KA+Yeup^M+Mw-rt;3sYMS(HYF<>U@S0>zeva!?3d~HoPPMKSF)zMn5M9|7 z_kAWaj>4=hp8IG$(37@6e(7Yc9Xg%9o}@R-R$Hp>KAI#g%-SQ2P&Xz9NZa)H4ff0X z8O1Llnu?3lyR78;8LrH>4?;;{fi>OE^3erPj zp^6ZY5^0Il009Do5Rwr1_6BF}`}w}_INm?+&u2VzyVqXpTvs`-b6tDO?AY-?|GV<> z&#eKO-`5z-_Wl2y^}l!j8-f3g!2d?ze<0cW z4E$dCuX+EkY5&LDgx`7|ynn}zpg-pA?cGKDM8pJ+oWC%()R{^r07*mr#m`C9Xcf(^V-_Y9?=^U!s2 z%b$5?(DaMLQzILum=6XwJ0MEeZWUA9(*qjYs;cb!`A=0GYOXB74`_v1WK}94a$wox z-|pMJwlNWsX~pCijF<-$1YRL^$%Qj@kX7x$ZG?KWqQ)$eB#p>N=m-vUFDG&nob%~l z9qVkb8GOhwbRK2Rl_P_$z~qYrz8E^+F;L#WeRIUf`D@`s&7hZ#jkdUrSafiXK|q*L zy_x#uyDW|L^@-5|%o`r5;h^L<;$EOuxUPjcLA+>*HOo-e1Y&>ix*ZkqUggb4R( z&$(u_kmdpoHK6R)AdOI5bm2IruNGT9hcRIUM3Nf@7y;b~-%&RHK1teyvZq{n_@-tB zCdtk@`&LnB@~%sFawrX^)!VrCVk(z7RU@qZ!ld z1LfpX4NBED@6G0|oiB0c#dp>(;K!afY$i-KI8juW1-zVPw>ofLhe@H-7+ z$Z+;)@2scv-YSvsLn4UWS?-jfn?}RNgvyU*y+<_M zxN$hOb_S|U^~yjE%7_r^4<#d${8Ua3<9q+m)xOv1dIiZ(pUO~3^ z7lJ6&cK$j-PDE=4qyOra8sYG0B(g68xoXwpG=3Q!`|vzBu&oK;mv6*H$Y_vDr97>7 zK#>5WH{A_0FqFQ2x6|uQFwCIo0{N>-MHa~?I{fc znbB(A;zPavrZ{C?OubNpTF8ISP5BJ<+QN>yG4Q<}HG66N1-2Hofz>REr2nE6EDc`c zLeK&xCh%5Wc3n8h1eK2hT#7&08O_@y?Lf>smoP( ziUYZ2|3I`ylhH#>y{1sI8)}kBNK%>3M86rNOo#D?sg`-(_u+@`hls4ky75#cCB4-( zq=)$qhY<2!_UbNMF+(U44?H4Tpl=f6eXSy8>E~Ty}pFf+qh5g@QHjhqZ7W<`%QoF?RV=FIu{v3-h?da zMT#Q!V$39v>!UOb+EqB)^;HwgYCrl2O)JIaLfLAOgty+uZ+CN$vsk-)owVecOtv7Vlt&wBdrnOMp~Rv3Azv~(wICL0G- zUAC_=`))H{>Vl|4B{ux!SjL<~sU>O0~>545FyrN&J8#6C{QAyJoAMEQoq zWNM)~hJRqOqtzwJOI$Y;5l}LEf&IFFwtyl^Zkp1HruN-^e+-MQ zZV#6IQD{zIEK;V3H%3jkqFH~mya~Iv9GuO55>-D{Q>Lmli!3jfB9tdhON7#D3DRP+ z{dK6%e;6o5hAahGxsNoK3^OA$ z3};-qZ6DjTyFS=*F`K=UOOd_|*Sfzkh|-lRK}}=}3cvP*`|Te71NKSG`~UCq!j=z1 zV|(j`ZXPDK*f>$SJu0l|h!QpE!ZmARcROz^W5hF+figz7;gXFKLP$jZ72=(cu-73y zaL>gDdV4RqQ5x)5#Orj>>4)jq&7N0`vpwvhSyNiilbdhc-U)ZV*Z)O*I6a@Za_|jN z&|qIk^$VhAX;`KB4@};rVOL7S`4vx`992nqR*dP<#V(ma5T0G9zhjm+a^<~rNa7Bo z9%^8tzf(>bH&ChjLdeo?Ps58eJN$;rZA+u;4ZY0Y0{iko%o`AG23B4fO19E1mJP6Y zVy^u12C(BP^i}GGWoTdKls0mpbhg1{WuaaVG<8GX-a5POf^P}0MJY+-me;_wVq&O~ z7iLym>Opc#bA2_d_o+#$jl#7xj7YbTA2S;W;9@mrbTBUrSn@})Ds7gcFwFZFVX#*}r49aU5sR-i$gm~Tf9SjFBXh8g1q7`-gb+zsfZXQ%xt^+dZ z{)YzQdOdIXi*jVmFUKYAj8kE}yVqCc<>gVDx}P0dkS>s{I}d^~-ZDSQQJgi-#;gs% z60nnx;Rybn`%&HFRgf|Mo#wcGI9>3%skO1lWO5Gfq-rhn4kGxI4+pU{y>w5AD=!MQ z2Av1*rT2K9Z#=<8JU2==)Vup@CQQoiefDdtf=iHwm3(7a;xYdn&J z8b9f#oOIJP0VcOuBc&R)K-z=s!RzHn*z_<)sqqv0?IJM_n96>(XbkSQ(mqmc46H!d zG*dtbcEaLw5FuL6W#)Jps^kqm4*c6trSY-rGP{cd}hbO<-g2UD4 zg0jJlP=drqIp9XR=Yt%<0q1>QCZHR)z6>1%=UD_%z7p$Nf663)MmxAg+EXx`xP&`_)qsSJOSd3Q79eKfk1NqT!Rb zxd2Z5W?a1x(U^DPSl8c!B;^XR8QkA&9 zz%&r8BdQfZ2&qwCkZc|Yh_j%B07L@d_s~hq&iqNlaV}!Vm!n&OFbdKIbKxmSd=AbV zil_$ZO${6k0LjC)wI%zp?U6?7m&{JQ#bK#+G zmnWay>e;Ip#?m5eq$PkyDt{p0FYD}Y%rhPMUY|P>UspeohobIDn>?RFhc*_##`|Bv zx-p+8^Z+q%DzG@;$NrcwEHMKJ;fejuA)$bzq~~7Zy-uxmn&?iYf$H55{*p-fcN}(a z;=J@EC^cBqmqb%Qo!YPFMHMkbL~1pE0K%wA6k6FRaXj3`uidA9mET=31 z!)XLX5Vn|dMF}Zv_wV-lBEg$aF%GwD73kL;qDFl*`i}-3W&`|GoL0V1Ha~m;_>dtk}dEc)ld4!Z!SN39FSoHay zbl-xZvf%Kx{oirB73>R#fRp&q-M|WupXcr^;4RuZBbh9K0z1DPy%hZYkLWQ=>=+pMynNxX}_a48mJ`|;3$i<$h z3OI{(hZz7R92TsVEw=Mm2!Or3ry-soM)(EB@I z+x;=JvoQ-t#Ej}~{SJwB;qW#gdI!Jl$<4L+up!8PPfM*g8`m=xwT$z8&2m>szS}xb z`x4syGDh}{?NrPkgHm1hEFSGW%+M7!E|RWAzE?)(d?F-P`}}OqaG|f1>&{FHOnlr8 zUnTibQ(mVl|5AHATS!rO8D(UnM1C?b-84YU`(Bap>_vvVwt=#dK+8aI6o6L0!??)W z>kj*K^IGJe&;{?R4^r?3wNwY8l%AX~(#6^b$x88*3l;c{c&FYlT;IURn@io!GE@xT z;cV?tEan#P#n{5O=kW(EKi*5|+wY!$7Ys`OddCr-^NFk_s?n^w3oKRdynjXYK_n;yAl;3Q`wLBM-5V28f)rt+B&}()<2``em zyK8(Tgu_p-(+s|I%U${OshYGj=zB$W&{1Hi;pjwxLxTft7Z)}$e{fxeB-BP2Fejwrls*gG-(qoVD(_sgJJe4iz56pGUu2$Dul-aWK`G3TuI47DVl#jB@3GZ{qvX z(2Hb=!wlPFtkOl+ALGam)76cX-3e@ad6vS~03jAxfkA$%A?-H8J#a8+zA2AQaxl@E zl_Vp64Ph9Mc1x4EKG~q{x%zSavsM1-8<13-fm%!jIrB`;$lJ!=d+pH&EqhmA_bZ%P zVBG2UdP>=SbezBqA96bs;VTb?SHk4Z54z$fC*je&15>?UJbl~(%8B(EaC%h_rdFcVp~^yq^X_dQF3;ZHTZ`=!b3#c z;{<%RBZO;Kq*Zz+MS3Wp&@Uu?M9HLH6MGR~y&SN|8SkuC-9I2`9yvid02O>5i=SpV zfBK|JBrGHJm*!KqxR?9D@8nKp5_<2~mz)fxGm9RcAK;|F;ixq5=@E+_6;m=hfIoOf zu0#0nnnsl^);3AjNa-@RQ}Nv7;Jqnju`JRie~RY11z0gld!JKf0qu}s9kc5Hr#`%5 zT2=M22}^E=*TzDZ2~WkVB(b|c!P*>NvNO9Tp|wa?=i>RP-N-wuXU#<&8H_*+3otNK zF*!S4H}>9d&ySq{j&ei%IgUi}b8NP9W%4U^J6Gu*7nN*N9rzAG==b$^X1Z~`qV28! zN!IW05Vi>Ft!fU^&83T8Sp_b`fOIi+>^^EUh;c3 z`7csf&PH?Z(n3c5;=*#GZ(-5Lu^o-o>2OmkXW?95mv^)VYoJ6eJJOXwfqU7=kQob& zUF>j`dUKzCp*otCXEIb%*}4=GSlxYj_X7mv+(*ERqt3T3Cr>2Q2=;ttt!M4&raS7B z00Cj_D8-w!5ex$Y`Q@VT>yS=KE`O6&hc@2~$3o0cAE}y_Q=jr(s=EmzidK>j2SinYhF7Rj< z^mlsf%<+^QOOpH-p?uQ=TOAvA0L!k&tS0zGAi%amA9NG2bJO_9{)z8Gf(jcKW*7&&x@5{ zjTxHUS`{@Xc*NyTR`1PdR-e|D+R&77b;rj)r%A(bvx1F$-3Z%9-m;IF#QlQQfIxQ=Et|-3? zXO5LBFzjlt(3}#Wsh@?}(o#<~sVJ8_`5}eQw^i{w{E5n6A+<|-pCGRpUQ=WgVWtD} zc?vyl$kAq*s67NyiC%IS)s?EQ1uBg~)GRcGY!OrqdeYM7=l{HA3*CHYoFhyug8RsyO%N zQ`NB>S9Vh>(p{fbiI?IXc0Z&(9I{SEcp69z8!FtxA#_M4e?OlcdhUu%_`rog+?D9w z9pQDuGVF3nU-1v|S!E|AiFy6`c}&weZ2trc@L4uJL1&6 zbBl&lTRMwr>8o}vTg+#im)c-u(+1^i!|A4A+TKJ2qC zfC}bX)*sR)T2kNFQX!YnD02Y;z#B<6D`;zqSDh}9WL_j(*rtkCW9u<>lva^xRd`g=M0gK^bc+`i}YB8A>p&n z6CM9BvjWmFE3U{mQEINL-NFc4W8KU{P+0{iW7K$qs|>dgg~`Q*omc$`VYhWJ1_U;? z)Dbw`CDPl!zEV7BIb$brpBEfM=heHgWE02V%V*`jX<>MOJNW zJ=O`4`JC~2g*gQP7eflkM-Q(BmQ}%*SR1FcGYUGBw3xj!@L;syH??F?7dLhKPKH#j z?L++Xh;(dFJh_uXawC_F-VW9GLn&P9Cdg1HDNUU@gkOOl4W(n+DL-}eUWKfW*bovv z2z?SJ_+-RT_l>cSuW8Ibua!7xKN~PF;-WXyd21Xx7vjr5$$3yH;EdK^zAZkZ!%XwO z6_DS*_q_@U*$z`&LY!R&&ZVMv@9^IttEH=SrZ#_Y1}I#LYv%}lG1M0yu0_!Jk4IJ3 zB9rv>G%^RlcSrv-GFrLK(oMDVOP9GvbEL+#dGybK{Zs}sP!Le9+~kudPNse> zeZl9z2uj}dZ7_K@U_TJL^?~IkHb)=e_3x_w@b?4S+SVZz%i(iOeX{4;HS6xYFVVcY z$imM>XN0tg8MPWAG=q;2#>onx_V#6{`(Nygu~il;F(*1cQlV*-n&194v?yI_j%|pF zot=wGHP7x$XWV>sy6!q4OIvawR(0VVP#PKvAML8 zsJj=XqW=LdUlH0WL#Fos2iU#l*1y2br;hW#e*Lcc>wiw@!t?<{dVRodG_|MI{mC7> zWYGV{%oX^~ounv|3qk`ps7{zcDm(aFKyflafzMxR{-Z#+uWKhyp0nz8lt0}ka*k6J z?=Vo@x|CD%MauOP0viQk2Fh`XG~-7wEq^*Wr000u{&iFzO|O+$)6pH9upAQgIlU!I z!2sx2g4=J!z-w)>*httHlp9xC*pz-p+ zcMmg=Yw*VEFlBi38sbuts^l2kuJrrruMibCT0kvsH zZVx?uRmiURcU5xu7D&!t^eg#BOb*PZUwXaI5l~=QVg~}RQ;HW-Iodc-{OZ%>9T9@wI zxe4?wg#rm4Nd~ADQq{L|Wt#zb=LpJRF&#`9l1k&>?0n&9^mUCH1JLSY@K%3D_`vWd z6he4fLhSLM?q~fm4e!|9QK7nww$xAdGYC9N+l*a&??B<gNepp9b z>$qp-M4S%4ic93`oW2TlZkmJB4R{xXr_p^t?YS!d_VYE~N>Q0m_j9{y2y&HJO&d;|7Ns_38Q&B4$? z!}@o95egUK0>|Yt{%0-d7`XaDS@r#7${A9pLz}D2bD+Hp-&4DOZmVJuiT%=>M}2_C zVY{b>9!Qbi&KavQplErJ-9Jb75}9ykxv0GEg;Ukqr56#Q|2tS64ydiEfoHQ!;xIg#qj?J zGj5L_r@;mVH2=&U?ZRlP?mwL{bK|0+!yS=uMQflrmaxs9B z@2)s#>#>0nw~u?*#k4a&2~mZQO@uH^QaJ4?i~(Tx1M-BE0|BwQ)#YUrfDTE2dOXVi((TqrIITQ+-N^T zBkcE=iCD(n9SaaxQi-VuzYnPtOLg=CbjM(GJ<;`98EkfYZH+-N$qb(SaNG=78&9ny z_YT~p1>u3sq?hVm1_7TR&Z?qx^pnGcKM$Gp1m8>WnD)%CUpGRp1yST+c@W0OW>M##eY01?^fMZc3DowxL8wwK98^*qdt_pL zQ+Lkg+<^u6HTr$cJ2@+Xr2@@2xqoqI|3Yd`y6xW0anr;337!@Fd}N_IwIb-Xy}8^1 zY<%u|L{V=1fe6~&?8QolXO+@!**kWUhzJD|fT^WB)@#GPuelHGLlB3c6r-&(esC9b z`Usxey?`TEoWi6ZDGb8{1T_4Gk9d$k_u8ecgZOw^3|MY%s4>HPTC{ zU9RqJT@)*itN6>!i6C=Cld*(1a8BkJZ=aJ5)T^CYx%9m}a9t@E1XcjP)$R-21$QG0 zki7Of&G`S@SVR8ArdE(l5{&=*gp`*kk3HhO2^C;X43!-{N#3k~V7@Q)4Z%Eke`$1b zA9w`U!}?fo;WeF5?ciFqAIxu|a;7W4`y@o8$DVDL5zAi5*9Q6eK+)dm)z8x7{_o7tbHYLse20$z{(SM% z1g-`r0qVUKMXhujieyVYZAjzV$j}U(<_>llZj*XJVONqI44Bm|GVwOQy8${!bWyCC z0Na>X{x&G|pFDG6t?yA({lh#9Bs%l@>wtGV)ce>tXg*y#`a94N+i346N~FG_x8d}S z2fSYaeVY@OJx!%Xgz~WZo>H(zw9d^JOG+vY^6g!>Cf$UXsaFp3&Ez%mq0xaJ`?YwZEYK~u(?5Ws7+76)=y2W;OU`MLULTMf4! zY5l14GpEq4x2r_p`;KZWp+*<%I;FQ51!rn@%8dVhNgdPa%ZyOEy9WHE7wW1(*t)BP zu(rc^D)5@1`v4(s0`@GGcEyzRD|}!pgawzTuJ(ed%hq*8h?`@ZN48!OjyD7E5L4}- zR~6B}U!}_|8*Z&hMnR(;HuutLE$CIBlyUk-qm-ODnjZWoXmp=%Y1uzyog!(y`Hm_A z8=|V@K9*hzJh2{Zzyr=D0H^YISahSPg4d7V0uWGS|LdqT4x7w-QeswE%g$;dC@9JSp_7@{_yZk{x zEUmL{{Yyo1W<2frb~v;H73R;iuwRWclMAxGm?qr&*x3iY6mr`=jhKq)#m?2w z69A5~vI+OmE&e7E+z?n^c(#7%+<}u@vF&$es%q&w=o|gZiHrlwz3zx8Ft~4e_Q(PK z5z$MauR6S+EDNIU=EwVgnQ%dT!+)d%_JUd!3hQRUbpfrK>N@+k4wNb4T=%d08F5dn zohe&3La(}l-#l2T%GlZA^AwucmW{sVjIRLNa|6{UJtbmWA2Dh7O7WJz#p)eR0T=!D z{bi}GR~Zh;vbtxMt%Z1{rSj}j8gg_0S}fzxvF(35%;tvMUC(auvUTC_x?!|4PNU%P zAs3Zk)3LIl^aF7U3dsizy`NScH~jHdO7;&y)mIjcCj5=d2W*XGWV$3>`I`c74NKP9 z+DY^URML%Z*?S1SU3<8&38OMkZPKXOGvDvM`{*?F^?L(jlbz#-B(HD!d8znWK0d}i z!5LCM{sc0mX<3!b`Q0s>Aa2H_F}nLLUSt@ir$Lc%Bq}n-t3W#A(T2A_Hv6RWV18bbb6oyapQSOI#(KAj zl;`$_#3G{Cbpa0QXyGHaaMOH9EdJU~&Femyye7O^>}yu5k8dZA7Cg!oJSM!uvT+o3QFZT%Lxj7) zY_sdb_r6}+$p~zk*BeGD0po(zZQi|~I<5`70^_iZu_$nnT~p z$H4x&=Y4GXXoSckHL8Nuoxq~(yqZa1Cr|8{4q)lIp$4Fksv4q?jkLWeZIo{!2akgH-WF5ikFTi8_f*J?#abArO=&EOv zDF`Sm>q-7A4BPuDK;API*wMD6rVk90iO+fPV(n83x)M891R585Nb@s*M3?n!4+^s{ zcto)t)&a0IUu`>Fxb1M$w!>W84l4o!{_6M+yUc%Y&)!IJ*)yehDDB90QM||$6W@Y_RD&{25e@(AFE2-%7DCJH4%)2 zHoy1FZ1I~NwViIw?R4uGY^PhH zX`Yn{2C84)vjRXHdR3zi`Ul-6xc=LkXW$;1O{c&_e%6@xZE3E1y(w6-=<2CIV6%VeXR&h9gYIvl2u#Z{h{RZyM(YN*#^d_%5x^0~eS1tFSpXJ{Q5! zqfMqD7Vi3RRsL4CeYUebbZD!x^|!Olz{R;KgVQXxc^=v{5B~w~v6)|E3I=t5oUI3t z^^Jm><6zM2w`)6kyS7o=wavNZW4kej=2rJMm-Ea9EDycp(+)-vdWZn(YR-*eD{!%; zk01ys(&Vw33g&O4s3s8{@QSkqr)`wgoCPi4zwI_&t_&0^{rnnx-E}|M zYSr>hP|=zrykmB?^X%qjAb+yYO|>JAJ5EL=TN`#veEs~L5qUt?t6ly*u51Sd-q$$Y z@rC`yZ13RXH_dGgJZwtiYB^QcL8ugJdSC>PC@3MhIdLGekk>snhm^`nQv{?|e=Ik0 zh8_EYy_i%EO+lTN&8TZ7+!?DjUU!g3L^RaZhzc$7Q(;-l^^-6x)TQ98Ey}Nn%Ci)D zaKt{Fsi&_ZH#%GuS=C<37%8+!f_;;JZAA1gfT9SoiQaa?q>(-s$rGwy9Bgt5@Znb2 zYJ*X7<`GVrem5F#eo^8MT2V3D2;RunzsbyYT|al8caWuLJ6mBiOS@OczA(kI8cFSZ zVCzz!rIY;Vk~UF5@EX-4noSRGSld%EHl|!<%pZqm1gUq|CbQ`mJ$_P;a#-tXoVqoc z?@eln4BfL#d!{W(Nv-!)DV~-GalVYG@_wfMl4AM(v3O*ayvvyfR^OJ&Leh%yIyi`R zYt5Y-c9^TwrTH-$BIX+-1IlK;Gsaz(V$y?ma_WNCYa)%uIoJ!#5xV?uP@}W9-gPHe z4%y6vU7mc3O+t##&Yxu+OXi##npBR6e(sAIcMwomF46=b-e`d~=j2|KXe6_*rcq;Y zD>TzYWWE@cKsH^WjJ>Iv4mdz%oSD?D>|=2As=H8Vl7;vR!0Zp3&&SOhNd`^&`#9{B zb3;wRt*35$TM~aMs$e!L&tYM1B}CrxS+avqbpp`dY57Fx8fbZ{*&>Ce3P9I}wnZi| z*moyk96SQobv3*S!hQ=O$pnJ-D-;&oYdK?T(UD`&;o08JeC>{pl!iWWU8*o1N2T(7kdjkx9GgYN=B zX!;lXoayEq)>~s7p_fIkjYHbQdxaYK#yD6jrWh-hDtyGXtKZpXw&MJ(p9UN4j&78F z79A2A18H@{bp;0VjOONvQj>~YQeZDAZ1R@TK?s;cQY2YRr0)Z6di^|ivGIT@*r5!H)5YP=ghaGj!%Y7%F2cR5&#=T>!Q^mn| z!!aiDB(3Cc=b&K}la{eZ1}9Yhr>V5z#X^jpj?!sJjlx=CiSEJxAEmvCdq&qUbA*(; zmNlFf^3#2s!J+-B&KmJ{o~Sli-?+vOSo-ehY+X_@{nPjjo}O$?x4Q$B&HN7~ob%iv z-1vlE;1Q1%MVrA9<>Kh*P&eJe!IxnHACnk~9^8EV(CWBH-c^bYb_ORXF%2K}Qecd0 zL0Y}D2f-3vOW(*-#hs^J2FHKoOfha(Uu!g#y3>L)w=^ z^Ja7W&4z$l><#7tD*cAKQ3JZ61C7sbGj|Ht|J!Ut$0m!mMLkPKsI9qEUo!)CvIbBR~_CiMgUsuW4R)Y z&N-$M?8)tsPCoNBNVa8&)n6bZM+J&lL`464T>Sn_*>7fWto(IEmFFTQ^$2Id8@om$ zLs7eNEi$-b0vnl|%jJPs#zyMaCRwV6dD=~Lc0^Xa$%9wti7M$U*i2MvuF;vA$|5&X z;>i?kT?r^^L4NlAOx^QDo-G|p>HEk%_HXH zb-ytby!F3V`QHE7st%~ndbBs7o5N~HC-1e06aGUD|^Xgs;`9*`hB!7mU3 zA$eKRwS#b=>>RZt6Qnmj=e)Q6Z6b*JbD%lkKY3TQsnPdC2!$B@ojdO$OY)Fyano%Q zY)V5SBnJR9^`Q6x<{DfgANaRC>n*2)AK)M@UFdjc2?MA)k(&98BsUHU4Kf+=2%d~6 zSpO7wQbF%UEhJX-z)-bGygIdh1HnzZ=Q}!E7vw`OI(Skne=;_P9cQ~bL0ZQs6{$AA zLKS3m1n4+Ec_-5_I1_U`UxO5XiX?D~WD+X`5pU1(>Qk8E1lBtSs%2J^R%nR2?=s)3 zWEo@&fV6+4j68Ny{C;)+%-U3 z`x#<$FNA2?9lRXt(aTjknpR)u2ytCP@zbw) zB(|{IYe<^IvIA&`Wy>W=sXYB-E^jiHZJKc%;fd+kK2}p1URi+gTCy~TZc*qDZ4aQ} zEXm4OL8@rZa+GOIe8bp@@82BO*U1X5uQR>qTjw;Q5sg>sLC3e89+x?{M4$0g*uySW z`CW1wi8t!Br+zjn4{HvcqsGg8%oc%=zdlWZA*~Ln6IcWWyU`wG^nknBZ8&!GR-f}S z@V~V3xph(plUa18AfO9b?h`ys?0{;ah=$X)lmFC-X6NY7TN`D#F1F-850lGG&&%4x zqG$4P*O3V1^(&y#!1bNU<1~&^5yTqa4=PP*Z95u|?*5n3R+F$Hg?}m}Y+UT)V$*kn zux~AUcj@o*usgZ%2Iv4med60632&{$e|hE7@e70Wpc_IXkiTg z?Sjm#k+%ORdDys}O|3kj@<#P;;HP)PB=(XY1dlOJz$o#eZ4ECp*ZvJFEUI+k)McQcp@#ny z^ktZ_k@AcyfP7r)tDNt404Ow%A_xI72nJweQDk$SX$r)2Zk${UXl*q%BHQ%LznC
HVP*v$8K7^|H7tf_77oLj_q;6VMrC-BUxe!UN{lIsuW3{%Iy7VsS zh$&C>POyVQ# zU>K&x?|N)XQqQtsx6Rvlcx2eh{p;AghRCp~V%5?Az3ZSoz+X@%8K zgx0h*DJ8($EuKkC3d(?A0l&##b$B##<91)#==CtIjn*I2`?L#nS!k`j~4p|A+SLcXAaj%vT7eHUkJZst_?&pT?SDENS%M-4{6XqLg)#vgvbcb2KdZM^j#=B;?EYC{7|TAEd^dnK`@e zbH;T=d&V{eAF>pjx~6CK>Aj|~bN!gR3H+{-0{+A2nxzHnr`|D>5;6U{dQXIbQ&jH& z)8haR7Ek&bu{Sipr%Jw4gGha7LsCd*B0&Y^q>zl4>d&r=o8c54L{W36`l(v5OZ#(O z$J38+`r-P^Y>OrK&L#F;R)9~ERhCBuqA&ffyn;9uX2u8KvWOOn?7uCE{aoR;<;$~m~2|L1MmXtxz_en{NdwER$m zYakqPMX8qcS&RAI`jX>B#9n)?(mu&)B z{9YM0;c3q7;hYEyni@1%e&}4Lx10?8;hPBjLEOv>`Lw(L-Lqs17Ae(>4SC;Er|+tV z!aS7Nus;i%SY={IgLS!NgIhbTCEjc-4qJ1UFz?Z<@n9Dyy@f`^&L)!w5PcGO3cJX_ z!DKa^CXbZ(X}iCKw-fhLyvqkE(s6=7(M0d8UDi_~f1~NWl*iLtLdqm>w??);KL=$#IUWNYdAm?Gs;!+CLfejB&Sgr-5`6TW;t? zPf(R9lk>p3(ExIlh|0QNt5|bzqYAFiAe`vA#)PLlaC*H4uJg~4X?dfUPWT)qanEKy zDh`YLqt{Gn@H~AE+9F*V8(JB`jSaon=Sk9H!wXR^5THd&x?$^0j?BSB! z&inWE<@3~C%Xad3>B(Et1{ga@{O$>++A6zV$oy7spS8XpaeZo+{Z3Bdqg{o&#DuG$ zc4C)yrYp|uvDn#g`OjT{RfN=W)eY7Qv^86qo@oeu&-t-oSgxIRSSTaIBB1hfTLVHk z;B=9BpN3Q)vd7z6{qqB{ozDLLRSX6!V6|8Coh!wy;fcScEtDLYk$K9B8hKDX;Go;0 zV}SZWH~+7%oozlLQ{y!2IroaHa+~Md-}CORRvK6LmivkJTZ-W6x{42v5LH`zb%RgK z{~Si$doH5HXy!(6j?uxKL(_;dz{kh^I8{>5AQ6035J7n+tEnOK)=CeSYIh10Xk&)}C4K`DlpiVQz3 z<_U!c1QoVi-sx~;>1pHTRDVp+%qh=Dl-X1Je-wollI~c_bx$OBpQIK^)wvh!yn1v+ z$^MsRY~5h9)$1~;!-wZg73Ss zxYH}bxbURUfsc%zr`(?M&(1#H@I=3P7xgxR621Wu@G46W3U3_J$JUzpDhd7^=_XNa zi^89}?;{R%6DabrAvLBNyUV9ba-%|m+xxjx$uzNJ`H$;<^@{X-jo_A{SFSuA{PjKc zAaN}=&xz$~LBlFy@&St0|r0Vy$Ivnf)=}Xdv)$6)^NAI<# zXC}w8bf#_W6odsH==w4Xn&Q} z>eLq3zq!q=ItY|V=ZyVFtD>4;X0Lm4?BLuBcUW`GUDeqGL#kbbA$@}*(2b~D$ToJ^ JNRx8N{{sW?9@78- diff --git a/lab2CA.sim/sim_1/behav/xsim/xvlog.pb b/lab2CA.sim/sim_1/behav/xsim/xvlog.pb index b4146de3776acc2ac5f64e808a41bb57cecb0e37..912913cd18c9a20954b66c5904a7d7149f543851 100644 GIT binary patch delta 987 zcmccPyvT!@Ya!F*w_?%JeT-aHE>`-^Ir*h23c)4$MTzOD`u=&TE=8GTsro^w1^LC9 zK+(+9Vtv2B>9R2Xr;*!*&JO$s(cfeGOY$%6*Gg8tC^8Tc5N15x-G)A3S!Z8My@7WSp@Rb=0mlQ0eWhq=e=cihEB(;o)S_a2ul(Xv&-A>+E?+{w?&v - +