diff --git a/lab2CA.cache/wt/webtalk_pa.xml b/lab2CA.cache/wt/webtalk_pa.xml index 3f15c00..50c4710 100644 --- a/lab2CA.cache/wt/webtalk_pa.xml +++ b/lab2CA.cache/wt/webtalk_pa.xml @@ -3,7 +3,7 @@ - +
@@ -24,54 +24,54 @@ This means code written to parse this file will need to be revisited each subseq - + - + - + - + - + - + - + - + - + - - + + - + @@ -85,14 +85,19 @@ This means code written to parse this file will need to be revisited each subseq - + - + + + + + +
diff --git a/lab2CA.runs/impl_1/gen_run.xml b/lab2CA.runs/impl_1/gen_run.xml index db16262..f159db5 100644 --- a/lab2CA.runs/impl_1/gen_run.xml +++ b/lab2CA.runs/impl_1/gen_run.xml @@ -1,69 +1,28 @@ - - - - - - - + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/lab2CA.runs/synth_1/gen_run.xml b/lab2CA.runs/synth_1/gen_run.xml index 2ceb5d4..afce82b 100644 --- a/lab2CA.runs/synth_1/gen_run.xml +++ b/lab2CA.runs/synth_1/gen_run.xml @@ -1,14 +1,9 @@ - - - - - diff --git a/lab2CA.sim/sim_1/behav/xsim/webtalk.jou b/lab2CA.sim/sim_1/behav/xsim/webtalk.jou index d926d13..c29e915 100644 --- a/lab2CA.sim/sim_1/behav/xsim/webtalk.jou +++ b/lab2CA.sim/sim_1/behav/xsim/webtalk.jou @@ -2,11 +2,11 @@ # Webtalk v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Wed Feb 20 11:30:13 2019 -# Process ID: 10344 -# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim -# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/xsim_webtalk.tcl -notrace -# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log -# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou +# Start of session at: Thu Feb 21 15:07:17 2019 +# Process ID: 4960 +# Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log +# Journal file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou #----------------------------------------------------------- -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/xsim_webtalk.tcl -notrace +source C:/REPOSITORIES/Educational/Western -notrace diff --git a/lab2CA.sim/sim_1/behav/xsim/webtalk_13504.backup.jou b/lab2CA.sim/sim_1/behav/xsim/webtalk_10344.backup.jou similarity index 91% rename from lab2CA.sim/sim_1/behav/xsim/webtalk_13504.backup.jou rename to lab2CA.sim/sim_1/behav/xsim/webtalk_10344.backup.jou index 1a02c75..d926d13 100644 --- a/lab2CA.sim/sim_1/behav/xsim/webtalk_13504.backup.jou +++ b/lab2CA.sim/sim_1/behav/xsim/webtalk_10344.backup.jou @@ -2,8 +2,8 @@ # Webtalk v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Wed Feb 20 11:27:03 2019 -# Process ID: 13504 +# Start of session at: Wed Feb 20 11:30:13 2019 +# Process ID: 10344 # Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim # Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/xsim_webtalk.tcl -notrace # Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log diff --git a/lab2CA.sim/sim_1/behav/xsim/webtalk_16620.backup.jou b/lab2CA.sim/sim_1/behav/xsim/webtalk_16620.backup.jou new file mode 100644 index 0000000..11d2a60 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/webtalk_16620.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2018.3 (64-bit) +# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 +# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 +# Start of session at: Thu Feb 21 14:46:02 2019 +# Process ID: 16620 +# Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log +# Journal file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source C:/REPOSITORIES/Educational/Western -notrace diff --git a/lab2CA.sim/sim_1/behav/xsim/webtalk_5680.backup.jou b/lab2CA.sim/sim_1/behav/xsim/webtalk_5680.backup.jou deleted file mode 100644 index 1450c74..0000000 --- a/lab2CA.sim/sim_1/behav/xsim/webtalk_5680.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Webtalk v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sat Feb 16 17:35:22 2019 -# Process ID: 5680 -# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim -# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/xsim_webtalk.tcl -notrace -# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log -# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou -#----------------------------------------------------------- -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/lab2CA.sim/sim_1/behav/xsim/xelab.pb b/lab2CA.sim/sim_1/behav/xsim/xelab.pb index 4ca6d1d..9b5eda4 100644 Binary files a/lab2CA.sim/sim_1/behav/xsim/xelab.pb and b/lab2CA.sim/sim_1/behav/xsim/xelab.pb differ diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/xsim_webtalk.tcl b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/xsim_webtalk.tcl deleted file mode 100644 index 051e4df..0000000 --- a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/xsim_webtalk.tcl +++ /dev/null @@ -1,42 +0,0 @@ -webtalk_init -webtalk_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/ -webtalk_register_client -client project -webtalk_add_data -client project -key date_generated -value "Wed Feb 20 11:22:46 2019" -context "software_version_and_target_device" -webtalk_add_data -client project -key product_version -value "XSIM v2018.3 (64-bit)" -context "software_version_and_target_device" -webtalk_add_data -client project -key build_version -value "2405991" -context "software_version_and_target_device" -webtalk_add_data -client project -key os_platform -value "WIN64" -context "software_version_and_target_device" -webtalk_add_data -client project -key registration_id -value "174150793_174150794_210688225_140" -context "software_version_and_target_device" -webtalk_add_data -client project -key tool_flow -value "xsim_vivado" -context "software_version_and_target_device" -webtalk_add_data -client project -key beta -value "FALSE" -context "software_version_and_target_device" -webtalk_add_data -client project -key route_design -value "FALSE" -context "software_version_and_target_device" -webtalk_add_data -client project -key target_family -value "not_applicable" -context "software_version_and_target_device" -webtalk_add_data -client project -key target_device -value "not_applicable" -context "software_version_and_target_device" -webtalk_add_data -client project -key target_package -value "not_applicable" -context "software_version_and_target_device" -webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" -webtalk_add_data -client project -key random_id -value "4e917e26-7591-4435-9135-15bd446b0238" -context "software_version_and_target_device" -webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device" -webtalk_add_data -client project -key project_iteration -value "21" -context "software_version_and_target_device" -webtalk_add_data -client project -key os_name -value "Microsoft Windows 8 or later , 64-bit" -context "user_environment" -webtalk_add_data -client project -key os_release -value "major release (build 9200)" -context "user_environment" -webtalk_add_data -client project -key cpu_name -value "Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" -context "user_environment" -webtalk_add_data -client project -key cpu_speed -value "3492 MHz" -context "user_environment" -webtalk_add_data -client project -key total_processors -value "1" -context "user_environment" -webtalk_add_data -client project -key system_ram -value "34.000 GB" -context "user_environment" -webtalk_register_client -client xsim -webtalk_add_data -client xsim -key File_Counter -value "3" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Command -value "xelab" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Vhdl2008 -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key GenDLL -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key SDFModeling -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key HWCosim -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key DPI_Used -value "false" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Debug -value "typical" -context "xsim\\command_line_options" -webtalk_add_data -client xsim -key Simulation_Image_Code -value "69 KB" -context "xsim\\usage" -webtalk_add_data -client xsim -key Simulation_Image_Data -value "4 KB" -context "xsim\\usage" -webtalk_add_data -client xsim -key Total_Nets -value "0" -context "xsim\\usage" -webtalk_add_data -client xsim -key Total_Processes -value "45" -context "xsim\\usage" -webtalk_add_data -client xsim -key Total_Instances -value "15" -context "xsim\\usage" -webtalk_add_data -client xsim -key Xilinx_HDL_Libraries_Used -value "secureip unimacro_ver unisims_ver " -context "xsim\\usage" -webtalk_add_data -client xsim -key Compiler_Time -value "0.78_sec" -context "xsim\\usage" -webtalk_add_data -client xsim -key Compiler_Memory -value "41208_KB" -context "xsim\\usage" -webtalk_transmit -clientid 1004531601 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" -webtalk_terminate diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/xsim.mem index 3101817..d79f70c 100644 Binary files a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/xsim.mem and b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/xsim.mem differ diff --git a/lab2CA.sim/sim_1/behav/xsim/xvlog.pb b/lab2CA.sim/sim_1/behav/xsim/xvlog.pb index 11bc220..2037abe 100644 Binary files a/lab2CA.sim/sim_1/behav/xsim/xvlog.pb and b/lab2CA.sim/sim_1/behav/xsim/xvlog.pb differ diff --git a/lab2CA.srcs/sources_1/new/FetchUnit.v b/lab2CA.srcs/sources_1/new/FetchUnit.v index a344dee..8937c7c 100644 --- a/lab2CA.srcs/sources_1/new/FetchUnit.v +++ b/lab2CA.srcs/sources_1/new/FetchUnit.v @@ -31,61 +31,61 @@ module FetchUnit(input wire clk, reset, endmodule //testbench -//module fetchUnit_tb(); -//reg [8:0] addr_in; -//reg opidx; -//reg reset; -//wire [8:0] addr_out; +module fetchUnit_tb(); +reg [8:0] addr_in; +reg opidx; +reg reset; +wire [8:0] addr_out; -// reg clk; -// initial begin -// clk = 1'b0; -// end -// always begin -// #5 clk = ~clk; // Period to be determined -// end + reg clk; + initial begin + clk = 1'b0; + end + always begin + #5 clk = ~clk; // Period to be determined + end -//FetchUnit fetchUnit0( -//.clk(clk), -//.reset(reset), -//.op_idx(opidx), -//.AddrIn(addr_in), -//.AddrOut(addr_out)); +FetchUnit fetchUnit0( +.clk(clk), +.reset(reset), +.op_idx(opidx), +.AddrIn(addr_in), +.AddrOut(addr_out)); -// initial begin -// reset = 0; -// opidx = 1'b1; -// addr_in = 0'b000000000; -// #5 -// reset = 1; -// #5 -// reset = 0; -// opidx = 1'b0; -// addr_in = 9'b000001111; -// #5 -// #5 -// addr_in = 9'b011000011; -// #5 -// #5 -// opidx = 1'b1; -// #5 -// #5 -// #5 -// #5 -// opidx = 1'b0; -// addr_in = 9'b000001111; -// #5 -// #5 -// addr_in = 9'b010010011; -// #5 -// opidx = 1'b1; -// #5 -// #5 -// #5 -// #5 -// #5 $finish; + initial begin + reset = 0; + opidx = 1'b1; + addr_in = 0'b000000000; + #5 + reset = 1; + #5 + reset = 0; + opidx = 1'b0; + addr_in = 9'b000001111; + #5 + #5 + addr_in = 9'b011000011; + #5 + #5 + opidx = 1'b1; + #5 + #5 + #5 + #5 + opidx = 1'b0; + addr_in = 9'b000001111; + #5 + #5 + addr_in = 9'b010010011; + #5 + opidx = 1'b1; + #5 + #5 + #5 + #5 + #5 $finish; -// end -//endmodule \ No newline at end of file + end +endmodule \ No newline at end of file diff --git a/lab2CA.xpr b/lab2CA.xpr index 764c63b..0c89e60 100644 --- a/lab2CA.xpr +++ b/lab2CA.xpr @@ -3,7 +3,7 @@ - +