From 78f481f724c562f4c208a4b48f8461f1f569c07b Mon Sep 17 00:00:00 2001 From: WilliamMiceli Date: Fri, 29 Mar 2019 17:29:24 -0400 Subject: [PATCH] Vivado stuff --- lab2CA.cache/wt/webtalk_pa.xml | 82 +- lab2CA.runs/.jobs/vrs_config_45.xml | 8 + lab2CA.runs/.jobs/vrs_config_46.xml | 8 + lab2CA.runs/.jobs/vrs_config_47.xml | 8 + lab2CA.runs/.jobs/vrs_config_48.xml | 8 + lab2CA.runs/.jobs/vrs_config_49.xml | 8 + lab2CA.runs/.jobs/vrs_config_50.xml | 8 + lab2CA.runs/.jobs/vrs_config_51.xml | 8 + lab2CA.runs/.jobs/vrs_config_52.xml | 8 + lab2CA.runs/.jobs/vrs_config_53.xml | 8 + lab2CA.runs/.jobs/vrs_config_54.xml | 8 + lab2CA.runs/.jobs/vrs_config_55.xml | 8 + lab2CA.runs/impl_1/init_design.pb | Bin 1625 -> 0 bytes lab2CA.runs/impl_1/opt_design.pb | Bin 10581 -> 0 bytes lab2CA.runs/impl_1/place_design.pb | Bin 3233 -> 0 bytes lab2CA.runs/impl_1/route_design.pb | Bin 12016 -> 0 bytes lab2CA.runs/impl_1/vivado.pb | 4 - .../{vivado.jou => vivado_13064.backup.jou} | 0 lab2CA.runs/synth_1/CPU9bits.dcp | Bin 184995 -> 8046 bytes .../synth_1/{CPU9bits_tb.tcl => CPU9bits.tcl} | 2 +- lab2CA.runs/synth_1/CPU9bits.vds | 189 ++-- lab2CA.runs/synth_1/CPU9bits_tb.dcp | Bin 3103 -> 0 bytes lab2CA.runs/synth_1/CPU9bits_tb.vds | 951 ------------------ .../synth_1/CPU9bits_tb_utilization_synth.pb | Bin 289 -> 0 bytes .../synth_1/CPU9bits_utilization_synth.pb | Bin 289 -> 289 bytes ...nth.rpt => CPU9bits_utilization_synth.rpt} | 34 +- lab2CA.runs/synth_1/gen_run.xml | 5 +- lab2CA.runs/synth_1/htr.txt | 2 +- lab2CA.runs/synth_1/vivado.jou | 4 +- lab2CA.runs/synth_1/vivado.pb | Bin 111958 -> 45172 bytes lab2CA.sim/sim_1/behav/xsim/dataMemory_tb.tcl | 2 +- lab2CA.sim/sim_1/behav/xsim/webtalk.jou | 6 +- .../sim_1/behav/xsim/webtalk_13536.backup.jou | 12 - ...24.backup.jou => webtalk_14652.backup.jou} | 4 +- .../sim_1/behav/xsim/webtalk_26660.backup.jou | 12 + ...696.backup.jou => webtalk_5080.backup.jou} | 4 +- lab2CA.sim/sim_1/behav/xsim/xelab.pb | Bin 4381 -> 969 bytes .../webtalk/xsim_webtalk.tcl | 32 - .../xsim/xsim.dir/CPU9bits_tb_behav/xsim.mem | Bin 19795 -> 19284 bytes .../xsim.dir/dataMemory_tb_behav/obj/xsim_1.c | 17 +- .../webtalk/xsim_webtalk.tcl | 22 +- .../xsim.dir/dataMemory_tb_behav/xsim.mem | Bin 3319 -> 3235 bytes lab2CA.sim/sim_1/behav/xsim/xvlog.pb | Bin 9250 -> 16 bytes lab2CA.xpr | 12 +- 44 files changed, 264 insertions(+), 1220 deletions(-) create mode 100644 lab2CA.runs/.jobs/vrs_config_45.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_46.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_47.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_48.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_49.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_50.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_51.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_52.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_53.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_54.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_55.xml delete mode 100644 lab2CA.runs/impl_1/init_design.pb delete mode 100644 lab2CA.runs/impl_1/opt_design.pb delete mode 100644 lab2CA.runs/impl_1/place_design.pb delete mode 100644 lab2CA.runs/impl_1/route_design.pb delete mode 100644 lab2CA.runs/impl_1/vivado.pb rename lab2CA.runs/impl_1/{vivado.jou => vivado_13064.backup.jou} (100%) rename lab2CA.runs/synth_1/{CPU9bits_tb.tcl => CPU9bits.tcl} (98%) delete mode 100644 lab2CA.runs/synth_1/CPU9bits_tb.dcp delete mode 100644 lab2CA.runs/synth_1/CPU9bits_tb.vds delete mode 100644 lab2CA.runs/synth_1/CPU9bits_tb_utilization_synth.pb rename lab2CA.runs/synth_1/{CPU9bits_tb_utilization_synth.rpt => CPU9bits_utilization_synth.rpt} (86%) delete mode 100644 lab2CA.sim/sim_1/behav/xsim/webtalk_13536.backup.jou rename lab2CA.sim/sim_1/behav/xsim/{webtalk_14824.backup.jou => webtalk_14652.backup.jou} (92%) create mode 100644 lab2CA.sim/sim_1/behav/xsim/webtalk_26660.backup.jou rename lab2CA.sim/sim_1/behav/xsim/{webtalk_42696.backup.jou => webtalk_5080.backup.jou} (92%) delete mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/xsim_webtalk.tcl diff --git a/lab2CA.cache/wt/webtalk_pa.xml b/lab2CA.cache/wt/webtalk_pa.xml index 2b23520..ea44869 100644 --- a/lab2CA.cache/wt/webtalk_pa.xml +++ b/lab2CA.cache/wt/webtalk_pa.xml @@ -3,10 +3,10 @@ - +
- +
@@ -25,27 +25,28 @@ This means code written to parse this file will need to be revisited each subseq - - - + + + - - + + - + + - - + + - + - + @@ -58,17 +59,17 @@ This means code written to parse this file will need to be revisited each subseq - + - + - + @@ -81,7 +82,7 @@ This means code written to parse this file will need to be revisited each subseq - + @@ -104,45 +105,47 @@ This means code written to parse this file will need to be revisited each subseq - + - + - + - + + - + - + - + - + + - - + + - - + + @@ -150,7 +153,7 @@ This means code written to parse this file will need to be revisited each subseq - + @@ -159,38 +162,39 @@ This means code written to parse this file will need to be revisited each subseq - + + - - + + - - + + - + - + - + - + - +
diff --git a/lab2CA.runs/.jobs/vrs_config_45.xml b/lab2CA.runs/.jobs/vrs_config_45.xml new file mode 100644 index 0000000..c86769b --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_45.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_46.xml b/lab2CA.runs/.jobs/vrs_config_46.xml new file mode 100644 index 0000000..c86769b --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_46.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_47.xml b/lab2CA.runs/.jobs/vrs_config_47.xml new file mode 100644 index 0000000..c86769b --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_47.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_48.xml b/lab2CA.runs/.jobs/vrs_config_48.xml new file mode 100644 index 0000000..c86769b --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_48.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_49.xml b/lab2CA.runs/.jobs/vrs_config_49.xml new file mode 100644 index 0000000..c86769b --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_49.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_50.xml b/lab2CA.runs/.jobs/vrs_config_50.xml new file mode 100644 index 0000000..f7de7f4 --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_50.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_51.xml b/lab2CA.runs/.jobs/vrs_config_51.xml new file mode 100644 index 0000000..c86769b --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_51.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_52.xml b/lab2CA.runs/.jobs/vrs_config_52.xml new file mode 100644 index 0000000..f7de7f4 --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_52.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_53.xml b/lab2CA.runs/.jobs/vrs_config_53.xml new file mode 100644 index 0000000..c86769b --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_53.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_54.xml b/lab2CA.runs/.jobs/vrs_config_54.xml new file mode 100644 index 0000000..c86769b --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_54.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_55.xml b/lab2CA.runs/.jobs/vrs_config_55.xml new file mode 100644 index 0000000..c86769b --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_55.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab2CA.runs/impl_1/init_design.pb b/lab2CA.runs/impl_1/init_design.pb deleted file mode 100644 index 8dac2cb73a68afbd7585d14ec754fc37c7a580ab..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1625 zcmcIkT~8B16s6D>xmImVe6W5EF%W3n&F*x|wlzK|5<>(+6f`z5WZ0dSf!&$S%oGX_ zKKZVH%KznTKXA2`$b-o~?9RP&&$;K^y>J1gbrHvehb^?Epq#JF)@#*;Q+7&1p@Rr- zLOo*qKk!f7HAl0~Vb^PT7T&`1A?=V}q+8>c zcEPh;w{|p>A=G%t(RhsQfqM@(sSMidGpC7Ool zjOimIvw6ye${cRG)%iqYvyEYkX7hKEpn+ChkFAPQf1b4Os z2~!;h-VDLqx?e4Z^pph@FT>q`GOUPAaVK@`viMtA-IdhTP0RC9iya|RB)Tj>BGD{n z7eq6`A?>l|H7|Qixz>FrTkhK|Y%Zxi7E`pMR$C}Ydgw)}&~rp1k|?9u;L}FiG#2t4 zt!%$CX$d7K|MDKg% zN0sL-7&+=@#-|BOI|7aF(TK*BYlY6Jq)3me(9nh{6pSmb>tRIZ> zMDr!9%m9fA zp5>*TTrQZ;P%*^Du7^nV0<$wzrx!)%LvGD1wGURXe^#5+hx@E`EbGf(^#JR$x7es{)pX5yLD&c~)gSdn7iJ9F>3=lsq& z_k7SpT4*@Eo?*9E*|MO;xuu!1zVhn6wQsZ>-|TANB63`Rr^SV}Yisi@-ZuJOe|7j} zJ6p=Ds@{3UojaWSEc43Z4E-rXKX}jgdC&DNdzbkR+vPqpSl2SSEjVjC9&2;M?|Yox zToyMOHZNkV#rN?ahm>;ecL0Z7f8NIxc3+J}8dM&S)qpW;Lt7}jR(2zz@0A%)@H9Fkf z6aC&QYgekxdQ)iu-9s&ovf(!=()l_4Bw0GDk|dpaieyx!S}iE577B#Tn_j=~>{=#!2>aW!o~4*e*uycgShPT!9jO4zB6J3`s-nh0dWB|GHcqQlwk;0( z0b4&lJJ?KW+sIAy>GoznP+%AY%iian&pkk2svSnUj?rSSWn(17LA|yz3r{5NgfhNE z%J6nhSM#b~i(=*jx;ms3>V&OK-tCUIDb-Kf1`vcg$4vD*^mfQIl?|7j25!Ggft#S6 zWVk7ba8nA3rlmnntyBwIy?O*WJgp=!F)E%vWT-I20yAN*{Uc_<8^P~*usi#a!NC4j zsv-MMghPt3QicN=>a`ft`;#G6OG4@``g%jUGsE`bQytgXJy}Iw4%Dub7K%e-Nz`8~ z@;~PbIb0mi0=bFhnu4>G;UxIACxf4!1ix=k_R#_7?zjz0vXV8r5sF=B!CRLk z=d^oF(^v04d4HY3Nxj(b#*E56DuzNXv9T2{ zN#LdP^dXN9CgXRC@TVINpi+t7;-60j zm2whPigfK!$7=hyG3;6b_nyfvYcbF~pnAyDGCN_d%Yjch_4a!jd-2z^*^6nJeF|tC zyPX_P28~J*G`>q$Hyz=#P0um85HhrR!2K>zGMU5p5tTz&R9MP7mjj84a-J6D&u4?g zG)z7_9E!ArSZt6&Lm+C{yPQ4hBYWdLO$kEr%DZWwlvl1$X6l(=XXsh}vOX!){%VH) zlX=cqh+>xf!TgN|!ZrkNgU|%19u92{0+VoPn7Klv;xuJmNXSguJ`#yix1!EkF!r1- ziyQeAcKj30rqyu+=I z{lCzi$FE_L-7j?KlYIFkLOngV)7(XH@{2q3%cgLrv7=LE=b%qE%#gKj`A@^NWU6P6 z<hP3K_J>wHYQf~p@| z-7XV*mdnhpW9}h!AGj!4FAD}lSn6=OXE`nQJ(;?+MOblA)zV7NJwP_g*WRQ#4f(w! zQ0XYv>9JbGkpRaD{{jSG|TypR-v$-3d7?l!JOK~?d@*acV*t^ho23(#YcyZ3RSV^EeOw)rbngF#NcPDbGejTI^#`)w zWYhzK!hIK4ScKH_a&iDo;)TWB(wus{S!8=2Rpzp$D$vW^VJ@_5f6EYijP3y-I_UpF z#hEoZx6vnLb59l;!%Iy?Q%4p6h(^PKx#v3QK^YgN_7UA}tQMaL?ujBtLDy&&*BWbj zxvJ#v<2!z9jrR&(-xfs+rhi8*Ha4Hso0czj{N@heS!kI_-hLk3K>b)MJ&CG;rA-ZwAvO&-$zy=wH#S#9xJI;CAQRax_%!$2*CJ9 zK{H%z!KVRo1DMXKx{ju!0a2Px-v$srvAULhSPb7RYKmGb=*5=d8$~M_W%49J8{G(H z7tuQP?+o3LngQA3IjAZRUS{tF(gJn;Yk diff --git a/lab2CA.runs/impl_1/place_design.pb b/lab2CA.runs/impl_1/place_design.pb deleted file mode 100644 index 8278661bc6a3ba546f53fb8609eacf7eaff4b1a8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3233 zcmeHKU27Xh6pd_2b#6s4Z5&dQ!lhKiHkP_OtE^Wlf!3-?{ovr*P6~Q4)7_D^ct6C> z$WclQee7eP^e6PM^mp~nu2x-DYpQ}FFBL`~v%53*-gEA`$M79A`f(WY$m?NK!e(`Q z?U}WA|Hi-JUaZ`JAvDGTcg3YAq<*E_=jR+uAqeO6zfU0e;vCiJQm$+;TDy$^k z8naqVXFK2XHEij>+2xCIukBAFlif20w!!ZhBq}1vzlw}P2#jGSE zjU&(3tk5TG#t@@&J~LL>as@tDJ1xeFB>5i-Hz7Txmx@CJdTKwJn(fo%P&M17hj*b_dN{rmD)6Pkb81DZ z*mB%u!Mt08 zNWa5oV|$(1f9rW0w)3E57L@K=5_l!rWp&kxWc1R%<>=YJUNy}wYug>OJsZ@>e>qy|=Z56Z3cMgaIVKk%_qDQo&l7EVvLF3~1k~lhd{z5I zeOG2oXezkzBvK*~9+?MnuFNS;dQxc1UZ%C417$Km%Ow(U+teVF&{ zGqe7n=>rnt1rV(SNW35+!2>Tm@=~68;RT7m1O5hn_s-5{X6LSVQ`r#~Qlum^v*(_B z?(dv?&hH%ZKACHI-LC1jm+3-CF3!wPRgJ|92lj#4_9DyCDVYnrUc`3VEVTDreYVXy zX3vS1lYeX%DkV)b_FwR4j%GepUbr|#{-lswx1)%4{m6FrXynm77EzNrw#D3#(T*3; z4l|=(!04L`;hPl8FX3669pDS`h-)%!sEx&<1@$~vze-+Q@A?kwGB+|K8&47i2Ab&K zAQz6T`eUu8Mt1)7c2TRBj7mMN>2DOG-u0qq)2sl^H1+f~YeKWaQ6aXWPi}7ZT$kH# zb+bjy5ccx}W_fPg=G*61<)(q@l7rLrXFIr=N=et#*4QAgrq?{QqkYJx(SE?pHo#Gs zpVBLf)9t|0FA+tTn7E)DC7oM%u#ZRN64$?DyLPzG+O#iJ2&*^K3cpIeq|&t@@Pbe# z87ONu(vlfj*>IQ%JO!-70&b9Kp9yOP%=dzbUXTCYfxJLKsmo+aqxt>l*T`2_dbZQ1n_F~sRB(tl zt)(};LQ3(H8XfvQeL`FN%z7O5y35qmRg*Q%3M`$tT3X6y3Yj57<*c?pBH!P#yNq5B zZ!A;G@6orYsxISCMW^4U%rX5C=1m?NIxW+C47fO^*YB<1Cq6SDR>W^>N0!YAeT6JfaG--E^a#Zk4FSLL^2Mgj8#7-AKixo*j)~j z6ej5*1LoT-Vphc3Wx53y5l9K(ijG4)p9N;by#j6&dcDA6B@c1C+h3h_y*7*eq*hrh zh@Wm3fTUUmNFPtI@t4VrUY0`XCVBaeeMGlB-*ddZW7%T3XiCGYTGlF!li?LjYGoB6CKFLLe||Do>`kyN0&PVeXtD5J$J;d>x{ixRTrX6eY~i~^j}McJ&I`$$sHK}7 zt!ka#-Tgccbd9L}4buGKod4}TnE*JzYgLBVC30mIsnanp)aZl9?2vvpl_E@rpZla4 zo41N=aipyCZ)bNhJJ)1(ew}<}!wVz&wuiJDY0PAnog}m)B-G>~0c$49iJ&mqnu8>s zOU?ZL{GZ@0@~s%DHJUk%^S?81GHljm*t|+!j59}+^1L=-lL6&6xfvU?-cLM}pPzqg zPFUmw`f8gaAr3CPb&b>ETq)QQ;{X%tC-6KrDu6P7wIbypfuu7c7GxE`ZKb9oyBOY&q;;m##vLBFnXa?fAfBa$Q__=Z!PIf%|&sWHh z$UmDR>kHv92Zu$|>>K_o=iS72ea4Nmdvo*CdM(oh5a8^0BbH_E&w1pS@vBShH+XAQ zbNHX0r76l}{yvKw8|h*QvYP`xIo9%tW>IDM z8JK0%+Y`+43Mr^%RrW)fQQjq2K~$z0$`GE*$w@(aAHthh`C@T?MjNKrw`W0nR&`B< zjf+Rc*v6~m$_Ly5v3=h$EwHC;GctEUX_w2w-Dc1Z0!<&cufWYa;r6Vqmb6AQL&d*E zE+=w6TwS{(?qmoRBBsIpW+fCM0S}?bpy~Avxh4}pL1>ETz;E}yG_@&_rt+S{SZSfp zEKtKDS4ebICDMeE2GV|YmOw-*!#B>Tuv>Dooa~x1u<%x6?6@l6RINxH5GXx09Dc44 zL53sZh#n-mAas{87rjbXP~tpVqt#c_wZZlA8X*>MQ1~9&JreyMk(Ji+(!-Di;nF)^ z$kz8<)3Uv#)@}Npxx3_;yL#((Iq13Jl8p$wqb;F<)7-VAa7To-cDZfIDA`%W7R_jI zybUg;-z#Klfj57Y%3={Bd9(H>3hAyLF-x?d=rS06-Us9TFIwu@4&(izcsV>umJIxe zmi%4mJ3KB0{*m+@o|C){(kh}4Jf^!GksqG5j(HU&9qaFqSJpRZ3y}lvO6`z>nnOPk zp#-t0fLNp(`r@pG_94)LIMhV^FfvWTv*?}vMv44$qu|Fu}7fRnqsbz)( zLh98S??`e?`4N&evass8jD{={cl(E{ElG1zV1LS}E*9g)C+d|($*86Q^*!> z>O5t$4fT^}8;Y21(2PuB@Y^Y(4>{;&JbI8`%ar(QrK&#l*S{%b^NafH=yQYbo`KZ- z>A{bS@Z+k^^RmJ9)P6QcMq?t6(fnF@hlca!u0hnOpGf@K_s|O;#=Uqr(tqQ(m`CWE z!Ey3c&U=SsjJp>9I@V`@su0Zjh`al_DJ?N}-YqxC5|Z~G5*&;afXPGBZaE$%Hs~SJ zrw;1eHlHNmb2xFr{W>yvq|dd*g4oD*(ZGO^!9(&OgFiJ_fA{Ig)FTuWUQlwtY|z_Q zWFOekF&fvNZ|=o|KXGj^Rn-#33Q(+?N$59-LGC1DOGykFCzu!b%0TE0gl1%(HIq=2 z)pno^WVP<|XA)9~mY^-iB>Yn1+8FPVcy`PQgcuC$g~JY>epk-rx|z5B?wEx3-x7`f zsgTcQ*+YCI?et>@En#qL$2X(>kZS6%j@fUMIFnOW{#h;@$v@+1eCZIx)sE-x9N3-{ zcYnw1I3!99yqdmD-k=YBhJdgam~FO9OZs~^`<=e6 zV;>JNnY05VNKT&L+RaRH^Mtfc7>tXTM2^lCJ9#p0TB{DSgVA$wn5N3E|8s@dxY%)V z^UK@qhdfbycWmsjuR)5%z+_c^_DcL1HtBO4J^~OTXaC10+9=1N9dfc`T30bp8oxk{ zL=qz=Y6meAgrcf~&Jgojscna1 zXt7LjH>AX){N(F%+LRYl@rB0Asp*QIo-y7oVzyJQ@k?QlK1{x0asTXaVkenc8_Lyl zA56YsgzKEy|E!Q-%lv(}7w&|-H7;?oA&rtxQ;K9O@Zk?~E4yE-Xer5d+kf;1TdaAP@>jJ2F639|JMU7Y+o9ga?6;|ExkR zoY}k`>|+y+onRdJ;kCQ90vom+#23Yz1#D6tA1R3uT7^S2thntl@pqqot9P)@3_36U z3dm_Gg`VEkeKn20gmEmUYUwBBAjjr(^&Q5)q)8gs(zcf&G!qZU1indfCfEH^@vzsO z0#;J?Q=c2%AAcd=nPo&wmG~&Wt!f}($=e9`iL%0FLiV)o#J|iTEYbbiF>R>uXAU#f zQe?PjbUT4T);uobrgr#y;I(y}Q!>=R*bqP2ZGgQkcQMA*|8_x!R#NdD$7i2$7iv6C z7;1(kr<#8EZ?zc3aH_{eZDyM7nBr)fQ31tDvqoB4di~1cOyd=vCT3qTk_kCo@A^ou zPdTQXXyo&N{=~pY`xOhiNzHbE=(kL-$T4ICY-8mQeutn;+Ddv?Kt%YH`$%ZbrLT8T zxUPH7;Ptw$`|p@s{+#;x#|KD=+!ooteyHN?um}kRYGDO|F#i0Iw7RySIn>>a%@Sg@ zV_@jCDS#h%T3hG+qkjNH(Ud`}GP&e~Cs#qQ^L`u;sVo)o86jR$sORmkLv&izIyWeg z-?0(^!rLX!J@pq86XRnXAjt^1F`dpR=B95c1p`Wh+7JyQy0{gzkBm67V+7hX$7h z9pGPuoSbu=ERjtm!p?VgQS8>+K0cW6heV%v+uOk${@8-(>bCE6-{0qna}E8@&yTOT zx3@EdLxNOS08-*XIq)Y5T{7rna4(SGe(I~KXuV!6W!{mV&(P8Ru4M;2?fC&M@2*#> zt)=5N~np$Db7I!1vUe-$l%P-)Vh}=8dZ4UHT zu~@7}mc4Ca!OOgnQ(HE)-w=i53z-; zAi;#YO0i@44iS;bVUxYVeQ3ZPTt}gXXuvYB+*TKUiZs@ZpW^}!d4}_Cy9ww%Pehuc zseI)LB9a!9?x8xQ?oCxlpY&D}azk`Qe`0Xl)#3T!n+9b2Wd0fZPEO`x$77E`Hg5C&)vEd}>Or?7I*W*BqX=!VT_^;>P z3i&t$-)uF`4lDcOmmetZ_vzzIvdCe#^>H&NQ|JtIXXK3SliinIJe5C-KJ%=KAFn*f zx58;4@6GT$_KQKO*pCy%SeLE!;M0mCtw`D;6N{HivTnJzliH_0#uIyTVGOM)cYb() zQ+zER_%u=TObI01rbd$sY*kH5g~UMycLGf<_N3a(jN+6GKX1>$E)0ys#8GM2$Q9JN z6(~C#@;%bMG!WDMG{QoG{C-NdczXG_6lJJ7wdrx?gSLFrA5<0PVY)*K?iiByptkr4U3) zOW&6V>wc158eDf-`kEm2sMxF!J zm4Z>lUl8d*4R(`*=eWP~-yNl~KR)nmWyL2x$YbAYso1p$d@NwL+IJuJ*H2OP9iitX zOvv;c$<;AYSrd!>V4@QX+Mo|{^x5%#3AZj>`fulg2uWJL zZr2}z>y~UQToxq6nmYa|!53glC@+8atr4yT4HsgSwGMuy**LnSdMJLORgddWq?EKW zbBIUXq;=)-NLki~zbj)V_#bD7(ZUMK24Ym{go5#emt|5O$mT zP=V2JMC@;7oqdo3nw)RFk|dK53eERzep|w*79Skf{HNa26s~dmB|eY^5dW6#Ghyd5 zd=Jp$iD8BRuC*Fo$5%bmII6FX4MWeJ8f1Sscx2;C;Zu<=@8vwGzyWF za3dZllvOmv!Bd%#Z=p_j={vB2edgrrl}PQKxzTmE+8OcU-SA@7?l%D)-&WbnM-G_S zzHgaxG}EIHY-ZH{t|0+300Mdgb+lL_9*#?qB|B;m4bpX4f)d**6;ejpX*|(}m$y?q zejp;8hDov=P3hxTJyK%Ww^K+kI3&^w%@SEG*o## zkJJR|i`UW;xHTy_38m^+-j3UF+9m4_wkw{ehsh)k&RALC-1eic4FjFiaf^jGNF=Rk zwo%y{<#A^r!X8tP*K$8EUCc9|Yt25iyXupS7r*9Vuv+}!&`a@=x_LBmxlrH?`+2pu znAIPy>oRYMlWxs^3&rpb%TZ~cOhYG+7`@&(6B-+y$j8iBW<%BU-%LTOGMFNa0s=YX zfItA&KcT|S#?09=S=TOxOqU?%aQFO&#k;kIVfzexdY%=;MXTc#S~3HvVGBP8bH5e} z;RO}w`>!=i#_jek3&%7`@QvHJ#V~whU1aL0&Zwn`;knw;khqNgeEy7+!kF$b!`f_1Kc>>Emjld6m_?>6IH&3m z>drIbq#U4p`qb9+X?c!v5bw3MY6Ulr)o6yXyPapb5kJv1j#))7*oyL?JlnNW%aaSo zLecQcr=l|HEK6TKF%MA6`F4;Jg6FCxGQlxKZ!J#pW3lC4&>O0q%(>%*jAX=EXm_%y zy8?2z$TJ2Pi>SiB!~-y$EQF-bbE$dB5T4|GWN0aAoguGig^f{q*i_|;G_*75lh!BWL@n~> zeZ045mP0NZk$t7ZcaK|!F$DE1xsdpCRp$Z0-94P;&o&>d%T0-he#&h)mS8J?+?HRb z7($9+CMX(S#~}k&9oTpZIYzJYxaN1?Q%yF6bUMG2_yvz;WRDrbSk)Y98MR-xSbdM8 z%&jA}LYW;rP@sgVd%)!^V>AGb;O;M*}%!a;)q4Uz%J)idB_e_`;?+BdT4z?^}m z8s@~~eoEyW752DO*#=8c!>UyiT)`$lpx++bPM5-Z_v5!yMFnlygFf5Wl^FP0>&Zq+33JvOc0*H_J} z7Dce;d<@LHG zVYSy{@*A)iYmrq*zckBh+IeWJEum0A+H2OvIXq0Dg7?LG!(Qh~10miS3891gPiAgZ zy`<@H2w4f!^#UyQbu$(8X6c`+ec!7wht)Vw)P6Ew;ahU?4h=hpDfJa%eE9k*eNvcF zZy&BIa1I%9*8e;53<||%9|nvUt^}>WZ`T*}vg#p7Sl|%;#B>0eI}RAnzSSKr`Z zHwdu?hqkk$xC^i!-cidn?6l+iD}v0@g4r93L-puw*~Uk zLTm8|6Q#%3?PvvLwV#B3z)#}&JNa_2^%v>^o=e*P6FQjQaqCONJ@5xD94Lj zgX)W_N4z(^tuFgn+RUXJh}1%19UNO(q#;D8WDUEEj@6O4OT?(dtfx9>>;CWSyi0w6 z0%)#nI0hcf2E!z9=R%bWVT18U_r($!Kw4^Xr7y*f`}E)>)g!;heMKMI{bo3MR zUGYjOU71kWr9Wj&Ih_B(4n_WrxF1ab+*shg>)oRw5v|yyXTqLcYT5t4x2_o_CU z2~e>K3(Qr|7E`Wt&oH66vJO?9Uc*@|;LI7PneEors+Gb`_U|qGaY)?hwvXn8A3I<; z-}3BYA%Z0C{nD7;>_PtY>1s&e)BvxsXoAu_MEbyjf8iepgZ|poGYXN#L}Z5z~s`V!=AE9)c;^yGRk*o;-1A zAD?yb_G=*zVcEb9qR;aR{~Z#O96tP${>Wg&n^PCzD+b-a8q9fP}&F7z@JSB+0c4`|wIt46|N(f~h4^hrS>sEV+ssm+Wf>K?#EMK0L+LLJjXe|LtXVZ-y zR={W=iHp(8Z=IwV9~4>!6ey!?O?ohsCK@r1@aeqblE z5y-z#J9xx+tuUq;=`N#fu_@*-Wh19jQ=L=aZf7@*T!x!N9Ge$pT__QWUt*(xHp3GG zRtuGTM*nZ_7$*5e-~0zRp8l}M|6m^QA2GuVV)Z$x53QdABm7kCYda6{y>sF_j0hP` ztmoUt=frSR8*FIeMFLW{U(f2qgX|b8j$G?!y=wwKDjs{0qAxKpM{85P&U}UDWr6-`}`x>DRVU? zeL`F8|9&8&AY#GGKRO!mpN9TVuJU$-BSzl6)wB23;S`XSqWoi=+yWMVI)IXrpMxVo z0m7`sq1^^AMDc^kA7qzu>BtHXGv)uITlgmD!A*^L<%YaM7t!y4Jp^2We z#C9fh1T(qG)6(q7i@g~J;e>1|i0EB>Pm%rJ2#2qYSSXQW#f@D@kD)d}I4u-WejPtw zr)fS8@3WnJPZ3~$4bFk80-TALO2priMZ(L4IQcpdWtdAxsDJI1y}-h~9~S*I64WZ0 z2O65PS_$Q5WFG6@+v8^XtyQk>zedEvP|4J{lY!c(Ch?9SUiqt@n0*9i^_Wy?GI&Qf zL1%6x^5;me+KRf%`=eF1JO6j3Y1vhWX3$QP^y{so6V&OM${D@&GN4OB5ee-&e zdRu5^tx42?@h4xCrM(OH-Q?%#ErVl0^+&YBB9yq(1B9c4`f^RBF%;<4HMQh01xtu4 zy;wCYiX#RS>0N0{inZi8>N(PAZf%GoC(&HHe$D&~ zp@3AGf&){L1jLNF3Ls-SdY$c&-L9ZOirRpBpar9{L20)&u`y6tU+S88|E;2>7e|!K z;L!sjBD0G_xFhDaSP(BVt`{$Ox`Kep~*@Y1uSUC46o|Y?NggBkUNf< z9AqrLX5VnBY0ukj<_#U!@x38#gG)XV(DtxlY2fhLULN{9{xEUh9_!s*lyO)k+x`5^ zgeS<_7%A__vz6_oG;CR`Myn`S6_vtcVxBEgBV7XF2-G7U7KUR4ztB{3O<&?<1QYgqZ(p(hWvq zshf?O$UfS+9YIwd(-CE>0Zpt5N?tae!K`GJZz~|Bzvvb)5@2GJb{|>HkGnqm=b^~z z+abyH?H}Z!ns)Czah!q+PL~&R%XaR%B%!03Ra{Bs)}_@!g)HZdV0Kj@F~rM9id=x*t)6RF zJUeC7`otn+#ZbmOOghv2M6&k%`bF#^d}+>mQc` z3JYU9xk9kZ&GZ2miP_ty{PSyZX*NwjOJm~YxI5XQI+QxRn{RhG&35`Rv_hs^|ERYi zm;Ok#XVndYyRF$d7Mm4g&+4;KAzc-!N%(z4-$HC$*X^fjS-JLOWP55!-GYRlHFgfb zQ;Jz&JlDJlq4rKzp2`YX=iH}Gc43R3EgEWkIgX5G0ci;f@pz$UxKoDKnS%(Bhexm- z=gFgKZ}T)Vrex%4Cn6>Cy6Q?aQ2#-o_ z|MtoJY_8E8?~Ve$ycfIK*?LRI=iV}%HIo`rJ%eRNQs>-A*2Y)+kd;OaQ4qGX^XN{} zNMe>LbVB)9ZNyuOn@bTqIzkR$lB#l1S@&_f`1$XvZD7Wo z_V$eFr701T)6udLAAF`Fqk?_WCcWsS3}2=S;t9YHZP`U_%+(l3i#`|RFFX6cE!Do`{v{jEYLTyo ztB8O=$zoS~sU21NHJ*?1$;l|an4;i7dw>>+O;Cj61Q-tv{*O%5Dm)3M zghTz`x>YJ<3e58AKhu8|N&ci>&>txY)DoPVS~~?M`|F+h_3yv|1(bR?1tvB8>-KNi z>95;gYY9I5Ln!}SY55~UsVl*OL7@MuoBdNk`75COkF^Brp@C9Ozk$i9{$B8J;Q71l z|HsvX2TINQ1||{tyY1iD@PDV}y!b~P-j4qYBlY~xMey6Cpj7N>F!_HT$u|9G<&F%L zsyq#*;URqq0or@N& z%9QrgW4+h(wxmdPD|`M(;0Kn16l|Zf0NvpfpsH4@Io7nmis6vhL~S?Oot6Z`QOf7o;3xRE9*#!Lxhgbhp_VJl~Xkfr5%;9rGNgnkZ$4PbZF z?72`U_IgwEWlGAq^`ht>ObErUdpBvR)>M?IW5LI>k6v>{Ma+!lS+7jGcV2n#&D6_z zG{?(Bh@zPYG@SLdq#gM@Sj0^=Vp~nfa6+NA$wurj;{H7%hmYu`OY#ezqNP6C!r1a&ysXgxLT zihH&QDQi41FI%^}^?7(?WogUaug$~#k%)?FY98ss#pmetXnPqQC#XD#5+w`Tk`sRK5Gd zRmr~me59DWY%Q@|;CLue%{7yn9R)aQ!#4Njw% z&*N#$(r+ZH9Ny3OgY*88k1c`si_|Uo$r}Bf%sVQV+avOmAit9Ofrm~z5 z-sd~N_r}kM=$A+HZasmH7k#RBtZ&~qsJxyYIe!b}c>j1<>h^ZJzE|Jsdb+5v*VFNS zO^xL2?)JT}g}OUA*ZVAiP0g0Bs$Xm8$KJQZ+0R@3h}3{w+TiVs(;PQW`x-ug z3k_gOzq8%reQ+aVYfJCd%0eoStG(T)rQ54L)$0n_SOh$)Cer&16grX;biX~>S-@KA z-rV>sW6tz`AYyXT+kA8WJo%VP)Zbj!d3W`@J)P+P_?cXD^&XghxK#7y*~{zkHiv4; z&hPWSYO7kFzx(NCHv`N3MfpMb^WY^m zaqTqpH|Hhc-B#{GD%Mu#zlR#C_Ug*{+iUUD{r=^}(Ehbs$ckhq=XR`7`CpkXw{O+tQKGOUeG~`ZR^}Sn*xImjD3eM&oOd|y7 znV<$yDd8j*F_UuB{yZua6hu`@MyO#3^Lm@fRf` z7r)1~`}h7FDnGyH{jD0kuCM1RRb}bYEug=Z!?vK|wzjBn`$hm#*c>ol0b*%GJYfaY z!jovnjhlp=t%5B49dK6xf@4c;%uHN!ajE})yoY1M+fO)!w4l!^a3Q#`)AC`dBKi5W ze{p^<-`)L6#A*NWJNogHy4cNc{Q|@<|3h@iK0fw!X{ba@3RdQuJb&w3-cl4~WcoTXHW868`@ zhiaS8F@+;B4^{VJ4gUTR%9r*SRt?W-~b> z?vIb{3N%u8)@dhiaV4uD@3y2J^$at=hdMpl_q0MyLiO<>`}Wz054!3dmaj{GcA_6* znAOYusC)}CZU1SzsnfhrB4C@p!tS!bPV+{asDFR=v_RSYa(rzq<2y08x0L?2S~6V2 z`s^Yft8agEnnT##`IU+|_S zp$VBtv~qGBlNlI}kjj-iyk7!PaY=zqT8;|`^VDI51?EYTDj4-sA|5&BQ2|u5ia;qi zoOk^R)y+W_7Bp$UR8)widP*?Vs`6#j;TySJNy9~P|1oi=#tomG@p)Bn-yj?z2c{M( z&N~p8*d`*P61tGQz>nKK+Nt}-0}(s$?THcAFDqPsf05BQ%Se0|O9wZ&dt{*IhdEZa zTprmqTy{*~mf5a)Yh9#%$)ETq2q=l2OP1@3^`*fYR3D^!z165m7~CWrzSn!LEc*4aXtkfnr0NP~7`FnjsHSDC zg(QTi=<0(>F@YkoAvj7zNH8aPMk!)~`3Gz8Ws#xa6f(@$`NWFKo*^c7qfkPDY|r_K0%#H^83eVyFswqh zKYH!~4GnODRLQ^I@#_M*Z7WwH$1Gh`DG=!MrpNG@WS9h>%-PTd-L@rQC`sdvLdVWc z8KGPf&@V3zRr8x=LTBKb;Jda#1>82h)+tl5#Qs^JSu3nl&M}K6z+X-tlH+4LuoNuK z@rVFWUXMgVY~Tv`Ge#4^>QZOzOz$P)!?iUXB2E{fEApva3SyO;aos(c{0X$PEdg_; zNfv2hL?3rJx|U3Y*jS~3I#L=97s9eK{lfem{lWx(Vc13UoJ?8p-P&Ry))x<&eF=em z(Ku}iB>j2j&nvjM4G!K6gHwyyIaQ_tku;>eQ;QzH%@1!j46mB-o`E@4w{JG*&nsFF z4a<#^R}C&-I6eXGF<;EN|3is=2QHv}_`I@u+t74o&U{qo+dTP29-3RPOc{k_Z=0XG z3wUuqFOFgRdBX7DDLrIh2+>d5>kYk*N?*mM*2@nVK)ei$xeK_%bX_VvxM5cU{oHUa z_ZWL?bVs}3e_|YsG48!DQ9M;jugDwLg1*n2cG$Op`#ICR62q&7A+<$4eox)DW;Fc_ zG=#nTmU_)E>f$1>z`p&BxeEfkr@P0kKGqWXM_;9&DR^)xoi{H|Z)zS(V1b}%&~eBp zv=c}&46_Pf$IMXquqw9&Uv+-s(2&F|Cnec2USj-R^oYdEkO`Mh0T?+B2PGMHGhg+M z&F7gw6eQKH8Wo!*ot@GRX66H9&B0rV6>Dv=xZ=7pC1&t&}n z%+@D&dr3@2l4g0He%NsMFc8z`(XJ*sty!s-M*nAj%oG;G@z{r$0`mY4-n>2C)d1es#F)?kr;Bfd2d|L=yuQ|%jvat^UGG{Rr;Tq(*aF$6 zN$1;NwWB#CSVVW8m%>@9rB|UMlx?SRLBDr=ys~1~uy!oKd0j%lpKU7g?87_uWO)1P zo^t(fV$<*at0c`KqyQo9K#q=uTD^0 zAIdlUct4Pa9rcxH#p%G#^>Aip1MS*9vLXntRy1QHh2I9l;>vIjKd6QVdhB~+Vp}lJ z_T{3&M*<+e^V4eArhaFpq(sI%d-`MBt9LPwmS&<+yI0T-@%odS*_C;)GZNe0{_=CS z@Q?Czk~S3tb*L1qLUj~+ZYDGhP*z{bKb@-(U|L@YNN)PtqFu^BVj2rECJBG6%)I(N z)JB`IO}KyMTF}xP#T==wA%5q{ME)*2lke@|<#1zS&3b2hr)~kY1Ti^&yvXAc9l@(P z)<|>VzJ*uuPSBh95Xg?CG+ETNP9v!pU=f4Ac=%dS z^Y|WQLY^f$B_5*H<49QH72bmzqx|gSsc&6K%j|lWI4U2Ir983GAzm^lyh6}zICZ{h ze~`%YIG4z=;jJRFX|?ZB?rudkZ&=7JO#Mbm3y^2a4)K@tT$@ z2fk7?kY|17;)5PfsiDfNVmw0F3Rxmlylg$kRQM#+)_7q>R>DFQUiV@ePJYaGl0G0z zS9U;T+%Fa+oG}y>SgyT?A2;xQ7a?9H?&t>%fP7sA6{=niGMupl6v%N+oMG}MrURJZ zA=S%%35P*}uGhrv|AY(x6C$K~`7hxiD6r2%91TLhph&702yxyGQOO81y2;hG zOo<^huG|2lJ!Cj?T>u)%5XG#3JBFSp_k~t` zI4!G8I(pwotA~=q`WJ$CZah&O%gi}g9qtWVI`l+zvglAkt)ct(?@4!0bi=uH1QD^0 zMF-ehP#s%#@hcA*!LceftJC0XQr4H|BeV3~5tVx^q}02d-LVziH6P6&@u@{t_+YA+ z%#OMLZ12(ev;Nsw;q$EiCRIbY$<+zjDu`Eeh+1&vX|s0)*((0U(Ebl2`^5aYSsl4Gj`ydTgpeGY7O^GK?8k0aiVW%*{z<+8vTo2}?6H)a*%`fML zQB=(iDlLD4asVX~&T2>2Q40$yrIi;_Nv0wxr~Syw=@~XYWDK%ECnYH#O+;4RmkcY9 z@SCQ-s@rIVBbkAY|F7tVTtszHU>*I5>v}ynPB=s&to)zopNlg{!E#uyagPfj4Z@)R zO&-Wvqp4~bt3Ra+P1lY5-#Gp`R&^k8Ra0Fy-f_a}%jXyQZzTU*V{|NDxsL9bOoxA# zCpU_xtnB@|^G~~x*!ZQ8wq_jy`$qL3-EBKWfo5iESA#=)MYN_~!m6waB)XGP17T+0 z&?8g5YLT}UYR_%Wyh_V`S^j~vB0DMec;ew?A&5 zTtLg$hphu|`*#31A+_E7T<0y)J;Tm~R-72uuN@%n4n@$Z(CT3acB!bIW&$`I z{H9gzAPMqo<=(}IyuV_Tm2SPdu*=QJ z+a6K*!?4e~l0dVeW2`S9EG@ekHKW@+A=rvD$4lL-AX}|ysA>C2=^1kqb+N0e3_(O0 zUDU`X3;gM=BT>qFxhW2bcpVelTB&st-vo!i$&->oL$Uty6wDtae0$aR(%YZ+3MA!9 zrD}^91AIgn1D(kV?4`yP>7d!?PYACDSBLu9tZq;J-9OZy@C**8-qu!dZhu|X%;b3J zsL(;MpHt?sv%fuetDfftmikf%?e?&dgSo*FZ$=IlZ zn&DU=(>WbnpEHT6sZ4(ex~`t)xgjpks1?%O)w{adh~;t_ANiKKi@=8h)}3Z!J*N3O z!CQ=M%lDQnA#c`oIoSxt>hh}9BrM4Dy}J?(*Hl zQG8Xwg??oW6~0YB)xp>DFP3>o83WyzzkE~;BZ0(rj8X!}?!69`+zm_}0~Xf8i2OJ# zU1J$zWB1S{jzQ*S`{ykwKfQ=nT5OWwlZdK!=@IG5W2J8&~VvrHQe+3l_sP^ zCkI?bi>_vh2i>6jU!3h0z>A5yu-`+5vP6Vo`w~eIdph8za(|2okVE^!2`h{w^Km*) zyovShGz7x1Fy1i9JatmUq+v@XP*gOsN@+@}HI-&r1bQ{VI2 z(;h3xNI|XtH6WupY(Fs0DW+2EjqaC?IAGhwMj&w!fr8zn4G}L${`Uw;cEH2M*q=z~RS&e=K_cv6`>$OYe*Tu8owrmEGaRmf zv5b)a5OQH+8fk+YEY(09HmcBoxYj{@TnuMX!gc~up(!EEF|P_O3?q_|R!D*a8qp4^ zjCt#kRE4i%kX`?pfq!C3JX+C_hmzj#-4Ass^SF;&oLY0EL=EU?{0NfJ;l}SFnE{hK zJrt@EpU?0e@k~>WRCUPpQs}}QNN#fDC1grm(2DTK{Gt9=Y$C8AB%GpcQ&iiU3D0LD zurM^7;>;p9{*j*B$BQ^cZ&4;kqI}*adnYL6P~lR2#vZpYqEBESP;0neri6M1GbcoG z^83FK7LZ7ZM!%OkR6o=8GjrgqlRZdIk#pIT^D?tbfR$yIG>idYe2~!BKFJ7AvZ><| z2+2g6nr`Raa27~`xOjh1k)Vn|ENzL;t$;ju66)yC_lTU$YmXbtUfKmd;7#7q=|D)l zKef1VisCY0V^57C-lr(*{0xD~egV?^-3FKa#bG+ryWOT=#L>V`xeT$dlbe^%ee$i$ z9@%~Htle9@ZT9k?X8ys4aK$t4hz+!Yqe8?5sn&jrno%)()s&ks9b7~wMGnu%dLgcD zAA8mqI|d&Wj+kp$Dg?!#E52DPrvn$j{3I z$f*fO_f2hCx{#~l@n5>Sqd~Ixp53opyDuc!7!LS%hHWjL3|O42qUkeqv*}QR2=Z%_katkX++C-v-accSFWJqX)gvIg)9B;6uGK zxdFuNp>mO9MhR1`q8(>4o*gEx3@z>iL7yZY*=kGp@bh|nUup?s`j2F|BBElRhq8w+ z#u_A=F!2x)Rp9FCz^&m%NT{+K{r-eUT5QcpSl6JevM)kI;hWjmE=5$G95n_MXiF3Q z@1f4Dy&S)*7Ka!>(fgttq?XB+%?5)q|e9R*BPdC}Vi2~2S#_**p zycGmH=zE+v&s^Jgyoo&`rkvHUV}Dbf9<^wEw>XwM#K_Ek@#ecfx&G{5D*JHJin{G( zwd~u);IQRiE8l7$5P#|VIf%nkQ2xPqtz)6WdAn5lq5cJ$vhLeOz#OaN>%! z%dV|4oNCyuJKce~In<@h46Z^c=3I!?wZYOdq#o%~a;2!rX_=P45}N#+-UKe? zk>5ve@;5?Q}<^s0{xH^Iy0it9#1;6r|A2x^MhqXTX^tYCw zuFIcGa-ZLZJVw3y7^k$uY=56u))?88j6*G_jC#8+d{}o^D*KiG6D=d!3@&$#+nO{| zVP?6j4xH@F5B(k784o!c#DaAyq1~hTJ5?NMW}cG&s25grvu2!kf3gD};{`7MuxiV% zp1eO#LiSWg{fqN^n;`2_OyE*2(yjwaG z3wOnb(W7m{?s%E*)mqEdl20}sK8akcKG^K>wr#RCu1SLJXM?UX+s{N@dFJ$EG#kn> zqZyehk%;zyT!$=DTx1NgRi&GxFJa^E*(AqZ5>HP~{`gyndJCSSqtzm;@MYVOLiWr;raLB?I zoTJ_Mr=spQ@K}6ToX#na2mxS=%A4X^i$kH|r?wB#1cysd^iu&$D&9``=^e%dw@Xk- zmK-ttg)<|%A4iE-?S1hd82E`<9L;;T@KYf&xDwRjEgG_nQ4e2UVJ9`eRIYEzQ=P=z zqjcM(t@qBRGaa3`os7lhh*0c>?Cp^Ycyye%|?{<(`kYIgg7}2FAYzDdgXz|KtxznuxFuzT5i>D zXD0RT{1r;9A_L-9Nj(ff~#KSnF0~SlTPJ~Xj;Srb6 z&MW6U6y1IoB0|na@y6{wkA{_V#*j$Ccg^S%99p5I-AtZ7-~C$*f8-%Kwv5D2DByh`@^cUK+hNyzPOZ(P_eZWr-G6(+rl3*CH$K}*tu zDJ4f5W`@7x)8~Vj)hZCHgi`OMe$aB(D|>%&9EWa&b(99{1{l=*`!4ajBt+$p=*c7j-Oml9W#C@d*3Oz z(`(Z9>Df#<82T02LZ5IFD+!mXz}=Hg7u~q$ii%xcp<(L_=8`j2847aaDQW1!)Go-V zEok#(U{Ncm$EYoYy(S)I1YI@S5>CM{0|Fy-ve{@XRyESm$uAff3W--?H(4Q86knyP z#pw%j>4`+f_yYsanEWVGSyL1h7SZW`jCv_eJMWAY5?@cI`q4pXlE_5q!%+-|CLE~j z6tXvQX^(9!=Kt1>uz!E^(5(oSyubY6okcG9uDiQ_;G_l@z+cg$U`7V!Y_d;n6j&c8 zif`3>B8`DFDhh8bER2Sz@kl?=YRMtHF^~6dt~t3#ukdfyu)#5&WPYzgoop;T`ST+x zUtdS!`-u`;LSALokMPpu;0T%{q1z&YZE0Kg@*2{N?DVQVG9kH7dKJa_&A7UVqE@Zr zhP3bB23cNtdRVAP8bk1{p*^lF@^B3Jh1BP1E7^ax7-)o@58SA1gg(DD{t-S+uVU>x zxkNn-Chd7>E_pg$6 zxqw>trDGu*&J8opPrIWx2gl|~=!$=9Wu!Zv#&Mj^R$^MdJ3 zcxU&!fG8C$SuoPMd34XGKjz z9{=Ug!5Mdhx;zT(_cFMkzk<4E)vQP{iu9x*Ma#Ss>s-Y|-*m)a5Nd*gPbj2dY$$Ae zL>oIuG1E&ENsDX5$th%JgP|>_l(X1NWVK_*>H4UJcCy9OYNSzDqcW`KN;>PlWyUc* zrjWDo61keUA9##n80-X%j*}42#~3^DWR|5~@{#6M=RX&(o9pjqIHA z+0U!J&#LZEzxluyzs-$xy>4dD;8amMHS_7%#qgI`N6oI*dV^6=)k+`%-Shx#V;!h& zC6I`YX#h4VsAtLb^{Y=o^BlAiqK&Jg`sL)oee(Qj)ao8>(^*di+T>kJ0slr_<<%-N zVB;qvnSi*z1BX1A{BV^Wgkzq(z}`&va-74%O+36xDmOVVB+FY$pOG~yz>DW<2m(<6 z*+20iJNJ>2!0Xf~ln8p+8dd`IfrG&7@IM^0*HWs-UXLP(54%;6f7b-P5OB+12%0U- zKlc-hfE4We`~%<$^hMSX1@r}i9tCum4A=s1Db`$`KVB-3EjDAHy%?&9jYjG-i3w%v zk_<9ef3Mf*j9QFFqL`@)DQB+MhXh{PD7vSh2+~4P6mFbZB7b)XL|f)GH??b z#7AEz2r$kh<=>3+Ln@!;^-8wM?K3 zN=J>wY9(yZgn`QZ4$2a#D~dq5xj7E~?Ra~lQm;FK7r=WA$0s_vJXh~&o67hQSDDR* zs~ygA)tE#mGVxcin~oR2RpxBunb0!_8YlN1e*1+!4?goIScL(uKl(4PVvD)HySuSV z#J9gG5Nhf;Obq(&-tEnmCi6VVRU=vt#SY|P|GQIJ{ZjoG0svtJ>UP9mpEzGZI!0iE ztW)-C9Ex^^FQvlJjcowS2+-x1aGx=8e~CCfrWcO`E9jA%%^`p)6BUM+0rbeF5JV?O zBPBo?#eX%k$AK(znR?v`oU3DBGXkKopHOkDp=;XHXtsYHJzt+N1MP1iyI9W|NrvNo zWqPIpF43QZxETd_p;!UguColMOCX|uS3?#8z~Q`1l(zuNvKr&^7>JlBqvA-8p%ZtU zMU>=Xn4v6V>&LP1^ar@WYNR56;^$ZaiH_6)Ny3}Cx=4P(*lu#lU|lP5HZbBESMQUH8;TwNN%;QU^G_4wbZ zWpkK9mXDF6hH~Cb!XwrkVeBX~$MB2<35vUR-N)g+RUE ztkZ8_Y>zF^k%EV%PpWpqass|%;wjaYJgXzK^F{kg+X7t2=ZK|}0!mtOI>)R50v(~M z;BpfRCr88~cq>LRedm<}u{FxcGX)iz;Bx-{sWMkAFB&i;{x~eos93Hsqmt^jPL+fB zdX}^cMo0d!d(Ca5{>R!tF`g++K$(TT4e3`poNC)OK(|Yb)r&9tzV4Tan1L@KfD?>N z(jDI9Y1s%CW~~EY$M=@ByAD;A>wI2nGow0v$0{hUVIsVJ#Su{HVbTR_fM^imz!Hx=G__(9%++nAVuDn2szTE#68E>22Tt_^WETgi#- z#Av64+^q)^!=D?UtOsLhhWV%D)O3$YkP8uQVx0TYwG@$+gi;Cm>l3Le^VpD3p>Gof z;>I}>QjKv|Ksd*NB?K4+`-SX_1S%mI4*xM8bgZcHSLDUyFBFX+J(8VXQb~0srWC6z zx@T8lAm1$yVV2L!P$G1RV4N-WeYyc42awr?dBlxW1fRSzK0{`pVH|KTa z^hxPh{jkSA?80CA>6f$h2MJQf^18~BaM&^-x;+M<>!6Sr)BE7K7#sxP0y22+GAF|a z5gHO^bL!)1&ZYr{f@{jFf%0RX2jtplP1^<8xg`N5dz(l(P(Cj>vDwW$q(1o&VTa+< zy$~QqP2#i?%u-A7i6lQ`Kv_)^>JL5oO`vxx;fr+TC>Jrj>{)Xyob04QP{fnHzF)YPsTz}oC9;kd`{J? z{=Uz2^|d50Pfe~;QueG+%5r3tc?xGsyMC=QsqnCM#ziku>f=O17RFKpnn+; z#A)9S{MX`C-RdtBc7ZYcpv~7w(CI~P2QMhQ90*R4Rv*Om{`Q4fhn@QqHXXL6MOBbl z+Vc;V9-b6pY*3znJ2ndcS=50CRvsT9UQjnh!+JzoF6KM@0(S|(ofl%xqzpztQRjb@IeoDs`N6>`&@i=zb zg#^yS06t|;R$6}ubQp4C@9d8uXzpIAFx67+I3-k>1Ez8sAxoBlaRXCo^-x&{JIz2? znJnZzX*^_IRiF|pXuK&1&LZpbA}jP_|G0eu`gftE8>V2mQDIZ^$UPJ4uM0X-7?PvM z5vdHU0vZoYd&HF0CJHf!+`Kk-%enLbwPRExaPF8+3dw}}wI6(0l?!vYkF;?XSpI-+ zD(vO*0tDl>%oXfER+tE=o`d8uHI65Mblz?`$rPnl#i3PXPMRr<#ru&q5DM#|$fM%4 zp5Ew~$l+F}XrOE-<1gD<=P+Kho&u_rT#`U5>`}!#nRXL&tD|O*BQarUHy45vhkB30 zW>+s9674u0N5g^>!4!#Zs}(P#5u?#*O3ZEzTBU>5lahrZk(jzoYsnhtYB}e>u`v)u z_eYI5Pv+26E}hYK7BKFAT;(|iw9;}(HCtE@TsffyE4G}~O_vPqlW8_@YB1_Kn>*9w z;cvLO}11GcS{PF(;Ewcl_@?gH5(gp7mHEZG^H)E)jG?3&)d_ZaQHdzbmowkToz;ba>6=0+va8%#W#=N%56ry2DTiAQxM~3i0 zEz_mEQZ;Oo$Tq3Py`N|@v)NLPK=f8#c+c~zkfd*xfL)~Ws$N+K)OkY52J{nT$qLU> zrS(Zb8-V9Opr7l_W^pliDeG+liV6mK2(saHQliEh$b%2n^g2Edn?+R$z*BKGHJ~ zi5WERRw+(P1UM`t)Tit`)Dyehf+vqQfbe=0{X&h%WBx3%d+PeD*DvyVtN3Qi^X<3h z8%%>+d(>Y)X2-6u9S@Lo#`-5@n39(x2}J#za{=}1qYtf5Xut3sP|#X~pvwVKvXitH z3^E1)lxv@IH(e6uGd5^5{1*+3=nS_9DWO z;mqIswPA2v4PYVTtYmv$n}Mpfg4(qz`nl1OEMPJ~B79Qt+UfEsfEaX7;4c<UA= zF>FO)q_Q*v63S9|5_8a6Nu6Y}G+yHAN?)4jI=7u%D#M!@3?$o|a=Ri~y>i_dOc_m3 z${yUp0)$E8_+oVULK@RJ3FXl*8l{o?r%1XIWH?(9JgTJ>Ho2kBj3~dYPWfADv^1?? zesjKc9G8NYKQ4p}kjo^vO{Ei02HN2E7oYR-nWH0REL&01Pqt_P@E7lFk`l*k5{t)| z?VB&#f8&b>(oiUgbZxscIf@vKl@6sfAax?f0G^g|QHv_Ool#o}Z9xoW(vH%wSxU7W zR8h7==$%9^Fk_KpQANRFG@h&{sHj6@Q6`E5=$&XTFevXzF$ZbZaO)9mQCkshK^hJ; z!yxc#+j{e+Z+i0-XQH|hUW(L}Jrkv4PZOom%TaCUoCOLc$Srs-INf+IFzt$|yLRYS zm&hEQ8Gn&AX1*(xSGyZun1+&}?A z#W2`Fp#ip%$!s&lTAI!Y&8xVQgW4D041gl(=j;7qw~+zdiFugH04w})ag{&`PotCa ziV+V?ib|zM6(WUX{O`>R0ec)0I8xn(0N!0R^1XBl_NO#qsA$Ehs%g}uQ3(*M5JS)e z>mifnT_nm}>3GL1e|Yw|G=JB9XDX8c@;MZ(KwMNMQWkng7;4m%{H5v>unR*`$gPnI zmhmj<;-4e6{Qj5{$3d>Y3gPn{wTLr)O1FB}xi2{-U`7!PK+lqfzzb<)M-`ND(Y8yd z7zA;!4?b7^!lD@%^V0xlC?QI1;L+lAa`5_voXE!~epJI?aKV#C$6)5ur={f1%SbWB z6FD6y<%^6eP)foo{KBB@IjBklC{Q^E52PM>R=xOpQCIc4{qR7zt?gLrC)a@b2~+EY zgSO3w${>h<$RIe=(?Mv>l;+PxB}oziP16%3p+p(d&K604!-=RYd>j$nCNs|0fcVul zok>L5!vl~3j&3mF@O?OIiH;74z>V}Ku&6)}gHuulWVLVzbHk6%)$gF98L%>c0SB0H zI4DRUN|V6Rw!ye)1gV%MG$J-vRfrt?ikj87+Z)d@Tz?pW#uL(7;Ks4cv-NZ@12YW$#IOYUEzSy2u^Uw_-AFO`Lpp!;#heafg16a1RLc;=KP97jVf_brwo|E zaXPU<4T7l^L_;%Z5LNP5!uqNT&9ADssza4Qr!u83pn|}j5*h`krQ;$X14CfHFkz2D z2KFi4(d2GjT>A32x6Q>ulvdsEAsIC<#R{ogMZQ1%jCS~gsCJ9k`z=`{F8wKH}WBTKN#ULy7 zhXs>&?h%mW5}-wo#Q$PaRFhyUX$)$W$|4YhmS}&A84n7_K9MejdRItY*nHZ2O1aWJ z!T)>KkT5AMrcHGk$Rr(Uf%`auB3ouCo(_2uc&qWXTo{wc(!>U{74c%uCf#T(uP4J3 zB^qX7G9a%@7S5qk7JPRzB?^U+;E!=Y4oabh+R=G5`S)VW1?@uZ;fh=rnuvB_QaP#F zkOz_PB8Nct>x8BM z@(_w5VZcB%!TF*ulC(@Nf1Er~t^ha@6@K@ZzUo*D8uQErCG0G8Hpb~zKgo5T|D-aj zuu}!33C~)nQJC4~fA%Oy>Obc*{+%1k(IB$<^Zve{>euc0ygL?Iu@RYy1-sOLKehBo zCCk3J@xb-Ejr6qDu(V{qmHnUdUN`UO6F)y*`*XT=bG&`8_Xjz@O(LRBeYiNihpx!I z6Ri4pTyKnFZTY%^gZpfL?k$|2CX$IMEQ~a$KR(uc@FLDA%1^SB2(bBWlqy<1g54h+ ze7xNEPi&lzsBif_-ak5hdr_uZ{ahdCdD%;C|Ggye{&C^A-toNE%<~b8`_e98_xSQ8 z@(x!v=of%uF`??xS!LP!{5_Lw{j>PlMnHo9!$8xm%^uOM4GOj3Ot~Q9XFzAGHw5-F zo{zeJKqtX(WcXK>%pSQddo+995@&YZjR*3h=il|Rsr7s?o}J<~eo}XDr+Y z*NQU``7P1_xMiV&9bCSJojwhb*@r!UkRBj-S1FEz^Bc#&ny&ix(Yg3|1H)G9 zgkqZWLMU)AsZzmBVL`|I!VXdRHrq<$S{aW&Wafk*he<8+2)jA4M6r4a*HpEERm|Pf z($x^XpffOMGgJ*30^j2Zsi01=U8DQjDs7n!da@V0{GM`P>x*BJ0EA~6Y`HW7oREuA77ndIXO6; zZiayEEdT8A$1SZ3Tz>}+?I1Z&p>H06FTFXMd?;c1_QP$>8HhD=$k#vn{J z33}Y}=;#n}p$+HEZM>Oq^8OMk{or9*w6OV@k^vIv;{PvQ3HHC}Ka|6u(*-u@iv=pM zI;5eP{)D%i4oO<%1f=OEp}kR#!pi0}K%^CBR}&e4XPoooSUs?0lEvRBbhOW(XINL* zUyU0$!?(gzs+!}^KEf^AceG25e3k}io&cOua|qSg^3LK{8Hs;-Wy0IXdWmU== z(XqjsRdVcThKuALF&YA7{yb6ocs3|X z6V(^~CjuC*bxwH$e6GeX4tR@7pYnh4lz@R6^i^BpkMpnahcm1)rPV#a#P$_~4W8^8 z0*%j=T~a{M>9RsWqMPLZ9YVYND*=$1Z;eKEHPn^QyUpCF#Pw2s@T^VWME%1=oTG2r_G=ajW z2FBhKVlJ4_^D9|}*IWp~R~n=N?oq>a7W85T$oRtt0odbnoMX?ZQU-$$L75KQZA}O7)PDn$!6du@_1#{;Uo^^C+rgYh6 za?c_|0)b^Nv#l+>>Fo+r%>w}}Qvu+CN+Z{;X=qcrDqjdMr7r~jt&R-CAtT2ZZ*3(6 zl#O@36n>mk-;nwNzfmlV;Lv|dWf{ur7j={_yVApU|7V35*}lW98_{gUN!xpld3ddP z=39#sSi|4tFa5b9yi><9-h1G0P9|yJtt5h3=EL!*3abLj;h}8&*PP->qzlVyCRr5+ z5AisTjue6Ot<(5gKSzP6>yzflp5#-#~4yv6_lZLdL@)`w6cH*e>~P*j69x6 z1(C?(UXAO)vVg7xN*NgA1Voju*3}~>4CgZ1+?pyFWe}Z4lq%4Lul80?8Hl0QMJ|kK z^|hcfVEd8R!;djA62$2g zC?Q_SW?1Ai$vRd4|A(=60PZYk9spx=W83D&wr$&Xvf;+&#RT!un8zoP|0bxqOXR)G{AOi~{wdrDE!*=)t zP$=qIa}tZb+i0M%q&EtJgiUZoBm%Xe5Ng0i=qyA1f-$!O?9HJom4`$Nd~NWBaiLH@ zkU`czz1jX^s_&`HlwXF^Pl~lCu$LF`5^yO0BIXgZFVdyqR5ewXTdmisvD4%X9xqoo zmSW2(`mJB)U7^`=$Za0}>3{G-O)HiwFDV~nT9vb4#*GO3A(^-X^h_WvPQp?)9>Nh>XyO5{5;tU`Q`nt zzo;Sft~y|5bC`9J3F-%4t}%ji#}U2GUwq=G>j$LP@vY%r7arxCXIA65!KD=T zUaDAy#l}dXTXj-|M*L&CDaaz+eYcn>aOCum07+B5!M5q-nEJ$nVw}t4-gS^Yn@mqC z=O<*=E6pin1t}^6slf4CsRTP((t=&n>$()>!g{<6uIuUxn8ex%nII%-)r(esz64jW ziEo8Qi9hY_<&Xp}NtCQN7<1oBBMo7IRzX|ku0NPn)ag|P5hrP(IH_oZVt-C)kXJST ziS!!^PHY##pP_~Uoc{isi9641QN}FTX=3-xq%w{Z(5U1OPh^uvOBB%UN1)oeZCk`^ z?3Qc0SOv7Xeu1u7w!f=Ylk%Kazl%S*`hYNfmmcc}SbpJ_K1uDc%2H(7lnzVhfGko; z$*5EyW|m*EFG#6%uaC;qR-A+kGR9!dhYRgj&jBgsQC%8aA(64soI+hcv!yq&6&^;0 zT2xI)sXlfJDkuM46qCgpasCp^&Dk-H)+}GR;t?_}ylsiL>vHd2^orXc@_HyCfr4uX zqC2<~HhI~6Q{=F7UYU)hd$wjFiY zwlyb}twns<^a`leqo3m0MpoEpVzSDM0hO@XvWcwJ#i_~%8weMlikuPk0ab-TN=GhD zM2LY+x3J?6qX1_wcEs^{2b(OP8P`h>K+04shJ8QHqP$dDANKvh@`fE|1@}c70`Njr z^l**V+p+7dtQ2n~edA)z8y)oKQKuDw8n%s5p_hLT`13cZ*b;|8_{Yd>@<2 zt+k9(Mmwh*KSr!RA3p}-S?Tl47-n?1%*`H#WR7ok?+(nlLEk*>r3W9^awvd^Agu%{y6| zSL+Mhjob9c7#g)@Bxx;{S7T+{$+RV6k8QoR_CkH}ZfxT3@_^(7*M;Y_ zlOWNWgf*PkTYOf-Vf#A;|J}ET`@0&>Z%}dyUke%Qm`r!FVC>e#%fc06|7HLUN1qXH ztc2LuY70o)H!SC9Y+z57&u`2$#DSKFgn^pP*u+07+Run8o`4f`3~P>wa7>!nXr|bE z_Li5HaM|Dzd@ClbQn^eP+R((|uQ{kecq%Lc^KY`Y4;6nPEa=eyuO)*LI$mGmYmbt@QRd=->Uc9=x_N;bA(kxq{ zqbRphENY8KThq<6=0gN~Vg2bCe`)cp)!)OYCWjO7uu$n5!KMBpnAMdHv)7Am>rGpB zq~F!Ox`9{F%=U;c{x(&!3+@rbQu9v{)#YrU8TtYG!uDIfpi}qj_WAEeZ_gp{9+6>l zH)6WZ6%w-iiq$P24lhIu(u%CA;q}ZC<)9{N4{&&)R>S zt%thbiC-OT@piQR_+fkI399^XeW+zBc4{w$_Sx3@vG?&xK=FGS(cXUZ0`lbHVg2_h zBt!RSHNWTM<6+60`jcNd!Tasu;py+U41IfiyARjDmn>5D3|&4q8$3uq-cA@Y9F2d( zQvd@!q!^3E15it1>Y zr+50=mlviN!5J=R>)FtAJ$HWkF*PTyg03r>efs!(_A zEFZn`XXh*fvJ-JvA`&~s&DQ~!=bh>ZrXwR^y=1;_7!v6H!BuhbK&&Y&2&T>7JUf2T zlRWbNwWWr3fq`-4twDQ}dzKWKB}IAn8?&IdLy!ipR{!AgqLi#MpR-qoji;DJHwMPBK;p0Nts z)~Ky@SN^(QSk0}>skA4>1KQ;GUSZ&^QDNW>CH&KuJD4u^jY}7IFRj9IAe$0SSerr4 zcGUH@>L)dw-$zGBZ%s#@(9K@$))DnyzbaIcHtc@?ZN55LP?``qO-a(#5w9vLP@9_- zVprtnx1ZL2@cY}2yJgvD-Bo1v-dojlRQ5rac9oQ5(PgAoKZCn+K|d53UzQ!|oGZg# z?aJCJT17I;Kwnrubr{cMiZ}aOZojvAR)&B6x>*p_d>yPBWW#935#)5aY0^rYI!NEC`WE?TBy$SmSkR?vrGA})*YQ{ z5B^l>U3r#oMN%-5Ze~p~_d=0VsHM)JM;)R5k6ht--pm7o)yt{w))8~bX+gIs*Ap<$~{hkIn2bKSUK9z+3@3&NnLHP~FANwUWDPQFm zzT&>GTHSz5-B*YG5(aM%K<1qrAXBitq-UA~Ju*lAcT7cM)UR>-1*-PLMov{4-UstW zh}~P941Qd?yXSta20z%3SCz(beS&cM0YCMtwB@^+{P&%(gke8lTXpCUZnoR@4TUp( z(U<-c_*j12J<37-RX^^=pt-=;21>t`F&mwa+7haz@95=@C(c837cYa@q10?h^|5&@ zor=e&GWM8KT-qaM3qGqhA$G62N&KjwJQ)G`{uV5-H~hGA{2B<#=K7i4UL)|(>8C`$ z^A(jf{a>xt|N6bv)ME?u70(H*wrF1PlH=+wb&pvAL1(_Y-jcC7Gy*?+o&Ru=0i~-B zPVI?pDnX<}X_%uv8{NLtt#N2sb;1=ysf<2 zVq@Rpf$KTB@fBtoxMI<%hmF<$z+d$ScjocKlnwB@Sk4K!cGbh2YgGhifd_AZ73bS& z%n6@qJ2f?_Ph-&&{+S4&7W-**QM#H?pVU0(4C!GEY{f8GA%1#xe}A9f6AmK-+STa~ zA>yrBddQ*cq>#edtTu!3o2U<1>4`cZTP!7jn13%j%x|g8!1tr!X}1MN*Qg6g_)wm9-KIihJl#~@lFMSja0Jo zDmwZs?61iJ*SNT|_KjdqTTJ`DPF_*_RFW0oYoL~5YY6+R_`2`lzV&tRxp3I{(%Z;@ z-fPzsWLeGDbe?i-IG)Z{iBm#1!xNb*6(X*lWy8RgY)Qm zH>JsT-(7;nUKVoz(ya1WOIG8dQE7`&zw*YWY};M6aT!VVt;!9+UKS|x4mYT|+ubEj z_w=$_+)ci0b}91i)Y>Wprm#$9B_xN3wKQn3OrNYibK?eFV}~~wuWP<`veCui&;vdz z%{@1*^+r3t$URFUUdXXN?)e_n18bBMgW#i&)aY&O!|rkFt9FoUxuosM zjso;}t%rHN*5w|>eK{3Jhtjr4U5)#l>d#5?)F(b4DC@S(x;(bVk9A+qq31o4;2eBK zYjRaKFTPWNRWX(>?xQIVZ=Vx({8(|yyS6e^{a7)|d)6`({m{tQ;gHu$=5LNy@gR`A zQICe5-Px{|tg(EL`|pEcKm z4=3)J?U_V8*2SyIH+RD;iiZAra3_CIPjAs9%DfMr_Apx;x5d!Ejnp>>?k^VQ4CyV3 z6MDM7nAf4bZB%nDcSqcc-=1z$)M4z_i1}r8VEYla-`}nD_$7CI^TTa_pI@<_{Dhc9 z&Omb-IQe}GD*8ggX>?LjuYM4_fF{kK*tvM=f23F9HD*(%%tXTHFy0vfXV?m zC8*08pLFS;jlp*WiEmu*7j35l`jr**cN%vRDCm*k&|^}39<%wQM%o;jI`(x7|9wm5 zPoR~HbxPUqo}$^)V%4Pm5IC}`k+GgNsk`rwvU*=${h6J;dGm}RhrUdmvNL^|7| zv+-4^2K_oyR|`0m^*PLyVq3}?R1MVi4_?+oX94vXHku+B&$5Bcs;IZX%aR{yJC)RwQs;CF-rxPPqvO-rq7j|(lxoP z`hrn?Z?U<7G1b1jUV%NMb)nFrRj+8pM|hdU>H*l)H6PtFwqUOk=uyoD^}hB$PByTbv2Zk1;-|2GD|r08;kj-Y znjlm&hDgm<^~S2V;e){*)AE8^Fw1^uXI%rEfew#2UU z_aAfWW$#%VC~*&><9s1qaceyqE$oahXr&d-&5xMx@&Dl3azD`}d*hpj^|kklhxGw{ zoo+f6`OPfr4^sPC^P~=c`^aj^=D}rBFFK_UHU@20iY@f1(TCn{wy6)>*-Rg84hntO z_kivT7V?k$X^rA+sVjo;uKYYxDqXT=<=+;*b#$5fl~yzozID1(-4;*!r+!~*JJ{^v zTX*;j>gUbNe1ow}a`qN6yy@Ng6`tXJv7csUX`gz60KzN6lvVUfNzrDHw%=Ua*k;ff zZoIbWD8K@Pj!xQ=x}Ux$m_4SrPws`TfNG6?lSMY5!4gk4_@at--W*LOJcdgVyAmpYS zNZ-)N6q|mE*h*ub4BgZXSd1DIMa9`jBrWL_kwtYK{su?Mr<+SdUm1{sGXx=T+dJsJ z$shK9$`>U|c7YP)1*iPW{f&I0r3+3{knM1Q6$f(*XG_Vst*hSr;p3{WW2iXpgVt(p z79}sMI);Swgh(Z%z&jd4HU$?<(u-DHZw^21e%7YiPF2c2isD@aQ_;gUnYk?6{sqMsi`B+Zl$U%;+7Q=m!gJ{%vi)JlJ_EQ;Fek3Ju)H zwSEMC?A<2KJ zOqz(sT1zwsT4u3Ggb*0Qq(U1@3gK!yKUy{u&Bw}Vg!Sh2n#=U8!6gIfeTo3Z!mDCG zbJ3|;Y0jHkUlY;eWK@K2&-*l|T<~09qX6@qOyG*%x*&Js^)!zgtb5z+P=ILLBwX8} zHOR!!QTI?MEdjzA?%uO_yawcu9*3Grw@r97H><}OaZep!Zv!O9IOrclrXW){AT#Ym zoVjwX)KX@&aVR1CR~2mz%&ag_GgBGhzk|5M-is;&A2fQhbxMU+RNjnDNu!u{{IjP# zvhb&(`$fswc0O6?i6N!XH|JdkrTDSGsdVXD$C1dkiK0bvS*uoVT1AoUC;7Vd$CMIt zuHL3EmI(HKEq^7&T(%9l zf>O;vs+;;^!B}!hkOEflWaEG$L_oG-IA#S1JY&&$@lz;B>rBC{3!}qL!QXN?Uw|kk?_d zWpH|lRWKy?NA8x|0D-3krGVntW==?o$Rwx>l~L(u%yfD%8HTTE2!gk6Ntn-<&7Rx{X?tSP?ai8Iz&RK925{N&Oj3 z2uEkwe~H?^5qv})z2`#_KX|6JjWC(;AVw@BgX+UA;2}tv>j5Jg`>6I8v?K9w(^3*W zS5X`lyvwh=xX#D&*Q^;1ldDTCAHK=2oMg@y8r4LSUA$JQnm{Tdhh-QGfz)GNBrOye ziLA#DMow;)@t9rcMdsn9NrU;m0psjZjIGp$SCpYxk5=B$YGayk;u(D=L;=7-4Nd5i7ygLS|_ul_%Snd75c8BZ?CnM3VY< z@zZW$B4{Nk_qc|nns8&G)U=^MnGytv7BtCN7`gP4P)x5B`Qs|-(<fv=ic{PKwiqr?ztlSJWL;8v}os6uOlT>6`t+ce9n82dE#i*i#_rz?%Xrh9# zR3v+#ljSH?WbBnQk`GdI9`v4sZM_i)qtAXB^DAMvf$fx`&^{Nr&eR$!oA#GIpTnu{ zY}i|)uy4ixend%PE>f~BS8J-XaT6mVlz@-rs2z)*Z1q`5dM2bH$==qGpwnS8wIzp5 zJh91Mh)aVDcoM1{Zf6&ier2!!{GPkW;wp++CCezzT~Lt4q{)2(Tb}Z3{~dD#S+4gm zEsu0K7TOMuyC41~%VkLPAt_+4?oNUQ!!RFYTcd0shCO*tbns`PG4)KcTLjZLpqNz8 zQlO0DxkH*(a9R=wcWKvPXbXO!EcF5wwi_r!lJxAv2nr)iMa%ezEC(=Pt5POlyhac* z8ww22sr_W4HL^&lJpt)rz=}i~VKkwDP|}=n?md?bT(~Ic&5;K*-`mMSU6q)GxN)~Z zyt+Gu0-e0)RYAtz-A1m-^D;0(sZhT}0^q~rUJTk>$0(x#MzKa(qa>E6Oq+h)i<-EN zM}9GN#^KToYDC8Ldn&|d&0AtmOuGC!oqCNtQYv9Z2n?*$QjD83oPdtX#+doiF<*QF ze0(tNpRJO7vcQM39|Yae(3(D3IHdwDdhr>+FS@q@J7J`94}rc0r_u^k2&M^Wl`*Qs zQY=G~<#M|{0n-YUx?qC7prUe3{H7A!lkAX$Q2^rYQ4`e zl--}~@P}zU5)M1*B%wM9n|_T*s>ptm#7dY{#fTHGA^4{AhVH}$dwsP>8qUHzs8xjC zu+eKwV0Ddh2ONY|%6)?AO1Lx`3%S=`(}ATlUH;&zOlo?6eqN345Ty*JtPK2nP`Re5 z^&oXddx`)E=mF?47T1fiP{L9?QY3A98TIKoxbCm!T;m@YT)`5Y688M?bg9rhFn@(`eU@{k9CXy8i7LdJ} zj0XHF1P!MC>*zdu`)CIHWqp~IQmxnNEp5kFQx}yXq?~Qn!>zU9$S|fVvy~Jd-4-t9 z^Xa)i8G(IQM@2SHQY~Z_OnK?ZdqQcvMtyzGBWiuW&rKeWj0g@7DRM0MS8>0*gC=e# zf+_{dlpdG1Ba5Y^oP*2G^jad3*3AHeB-zG+Btcjb(Bg#^lNA|HHWrR;&69HLCB$O> zY0=Ie`;TLg7blC+y(DrFjz>iaT$0(q@nBdl$JnF8L2=ZQ_cIj#xcsCHvFlbUUcYmdN?k|3@0KrKD`#<1(`Q1)J zF@_-n1wpHc?c=D)V^PYgvyir8XOVwP>^-GMJM0QQVJq@?{)50}WqQin}sl{Jng^5P0do+s-cR$Tq_LUPq6Acdz3Ejz9dBS^(Jpz2s- z-i>TxHakp_I!{zwFhMSNz`GZ7mP$1WBbm!J#U@wH_eP50R%Mc~m_@iz{%d*3HLfE1 zQ)Sjf(vTDjk?o}!2r(JgL5zDl%BaUsr^hit@h%ZLtJ#P0NigzJ=vI6=iL|9r9;u$+ z%uP<($w&rkbo896@&4zk`v`Bin6|<6u<3XhGu(_$b5z|pI%nKJC!Ak2#`jL5Lnl%k z^Ps1T0}>ATLfQRcF#XdK)V7X45=Md2>Sr1>s2ye!lsH4^dzHT3<0eH=HSUiT6q;W- z?iVfQU{^(X8uIb7it+QBfTYA2%;qOL!?CuA;?}#+iy<9ABr`#tJR>EBON4%4Dt~*A zHATQGHsMoh`A)VIE=2pSR+_A$0xne>=a3zyI8TiTxULQE=iA|8e+5IeUuVk!5)+DK z$89X~#m|?X$kX^p^s3;{Y|Bw{@dW8o4@nOtW=UqnR%NR!Qs_r42F{E40ckhRgz$nn zve>akk;q>vs(mJ-16W+7>obkpd88-L)bub|vm+?b#mB6=VG0yseLj-Ms?;WP`E#HR zjr**NyKk8gY%-xQM^cAY@H%@ERL2F zCLEV$)GCbL%nq#GluUDDE@4-cC#rNG4Re+qua;FDFxxtaMU@&LG!`bQ)g^>;B_vR* zCAf+;ntUyG%oZrln&q2c`80)v>*3)?Eg*Ym8xq2K#C=s_4t(zPqXBEti`(wk>jgT0 z%Pi?d_b4Q5(4BM0dVkjP zjzTHa4|KTt}Il&8_OmQ~N zg6*Fa%^7PjuPpGa2Fu^3==Tr-n$+w{0q#b?*e^)YI@(N%=nHP zFimCzJjeW4hWSPUVUi9v$7;Cad^2yG2EFl};DwWlTsIsn2N1h1J@;?;U~Zs4u7SWk zF4A<^Y!F2j@}39{U#MW&QQ7o3RMPE0lD|6cQE6wf=XGbLK<~5!Y~gFtGAm-&FD%Mu zBdZ?k99T`gd7fuIx7=;>Ldb+iteS$InS8x@ox_;r1wa&#QCevhm}n4pITfu=mVBYr zX~@)*lc${RH_sPeJj1pD#gY4FUUow-%f9Q&2$~j8S?3)RH3A13ME<=3sD13&u;?Dj zXdrtb9mx^vbXa{;NcG<%GMK7Epr531JK{kk{b6=5wG1A1YDtcQIDFE^<)Y%cS_$Ex zb90~|pQLb5D5#_x*H#BZ%mUw=)ks`HU2WW}Re_V4&8nfB9BVA`efZg`Zy_y)Np7NS|yQE+$d2Ncd1Hran5pSs(5S_$#`OOTtAi4O64`xMS+|t+Ob=D}iVs@ftX;pr2C6MX0e9wN_IS zf&MBeZX@sc=GxjoRRBKf=>Sbw66s)xE|Bt<6KuXt8%>y#DH^#Qm7-mE|2iDS$ho`E-b%)g7 zJw%+*3PxW%K9(pT0DrEqd3!LTv+FPefJn;81=9CZe%0$d#38N@s z8i@o*rd#w!dUfuf;xNriu`3UKhyC-nIE{R7dGV~Hjq9Ia+PtDw`OZ4VC;-rV<(T;w zqfu(AYQmg=mOX}+wPN7fD zb{IA_tjas;pzE~W>jvr|Mk?fIBgKGa-{V*C^us=NzQGzr;P8_iFy_WHMP3Ka6v8oC z;%YS_4WJ`$E4~t=z`}0_DTDx4!$9X}wlbPF?Hy|;#FK+n0J%f30!tH_frwYq=33JG zeBS_i<~#{;aSKZOJGTn2mju7mL1`|MS=#u#FRI)sWy#1e_KK#*sw(TsWnjn!m0yOP zGUnT8F~B}<_?mX{wJ&dlKCh|B=x}YyW&2VJKi!S}s)`+!pdIt7ij2{6UdXjW-cZsi zP0UvhjSSJWqyl6wQ>7S5l%JGKtmcbz1{Epf28(@^2z)FncJ*p>Z8ZGn5ImB7`*w9; zPI!neA^TJ55*n8i8K~l+qvtUzw4)w@A7k~<8k!I?jDZQutQcMCamUX+lG$-ArxVW$ zJXmrnGobv9VUZEb(cPzZAZi;OPhnxNniX~%$ydC$Q+os7Mjr5ecXCImab0+PdvRCc z5-|>($OMzXC%j+Db(1EoPm0`z-A`Q;tntHwkMP8hO5bFiX?2oKd13AEU_h_aSb@#l zDr|kl=FUiewQ#!$=-_f=cZ+P;r*pb}4UaQO;zwDJCH^jN+EhPi(m5ayvdsMj?8p2>8aY%%Qvo z;hsnjI3bZ*v=(cWM9-*)A*wj$h20*Kf5^vq&F=e&HlV2a`>W@VwKMJ>{`C8S?~&R{IP1H_-2#=WR(p-U}FcZO%u7cz0XMUDWnJinMj`B0o^QqhqZ88}k*UDi6Hjo8BqzMZ#@WSUW9O)(N zoJJC~JQ6f4pG^t!5_H4`Ff#oCqRJyK2EL2!u%%vi`2OQ89mTEJ$RscxEl~sklpw8Q z`{vKO0wL2V+w}xr;{wmFlgYnCw$QUD1KEeUsjWaXG>Eh=e}HQ=O;~*-8WBTA zhtbM)8DPcn_nwTwd&f9-of)<*rwz=6p7wsGB`58-0TVyre#4Bgu$bAxP@kwkqd{Ki z>)M1yfNk92MeJ>)5nzep^0{r-)2h2B+(!{2G|kl%Df-)gd&r8Hwb?X;z0wOsp~EmQ zlwOtv7FHSP-ue((K7d&oj!)nwLA9RrDBDwViu*Pp(|MPSbE`+%NjdS?z8M6=C>FW$ zz8Fgthp{S+g?sjaZVOnB#Jr=nnUH%5f#EN@Ch34_P45MXR}7~UZce90=%H- zOq4vueS=#CAyssOX7@WpwMG^-?r{U;3r||U2#IX$sPNuKu{^%KXD9tWnxJ$mF5Ntu zpj&T}L(2)3(CBRCA?g0k3_li&4IE20-w2*~t9AH7Wq*+4j)Cf9MERVV>=#}6es>uV zC=VB$-EdnIpX@h+r_k65OWrT-v^Oa2bZYd?KYe=FQ<7fcI^oZ^1=}>vmM(E94yRtZ za?EQ^d_l6gYFl>A3e)ES!s{7kl(qs-e|oFzDIAhsbE{2ly3jw7gI3uY=62&U$6be@ z_y(qI1fYD%cbj_hBhdnthi3-YoZh@`B=UE_6e`CYEjzs|Y0}BGtK`y;fuwjnKLw3Q z+rRea_cI4tO%1OL#Y9!ytCCpY{Ko6;g47PO0^l)ONwSR3nJ_v*v~d}g;LL!2-DiT= zUyj^S03YdU6odokSl(^Ec0p@wHKZRgTmLpCchSECrjb;;W7=k6yQ(71@J}Yi3)w~y z?mem`brsJ(1l?3VP6hlKt>XJp4ZdD)35Z*{f@CO502|5bO@balYtTh#ioZK}GpSEN z%Q7=VqeoE?d4&s54kip=iAyf`tqt3D9@^5N<93=&@g7+x->r#L0r<&3u5*Z|9K zhQmF_Ndmcjl!CP!UViryqu-*`BGpH%L18@a3xoe>93|JX=YAU!Laf9T7^gkf>&%@j z$LRUevNDWDiT@ik64(x};m`nq(qa}2(C1Dxnm^Bk0_IKlEk6UkU~ric;Wyg*9u-V2 zGr^~CJ8|MmnnBPLWO)FlQ~#lJIBh-XHu8MNEXf!(X7=uQ#!rA25k$#Zb7pH$i?wSsKhmX+ga%jL2_3Jzr&nuTGswQ!qjZ9 zi~kbkL9VAe1c30s=~2Oda&$A+oE-L_Ug-EG6#56P$0{3^!S1VvFj-HjoIb?xSyV{? zK315Fa%n0>>@}J&NX#)cNv7cbS8Z~}fN}B~`@p``M%L6`cgeJB{6E8FoXbRa3A}P# z<5*|yxOw8IZ*Zp&1eDAtF;ZTYK4G6Y^3=VDq}`vB_oq@gX-2KQO`-4mmk}w#gLGhD zJFm`Q`afDk0_G&&et-M2HcvnFmty2epK-TJ*h6(EpES$3eT0Z;vU3nCCX@gRo#C>XEK5)E^I^oP8Bt@Z-WaWg_~#cr4+c3+_&@&{0sQm$ z2Lpmye3ParPK+GH0B$0fT5sQn-gJ+)ewG0~s`nXrsV# zpH`B>J$Z(Lt}I&~pavfptIbO+d30Yiulq1{wd}{ohg4vUeq_-oLX@gXn z{fm~uxR!YuOrMSd$XOZ_IN)G7FGE^g{wZ%^xjEak(KUB+;Px6D+z8;D6OoQ@Q*o+& z0rGI*Im&&25HnLq3VnGci2ux((1gDYIs$M;aAT)5#s*71P)G`3-(CM$BDI7P@YTDq z4}Jz7aGvF(p5ORg;P)a!6RB2%cV?v4f(f!P9FjGrdO|?DFK@J3dxmecjfn|nuvK7G zmGcQo8YO!M{mYtwK`J{Y?KBRpmk_DfL5jiUAz2+YB;hvNmOO@AX_9Xj+yEW5ANS`d zjwd^?-(X&m_b;8`*V*V$um|`9QEsjrH~h0mI>MgwKP7TndyM^sYBd)XnOICjM}^tU z4U=ZJLqP;A>Z4^CXy`$Amw=>e+z(=Woycp$H^{~21qRt0U!P!OU_!R)-z()*#>X%U z&wjQLcWd$Inr6>2Yb~`Y6!brHvf$IB!;n8OE;^Ta#Xl`B@`Nj8oyP~*O29l^|_xjk-j$`*2^z_w{{-Ap42uuX@S?^ngc}u@M;He zM01^R?%$AbUONlgbpXGcz{#xkqs`)<3E6WnvH3IwYXLiv5toY9R)Y^L|_+Yh+J9-0s*4 z@J+JZskpb_8jKKOk`(gaW3hz}NJ~c_1rKpP=3=Ur@mL zr-8j+-q{Ir%*|mj?lw}=W`6XKuBk?V$@*F(NUiI|6xN7J#bpQaZWXaS`o_+RD;BxPneU+WNJ1{T{vNEcf5|4(mI#lo5!y ziVVDk))ayUgd9kDb-ZeSqbAuos6BCvwRw@JBG`i=@*qNJ1|r4VhHb5=9tjfZH56em z)ZKgIT#u)vb66%$v5D^5^kk-p@qH8X8RY>vbUNG(Qqjm7*fjg#*5GW)D7((y-J~np z-+tYl)~Cs!e%rh`D6L?2Oop&vFBzw<8pH@aE3SB;vf@wa z!EjZD|Hwfc6axPQu?mbQ*jvZSfp|@Y|MMVR9%?t{2i46P_4mLRrgLh-2qqXLV#PR+ zFdzv^LWY=^ctBnX6HJ^D7D+nNc(qeO8o_im2r;%WF;Twm!4NBdxi0tsTgXqOOrgqD zsBo~)juExI_(=^Hak1vHSes|gy1Y>^?Zd%tBA8tCC zk1@P>Ry0!KZm&f%^1-i$P|A%!D>VjB*9c%&%Z)@kHUDFD6D^ZpD|!H~Z)pXfq*Jaw&HY zv1qOMi5UZdA6`x zT%`{IUhVj>b67O7_f}wb5 zkjqm15fTnV;&(Z7gwy-XenBoCKn3t=quRNNJg5=e-_3?FB4TrnhGkk6WX?qJ7-Ll> zt@2!ow}WL`WhRuuV%W=yrqTj2m=3fUr1Qc{;*RzYt*Qixcn$2n8Od68Iu>saO1Ex~ zDTN`hl`RedzN9}$ppqt9lRiR)OXg95>r@rg8b#upesXY{jZ>3MuB9wx!2oZ%+BtpL!ahw3K+>Z~%ew`O`Z%Mji$$4eeW}h(g>Kt*O(DzH)qhz}L zI@#g+Z_##PyA0y8uBTkvxWn8!*wc)uSId<9oNh=Wx*abO<-X_tK$m|ADNQ^%TiR?|6FFbo=b82G?cUVvTnZ5qb>h#DG;?bSi znzeIfwr^twca!FPs29?m9N&=0G*B{`hJ*FNCpN_%;&6u$!%sv0nt{pbjVbISGV!PH z+MUsfoiXIuA+^;nz)qj^O7A%XgVP(s*oSrEPusOSy%RhA(6dEqtNo6>_Wrq6)XglT z^L*b{!^mnq=3ar}VN7thVSIx=(~x-o#08AsZ({QXqo~-BG;g#IHPI=#K}-U8mhaT> zKO!=1BbfNG%`TOmGf+9bQU5iN?~fPJ8y+%>gZl9yGW95eM*zRxVX3}L!ciZHS#9jNs{qq8so7uu~ZS9wKO3n<*d0h#` z=Z5^e?%;%mpt-@Cswr2yw|3M- z`V9`bkCNwD!5(xVlI*{AVrIvrXjp+X}BY7o16wj(GweK#A(c7IkAbP<0#H;G4Yjkn(9dBUPbjru60b~5fpeC z0Nxcs4R9SSU|{1yx5#lGB~SpU24pvZgXxrHIu9liBPEvr2UM2s{<#JNW6pF!BT#j! zUIhWER-S6zltd1Trsn)*0}QBY{P)fsm{Eb$6q>d`549dp!2ND8F{2pDNF+6qTBT77 zaXh|yTo18$inBJ^Fk&J7fjF9?=|V4?6GQ$8QLvC)C!W7RHU><*0`c%S7|w@u5D-s2 zI1!^TToKCsg|}}|`<}gs(D+XGxpB%2c7$<9qP(P5BZff$M8QA+;$@rz(J(9kks20& zm?^yb6PFTz$jt^o1g>tYA6v(6RoN;AAU_etnTs}Wdi(gqcFIRvcVaAgF_kj~@I7j2 zZYLhpdNH&;7&+`g572*q<>_AZ7OciF#+4^@Yn?r6t=Wup?ZiCw0I3^~=9`Xu&>J}$ z3>!uX)3v+zoT_{x)@Oj=%kIIHC0r}fm4kjYjk*H-9N3lWwN$!KSvu{>)TjOjH2$&D zJr@Ie<5=Db}~*oT-#@#8lfE&>nUnK8tdu8l(h;>%?7%4 zoV#G-X5nVKv3z(}cOJ8%1ds%3-#kkIHEaW1(}B7jh1&`r>y5ru8RtXi8YJF!lam zU?JkVV1jpy6p>I)s(APD1xJaealDM5Gb0XsaUd^=X0>e{lfP77wsUeKn-Wj4=>H)I zK(W=GytlVRcS+VK^|@P9g}xk5-|Cp6Lh15^ckTOLGbpsfRsg3?>9FivmfD^@p7`fM zM`)+|sE^*A7bCOftd(g(cRKpprPowiPkovm>)pkMhDC1~+~j6WjNjwmOZzwMslS>r zC5lfFTLpHsUVD)t1j$B2TEyd|S7h}%gqEg?^i8x#rh!c8x;nM8yQpjXx$YeMA!EPox@LC~~)a>VWgD);qcdm{&`(+{Y;_RQI){NpF zmKoY!qurPZ#^9)$ck}?qRe|Ue0j#l}5 zbJfQ61;^;p7XWV{@b!2QZQm;p5M1`><6}CWD;|kjWC#4F>Gling19#RE!@Aer|acr z=;F;i{_}Rb?dxUx2J|y5dFHEW_Os8oz03D0UdrJ8?C8nf-tYOy{>%4m@heRCJ+BM! z=HIiKd=vVX%mn5b-N&O9Qvbw4pM+QL+9cVE%bmw4G+rIvkb{)(y0egkAF{>}W#s^uI^yU0u@nME*&)iW=-|b~>Iflh2`2qd zPa2!h9b!L^cfi}n@|EDbTlWLNvJ}55=6xJ>?=aG?v@6fEZ(E7z17Y!F|91UM;8$Cl z&{j{!+tA1UQJ!6$e^1xP!Q;@y6<6O!q{+od74{C%w6gEZP#8tJMt5yzDe^`_6EXTL zWY@V5*_-|ew$0$(@@vlma*wy))jfRc^$P>*lWd=1c;3#lQ{6qhTmRby{Xa%t_f83r zA=Y(r743I-_+?%_nw5`N$7#ZYMChS;l(yrmv*~Kr_wH%v`gzEdwxj4nXMcPD37=}G7G!9mHFJtz2u zdD(rMoeypyGVXEyw)5G}x^`e->AFq!p@Oq#2P1gzdT3c)?>2{`f!18##`#xoi2v5k z3yWCI7rQTVct5)@wv@2Rs{a~tz%Pww6Nnh{?{|zq=kDAh{D8f3L_$L%Ki1y1wsP?5!B4jS3bdzOqaK#9?%3A_LYvdoS z%1gp%Er;XDDgAT~82rwpf7Vq=kWVB^MpIBQ&mRlTDMD3z<}68}#bv{&944D+3n8YS zWyxIpJUKvfci>ZD1^J4_x$11FrpHb;z zT=#$L;tOAcXYOtS;RdrGR=S(~U}^3>)0Zw5t4eak3yf{UI7y8Pvg*`=L|?4l%Wi*3 zywOi|FDjz_wQ+wf9ggLeyNRk7xIJFVpge+r_wmg1G`^4SoE&ojBw^?6pNA7i2FoL6 zp2;4AZ^{&i(A)%_WJb&Cb?A@d?IbwW3AVlJzcm7aigeILl#OH_8sec56F9K5w=)+= zc5+XhiGewg=rjEVR|nb}Bn}okR6lF#%OAxvgQ3<6Z)x-@jtlF{4@~jeGK@EJ1V7Lo z)l79Y4VWdF@=;y?nz#vNLTU!s5<7y~d_|xe;!9_PY>MndtYM*1^*<(XgSJKv z!#e1Z+j!JqucTmyu@xgQxwymkIJC;-J&F1iGGV+w5B$I~97A?|FNlM5Z%cq&6D4ox z&q*CY93degY#tYkr3i_g4NFQUht7hBVLv}N(Gp#I7N>sEc1GgF{$;VYF4YuLf5W4E zYjj9p!^pi*-xRM3YXn%#`%5;(v!)wSY81|tXf`zZQ*Z)0&AGlqTx19dKFVh8xm@g} z-3f^^trn=G?oSv{M|jp%Ns%P?n(jie(V5k6a2ucTJxPAGkl^VOJ3Kcx(wE&hZ~%!+ zjsV2UZP!$q`Z}d$pJ*Rf7Xxyib;Md)4qOGq z26`4(J06aRDfNH!Ruz7p+n4Dp_J6op{jG+xo|*NzSGSJOIF7_^H2agc@H)(%ALo)SSuIxFLAnl@uW;Tl#6uc0+1au*jFmnn!W zxkGOb(TGC-Nwnly1-E>H1a~T}5gMd}-}<$&{3{-Y}N z99MJ^VMLxSn2p3*g}* zwMwQ92TvWgSz$M28q`Kl#+?XHfu(QVN5!Pn`)L18)2(&J*C{%Werm0af!Rbt!EDN@ zf?}5O6^R2;;@^j3{kv-z#tDh*)>5L?o@~GUiO*3OpMBM9vtmoZD`#QAL|WX;#&^e9Hx;~ zBRL$(^Lh#!hS9Yr>Ypfp)&ZD?!kuDyk&j{TceWa9#WRhkM-m%BMKnc#*nzBK@-UY7 zWJJx9Vb&j*(PjmEh`JFxzNI*jB3(8x(-}Bf(2rs04V5E@Y(iH?jwz&N>2toLx&16kuU=PO5dQP^ zDACeolluMsL0;ORrpM=A_?S@dj={&}ko}mBP_CjN^7lXe%r&D7S@<|P%>3K)yO#*} z?p(xw{(L?T5Yt}z-Q0HBze&aK{P}cvI=n=Fip~SB_&*;mAJaCG+xm9iAF1NnuG#y_I1v*Iwjt&N5X-TXEQy-HnQD8d2DWmnr2D zec^_2G>1N6mQHtlYC!sf$;$4m)Y>omzues&4LNI$*?&5{Ts-)n6F;7w6)o9ceSPk| zUI+=jzaBo;>Wtv?3(dLavbbEx6=6tG-9}E9rHS1&<1*&zBV?6jJ@^>oUFBc@0DM?# zXk6w2m#4{g#K)S8Za%3?*6>V8wfPrzZD-pCd|z69VXb~_%kz03jFlW89iCn{E`V;Y zHSc@cPXu12tF?f^>$qvWjZTc7udc4+%#WQab?W?!ls;h{7JpYa+bplES#PDwC&p` zu(ngGebn5diqa>78jX4TM}LjwR;RCXx;G6cqH6TzhjJ!*q~*D0#gomA2Um@q`qi{$ zmB(-m*07_WaHzS&ERxv~d4ibOf;4`mg%fv8n#<}Mvm2%BN`X)Q0^W}{sn5Gv=K9+W zdcT$)fj1pTZnjlS{<~6eWqN8_dPVb0&wlOxV-L9}?ucV3GcGQD(x)#E?*2VnRu~6! zbiPc_(w$$;4AC#n&9Oopq;oe-4GPy39$y9eSa(Mj`2Igc^8r6ivUZ)HJ{1)IV?C_^ zM1;Ot0X++|JyR}ovwg!Zb@$F!O$7IMOpRM~A6r!h&>!z~22Ub=>#7{*Np6cHh9!8d zGF>~A9D;fZ-RsX@?VkHzGv*{ryL=YUuMfp8Vz^Sr8RA-tgq-es;WOU2?{a1``_G=B|kofu{q}LDUekDJS^NI6j--A@$Q@J|Oke zbr%iHNmoATvOs(K)bF|Gk@B(=akyjqw4;)ITID}QV{5HWj(HWhTbH`Ff2DqSxY$~D z4%)`15H=VCtZwLj7$xa&)SiigI+!< zuf2vhRWze^Sb)bZQ|mC%z$zN92(By{Jb5v`1*%o^E=<^;z4*>i{9IAG zY1@7o(p2Kjez8C_9od>`%Xv|{;bILuY;-x@Ea%N;ZOIsyF7EJ3U5zSLN+3Acvk_rA z)<=qLP@54#1*I&RiK9(JMq#BiZ?uBcAgradNO5@}h^Y4~W{I=R*Q0;kBuF(oY2L|bD&_pomEWe> zhp+Ps9q|)m4IXtbK@1j5O3R;9Z7nh;<*UkpJFyQNvXH$8IRSRlP92?i+UT^o(uPV{L#ID2*b-3Nt;z;Bqrp@xmzSfMzxYB$t#-mJfNY@p=D!hYzU zt?22pnU%hiniNKJE8Tf)kH8;8DyHyA2{k}ZizJ|sJTV@n}mE(iZx>Qs}^mC-ZdKplxeP4~P;cJ&;(s8ttPX(E(*rQ%%iESGC zjqA(SpjOxZuXywG6>%StCUb^f&eQ|M$GG?}0t2kCZ!3qTA4qwy1}{9bgV^#LWWB!_ zj(zcbZW@V$nbMmieFjoA{+@+!1XZDF_ejiOz_b6tkz9gV{DezvQW_lFzK!nozYT)yGKYlgU#Bk1xX`XI*hihi2D*X2-27aqXsKyF5UtCG@Gxp#}ccjEB4g-hnL@C8{xLodr%dv@5&qVe7~$I?fEYzgfmzt_B!v6b11LE8EjqdAU6=*HFiq zPIK>R@M?3BVavbA)`mBbQy%v_e&>ZgdhdP$OxBopH)qrolTu(O`UB{opnu}y-l69Wvn7^JurRofB6nxCyxy=6!=+<6*Uyt1SyZd zjYLO*9Bvw5#-8Jg_Ir=&mro|&*bDugcqTKl%)o`VdTd?2(uH&~Me!r8hso0*>o1jl zfhPr^LahD_Bu3iNydjRi&C}cqRKp?P8dyY-MxDNnX8*D9ouWM@iYPGZ@Fq)x?H=G> zh1G8lOa19BHCYfpyK0hG2;RRU4sE$RLsSCALmcu+rVM|40A&P6FV|p)5_Eij0tJGE z35A^L)f?jZNX{`zNQvW@I4bJ}E~2T*^W}osf$~E26dK&$a!GfH@ z>m!x`&lQkFzE&3Th){(Tp==2yaXlEK=F9L8$*_F?PPg~0N{h%%x*@MafIBhMuTZi# z8n{6qSby}nTJuAWvKT7Nzl|c@=@!kIv+886$7kO1Cp0=YT4A{Fj~H@}B%eB#>SAr! z;sOKag=ofM9_*Y}KBvFM5-m!$%SyJTwub9T;gCF%jg&DmDVWv$=PKdoaZMEQQhI-* zWkIPJ-~xEOizR%$d32hwRFUXpS)Xmc_*X+*2Y zQ@w~*vT!FTQ$ies?^PDSt|2&amC?j0(y^o|{8am?s7n#0WS@(3?hu#;AQdb9WH_N! zCgffAEIaZ&G7MPWJRiw)o?|2m-VC&!kD~{HAORO%NfA|&3zrn}4GQYW=0KV>=~7j4 z=2@oYW_wm0bz01F8p#F3hT-$?GXtvBo)ZAv)|bL$zJq8Yw<6Z0B@_ioA#!FZ8pmXm z95@HbluX&TvpSwcL+#nR^bh{GL_}CXxOrIu$lDVdDQQ8G>2Py7ac47iVR(`$T(7Vi zxSYZ`S~VP%_WoGou2^?zmJ2Lq00%YiSNg8L3O8K1R!TS>dBiSoE6=3QB8bi<#-n`@ zg2$s6^m2_adzZE|e4!|Ohhb?P$VKa!@}Bm4ahm9`g~*6Sp4a!URW`g zf|iPB)m^?sCo47(2j$Vp`C92a6^137{#=jQA&)9h;B|n2 zoPLj5pKT&uH@5f#TQ#hR6R#CfGeE}RpaKLTE#nJ;WAajVSBic|8*Dj_vMu6_Q|=B$ zZXwC#QXw#|h)#-tR(l1A!U{?2rNS4b&}A(Y_7eQYx>y7!VWL7BDBSeAE8uJ()9H#Oq2W7!?8B8zAq!C174P^F60j0c! z7(jKnfhw&3HQG*JqUF?!X~=dQ`Yz#s&2K4iI+6n8@jQ!RQksi_KEb1(9~&6yIopip zU78-bKF-tKA^{lbWl6@!G~?bIKUV|eIiIbERfr6b3C29E*`;m6L`bU0P`oAw|LqCg zj>gvy8!e|NCc@q^K*<0%x+K7{&hb~GgaIki$*M9k-HJ^i9U2gzPtt z-Fiqf!4i&S?e# z%f?OxK9eh6dOti{0X(^D6jpp!lU0xEvQ+V)UHpzNo^01HoD$93UC!J_4z5d^nE)o} z?38kirYkQ$#Y1c4n~sgyX$LD)P#13^=%iXwuC75&k(@kGZ0f{LNs(;#D@C1^yhi3O zDQ6c>My5oAw?(74J&-mcqqx3X>=)WeXGW6r%CtoL?9ass;CXVzA0C^0Gr{L|0F+?2ENh3AXhYXWpEjTMG$$6Xm~MGz;%heM8mao|VNk#wd6R1}`H=#V51N2CMwrO_UsVBB7`8T~OCI8A4y zG0@uj%gEC1owoJCC}j2HBjkn*W}r-~<}StN4!4VmF3Tj5(gav5yY`;yMpw<8T<0&T zA7emTAZE^J)mnQVWOAMU?bUUWR^L&3Q<7Lvoz-HRxHLPGYiwyJp9Elf#ruDe)T_GxehMEX67^$nol1LO-S8Ac({ZY1*3a3#2Qzk|F;`kQ6z z;Rzty&8grZND?l0l+h+W6->=*vf49lrzG&UPf=3@W4!YnnN5Pfb0O8^-e+RZ#%lAc zF(+SK@2Wth-`%#LPHG8O!nl6FmImMQj9rT0P(`z=1VJRS42U)%$)+uj4wSL)N269L z?ov9EMjOB~cnersH5*mY9w3EXE{iR)0Om zT*5_Dgt^4C_vhf(W2PZ_w%b8~>6;Tk&Mxh{iui}MHO*(isSS{|IJA*&)qvI(aV;~# z=2ndGg79l8iHH-n zE|Yli7_)r_Sb6I1Z@CAib5ss!QD4peQ>$Ek{QhZ0YKk|BSPHSHDdp-u#S*C_;rEB) zvM#4)PBIDDsZlEWPMHJ_`eUmU#shIqkGkr#rs#zW2xO$Z-!*_1JQF8Hb1Zyc2pmRZ zqLF7o0{8kXYs&j61eJ(v;+%N(+~vELOhzokfYv;sbz0zkCALO~?=ewSBxid~2j@pI zpaj9+eq{WdirY(9^zB)dB^A%C1I~0+{TNB-H<-=@m?g9<ZLozEbc6Hk^j`8wPh zLqKmjZzYL(dl33TMS{-B%#}kq;SsGme4(;2&X7PF4M^I??i&a1xwJQ?*Ryouv3%r) zak6Ge-CK@nrJ<>MJ?b9UIjZ<`U1OB^H6zXWfP$RpbWM_csto_rV5w9XJNU!Hu%fh& zz?VyFl$+(Ha8NzXek>-9(>s)#XyULiTB$yHgc@}a^pFVVkfAb-iBo-8)ckZ1PR@9; zXpCYc7=b=}=TapP=Qj&RJm$naC(L@hx{{bx*-<&Vgs95-63yoj$iG-FRxk&&hHU}( zbt!0V8GK0QTv(3>$tVa`!jqtUs$C>-e-(gKrO1q;aIXfRyD)%Ij;ex^6fk=^%L~ z*v$(Fy4kG6K$Pok%*+?9w+M;?Zxv=$6R{uzsI06PRxM>JKDR~V!p?S|R5CLxB`f#H$J;Pi~&dJKDcXjI)ZDEP5>!BnwYJ-2S6*C4XXE z%OPKKmLxV8Qqx!+OxU=x%lXOpm4K;A~sDWH~TplRhxvuQ8};dLW3 z+Xhl7?q>64$a~Jq;AeCP|DimM*juD05cCnvxtydYlTeooZ)WZiR@-)iuAuu5G*vH14dM`KeYoEM_BkW{--$ z!$!gjLVO2_1Z)9IAC-xpecX$0=Zgd>cOY7Wz?4A9$sea}elbTl4V>r*PMJ>4R$9&~ z@vLueklx>3cDZ?$3sHWqqn;3B@GJ&>B=~4#!u~lH|5Pc4JG=pT(*G-t9;H@}rWh4p zd3-dCr-8t>KLjX*y(x~d6{P3J5n3S+`&XhWY%geZ@nM43_oWj+D%L$Q-vQ+)GX3`V1=mb$2&(92XhDo#>A&-Sv7WdjG@)8Qek-r5DZ&QFjSrzX6+$k3 zdhb!Ugv{mcZCJ1%=Mw03lc7zjTK---23bA`|0|!DD59}$k6=5t$eTCB9NkaL6!dA} zqnk7+D^gR&bL;S05Pkw2D^@8|1)g8{8xe)u6Cou z;BSdR#JG^ArCeOtDsD7QWAbhf#rnM~X|(}3^$sxCTH$26xkEUY4lv)^eoiezLx^vS z___@x{EjQ8)6T=3w?mpPV!b!Cu6EbSE$qP5)AeNqxr=iUO`!;QTic>|TQ&6THVf?H zsBri5RD8-L)TqLs6{6B~37PbZaL0Ej)F|ZE%EG^wEg@B{p_{>8G3MKg@NU{|g23_b z2pZ!E8acU(cjKQ2ps-#oM&}^%q9;rW;upiT7&0CzH7vm$ksO@g3in%>* z7j=_%#fF`05;ZPO3F9?NQ@W+ckao2ouG_Mkw%sNi@Hu4uA0#G2|1K4kYt;Y2Dk+RL z9=Ed+;;^WPi@N9AHQZwN%_RZ+!Dg+F>Nu#+?Wy8jlPO4#>1{M%@Mx4w>gY=>O_kD z$|Gv8VXb%(5r;l5BKFT0X8sfgwR|tZlxBFGhdT}@rba;!QWnPZ1NJAMv<2k72`mQ8 zC>k|MY)La1z7QTIgMPC zQiN2!lRH4ZoXSqAXyf;jPpcc!Ye@q2B4wh3$tAw3-Xr`WCr)JLr3uJs z8VwGl?&zfXwTv70NMuyrXoXb$@4S9Yy&bwLR(~FHK%Cj@N6)_kQHflxyR}E6>_B|Q z0uW!ZHlR0)XamF(Ede1$VnJQGcUu21Dsi^|A|=q5Y~0pJ;FBd=?`#%i)*6;Y353ej zj>*g1IBtjD8l9#5mJHmPjGC1Dp?L6HjlR`eW3j$liU`*&X4DgMTLYve%+P5AV24iKuDV~`9<}N|J=-Se72jaM#hqz40tN2*`x2V zZ)efp<2CNwGuxYw6WEk1r8chTUhj}^oJ9Anons;bgD=RZCpll&FeP4;U);^VK1zko ziO0adHMM@RC`G)RxOvYDUEeZ$LCpesw+S11M5brg#G5wHeX|)i&t3tyX;v}aIaO&7OOa#rAjc|c zl1f9T@g+UMp>jh1rp!M}ufFeU`^~`qQty61y%J_{#(Kiqoh4WsJyB4bioY&t-pC=(=u9=ufxr zn?>i9E5QF|FCLge#P{KS`EHeoQ8IC?Z|BcDUHjYz7^Ba_`(_$b@5>_yY_8YS6PM5* ze%^eD-$Vj_&hAf#@xc^%0bRcYyHCrz@h5gD(58yDk9X2O%ZJ z*H35DzXn2m{@!;(LjG@8n|YsH>YrcP(>q^h+xl-zTY2@_A4rHB2~?W5&*&BNsn-4- zsplUiw3^{CYb>aGprcj_3Lknjng{5j^V$lF!rJ9N zUaW2jFg!nBP6xX5@jLb9j>2ap=A+a6_2KwJu!WL6#{aM9!^Bq231&Ox{Nz;b-x9z4 zrNXOJbPHPRh<7gGu?@D{4Z7biH$UeA|38pKz~z6C#Mt>ZgU|PSr*@wWgz3fTz5NsO zwfbgfm*y-z;wfHpAy(eE#{*I&1p|`D#eMI}CVML)S0bh2u2tS48}HIP50~4X70+xn zVizK+C%V)3`+du%)kmjxoJ?1j$BR}Igka9xx36Uv{hb*`J}7)w-_xHhJwA`G9eSIm zlf<_z|I%l$ze4hP`C{+?!$5vKOt*QL5PL}-`~gywkDE1@seS{sCVlQ_|B96!@dy4f z1kL-Z&h<~iIr;rdUpo%@<>6nD-bNB3pZcJ|+7+CD5JE~G;E+!y073|5;(!oBav+4z zHVKHk;+z0Z16hB86N7@2xATmD9`pM^+4e@Nb!ClPF2HDCsp{H8H_P)^AJv8vkhWqh z`27d#V=7H&{~J~4DYNG<<Y}MV zPA^l~uBZ7xb*$RJc40$ypMq7S5zRMR2{`0@6QP+M$d=(gi{lqh!GO4(+L@id z&BN1{$1fwoAcTEJdXW-fuzEPQ?Dy|cq`>mV+F{us{%mm=A9feDsoKHZ@SScFkuq(q zVY(5{_6}}>t_VQt<(hLJk#^$~T;YihwIISg6)&G;kIQx&n%>EG!SgtHvNdT&)yDK2) z)}NqSO#tfo?ZXTkr*(V6LGB{a%}T5o1W5G>LWI>rt($^Q+4Z2vGZw?5iSjTLEQT1P zdm+b9a+T;-B~WL@4hJ0G(CBmm4!5@pJt&B|W^+~sYrvGrn_JadH>fpRjH~wzoug5K z*g|kk(KT+x7UOIn!}=SL;j98=NVXWq02y*@>^5qm)K=t~B1xJ6$my)-q}zMz0ynkf!Uhq*6xB zg>#-u(YP)&W?d5tO@(Kqd0+#k)UjvfeJwIR?;iamXGu+c9p0v|DstyR)t*#lM|}y| zt~W1ymz@!Il5>ZE-`J-eZ@o`mc2^m>n3?J7Pi$yB3OB_^Z1ED>600@2mfEp({q*Ye z>L5kG160D9PeqxxWT&b-Z{=96gB{MO*--pM%xqD_e4dS9aqL*kM?(!#zvGAKm}duG zpT$}S13KmFF+rH% z53c~ z6H?^cv|usDTsikrb;D8CV&p=KuSd#xS}$7;uK}yUZCE7=-ijQ%fX(`wll^yVg375w z@lvRyEa9u9-VE2Fz#%_y~`(?Me>yP z(PQzWcE)V}rPDFwP+3Vp^jrHftE%K$Ik<qRt(Bx*x25J@vEM*D{W7Xi1QyHqcI=9sD%)lbtB=h6;p>n1gFTtuFlJpHoaYH9Ai!$4JAP+tVVs>{)4%2Y&j_O`NqSc z4fZ<4*a9%)Jc4l1MC}*+lY*ZRw2UqqDg%BFqft|?ei6$g+$jeboH?tF*8C z+u@2(a>D`^F~k7-h7e;T1BD(D?YcI__OL;%2hRmy@n=RDgH2?+=f4Xhx!^GF2wCqj zOvKI^b^q548(8*Dpt*lC2LX74ORmoe*NNZQ z$C{o@eV!L9Jsf61t}&v5W{g?HvWyWfV7R-CEm|Qm|5=z4SQ%TSs)rSvk{*(h+@2*o zV4#Tb8Uybl1w8eRSQ!242jeJG27Oe67-FrHNi^P%14&J({FA5xM=BdbcCqj5H(p*H zDlP7W{#$>2v@d+2iFrF{iN4W}{XDA)DJO-oin;-}*e}zHByUR+dy)d}j*pLt8$(5;1;>;CSo zC`1JAAW9YOYHA3}BRCtV4sK@i!`8MV)h!fj>sThxbHf^vEN)&wIlVZ1OZ~K5wYnqd zKm#bL@N=Vq<%<4UM1kM{I>B!Hivj6>z7#h4!1|55HcbT%8L|uqukx5i>#n^io*C~c zXOY!H7xJ*rLx5{Jm(Yj)64>wQ>!7wR`mk4ztV<`^`u4au>)O<#}Q&|I#O=W@lAk?Uyp~sA9?0` zzfwVYHyO+ZCIEN=dcnr$?VecXKdDFKsJ#Jk>)-Goly8zX*PTzhLv+FSVJ(s!9(aW$Z z3PWeYXA<}Jpk)yY_B4jQsFlO?^4E&`Jk&!)pPU+@x)HVx4v30~WfS)6+4t6c)LUT< zy%&cstC)-uRN;gSOT<+49w+b`M)efk2UJSe?!wEAwBM#1*Ya12>b@kCk~O}sCycD| z77h-)22`OKT(>%lV|QQa#?*jQim!lbkk-CWfT4c>vNyX^r#_gdXT$Q=J2#j>a}nC(+L5klnoQa5{7 z8OQjg6fP{=#ko-1Bemh0=(c@Sy8S=*N721+*PAzg-m*R(_olmu?TNN8uHN4+ru#1K zK0ht$PA=?;AGv(r0n?jpd-gym?f*b$C;fZ+-tvSTr8_{`)w?<~;ivtw3o4A+-)u1V z9Q&HSRaPprS({38fL2hFEK>z6ouBq5lX5^5hXpKUgQ)gxbLb!~bY-!0e)c`JUD^3% zZsUdh@}_;ifgqf-1w)902ltoJ(`M>nW_EYjdw+s83)Tj0QGa*U&5iC@`i5u@W)%Q{ zZs6}XqUqnm6IDbS76EU_6$`OHVGQJ!Wq>}0WCtyiEc<_hK)F{ED63+rqy=N`f-)637K#5W0V_L@~3mI7w5b|ZIOSr{=fu| z+D-j1R!kJDd^E+Dx&snMX~1NF=8G^L_#7_;oPn5?E=5?kIp><8%r%4nv z;m1!5Y>VU8bW>|?d+fss?TT3Nsk|3xBT`zQc>q_i%fy(-qhi zG4CM&hVK^`c1nF3b*Vg5qSEY^b7X@^r%kPCQI1q#7%4ndLfX9YH*!6$%!UvV)rEKo zjIir~mv&+JupW|BXKW_F2!1>8S6lQ0L9{#pKaR${SW%LFU~ZeHg5zO;!GUG85z~^U zkp@WF^6JG^_6e`Ak40dvf**At){wCj%qF^BJqZsD4c|6GxBA^~H&y8myqp~RTm`nJ z5a;QO2Uc^@6e!FivCi*A?U~%%Y_CR(Cg_aH$^t1LtMEv#FIQMF8zLXuKZjiELe0UN+aw^SC1t8J5Y&Xi-V+C)^FQl%A({91ZzPyiE~y|WCS9%A`iJ7o(~odEcP6?AskK_;FZQC5tM52A@uWa z81Z&EW(46-78zbMgU@vg-A@vhy0c~4UJ(Lna#!MfK#Ik{orT}rH&1)@uGiToG}lfCxR!*n2z+K2gw7SD=`VI9|pFep&oH; z(CtMVWWpvttO3PEpmnl~h$I71U5^-$j+r#E2i_8;GaOev`{`%c!3S=F zZm}_mF$iy76~g2ZElCHA1^3RIBq~3z5r#uT&q`1QoC&j z$nnAjkq{7{cX*a%klQC`l%dxQ0qxF{tkyA!+sC8Zt2{J;VZ_DkCG>QC%c>;i5-#D< zkOJFkFpg{_SM@Sah=q$Pmtpt@*#z8owZhsK0p;^1rN@XD^zF^)_36cMD+=0PGn6vO z=l4Zdu*|Dm9N@p~ZpIaWrHt9^IhQ8**(_8?T*>cqH|0RU~Z{lDn>UTvr(;Y)t z?L-BMd8i_Srl(3gP(`n6{iwvi9z0X@o|MD@>vd@%$Y0pY1Bv2i#wb}QpKgV~_DY`Y z*&dwFd{;tiJ#ggFb0z45qyE)~D#!BLKaS4$1-GDt7^U~Pf6-s3{x&7CfJC;IN&f&?vhvW<>!*H`u#FmSam~@vdGofg zF|4eyu4J#dlU4Hv+Ca_nhgt~@L1GG|?+en1^c-C7{Rdz|jfd94cPBbm6!lxg406?P zb;?GWINp^6JSF_oZp+}l@X-qS#jwmw&Lz)9sDEk}n+r-SFL~=uAD`xgxiq#pG@4gD zC93+up*kx}U9Uia`?o)}nSzm?<`A?{ngipl^C;^cZ=S{v35Toa9Qz@Tc?O(1Um&eeOlzt#+U(LLhCIw^sX>M-)@!r2jVMTn26*TjNOR z^o+7+eBF773xn6+^<5j{^O~c{Z1+Q;@dFXqu7=GiLEm4Xg2sL{KFNUgv>ob8XE+-F zc$+p*7CM)pw{B6Zf7VTXYbUX~gZl>T2M;xoB~?gN0{>h{JvEfTbWE=;U)Y(HS>*s5 zZF-HNHUA9o{L~2oSirUeAB_~@SwuwiGH0DO(6OiuX*G2!wQcXBH2yP7!-ndJRhCxu ztG5MOdWANm=iu9&W_DTE@ve@5U~TJWx7Fs37=W`h2^Z)}cd~~kz?{vRmw>{KX}Zik zBE08MWg`0asiW#;w{CSrV18$XHgy5PKluR$43g%2J_ruDKJDRQzW+>dcEMQp=kaOW zoM_l)4&c->NvHK8z&@vNU?r7WrKjHk_Y|RPj_aW|z$?IwQx78ev;Vb=8tVMnBMZz? zWA#A86=;d;(n_R%E)6g%|JTBf_{jyvI(pz`g~+OX-w<)cR9FgP;$YP5-=S$7VCCq( zrco!=wfyAh)OEdfm{A!!)pT6nI7qGeB+6tlqSfJ}7N&0F_4{&d0Q*#wZMz*TQx0{g zdePE!ULF`@|66Y2L|553Nq4@Bv@U2ye_Ec&SXaEL;W8Q&S9x^%n@5l1C;egh55~Hb zMGm4^GXf2WgJt1Pv~g`vl?O5X7h!eeXv3^1=*p7R)%kCDKY+yRcl96Bla-ytR#O$~ zWE6GrVD8HOt<9oV-?snSdOo?2ha##{uBmiRY(8FGb&ye*CB3 zR1PIxPeQOewH+unuTiL;*Y^Lg_Lf0)bj{ju@IY_~F2P-cO9<}n?(XgqAh^4`JHa8i zyE}y7?hXOof!vRrQ|HfD^;ONz%+;&AS9h;oGrPjg?c;Pk0E!Wyb{5+zJ!nV4o?GE?D#)Imvz0#lR6G*~gdA7+3irRtH=a*0zpw0h`}7 zD6m?^BX*B1^B$i@eZbBOtBNk&I?2d5iT)IL0=}{spVGuK;Q8&Bng~`o96lzrnYt2IrYKwokPJ5u?ja`)}4)S4e)> z-L>Sr`ma^A+55YSxWFp1U_Wq@>!?pj3g@N%3=epQ2YQ@4Oe5`+S_fvd`+?SztopE( z;M+UzO5V!wHq3m(ji$PE+PQ!A-_B5YOKhPp0Zcub>UA-O;$5-l{=64%&s&xS_met`RLqxlX*@G{dl9k)90_ioQCH8Nej_^UkvUonmK*w%R2ii?T=`5k6>&1R= zoYU{0tph0gkPv4xmdlnwTz8&=XgPJJCIV7Xc0 zM~dVye^7n|q-?~2qDPKHQHq6tAz|v2A74~7%E7M6%${5_Wk8z3ZKJq=cWUO{nJ>6r zZ0PFV9E{*sItEhBhFJXg{RRWjnBdzJ2o~1T`Xps|ctOa)HbJS@-~BQSCTjz)3Q_o) zaQVMikeHWgOO5Q@20O zn3?*Cn|}90Ha2_Y!M3JSE3j{E(8ODR68#JLSQaNb3k)_5QvzbBR(cfdyj(YDWlySa zdm0aKcDSX?4f7<+&#E}Fu%B?QMr-%xR;Ksktp&<-7sa% zZ}{jMYEUbRS|@>Q0N1{*c=-3ZuXip=#@4`H??Dg0eOaTurK02u#KGdDx0lW2fzzoU z2Eyl^uRYm|q3e$KG7w1Wn!yVzes24`sLIcl-mWE-ek(MfP2MW8a8svp^q@x^NS~-< z>IVCJuwjpCE- zwM7Y8unl77trG~3E|6G0n9*Ub|2W&gf?Ry>ITfeo#wg%=6k$u4DbRj90BhT_Fy@j( z?Yoc(sy8)Z-)={~3U;}81r?5EJ4cabA6Qm(NC(DtLR0Nyk&4=v`OCxQ@_LkRe62}% zhu>isp9Q{!m@BJlj<`k0ZVk0+2$Pjv0`FAyZhdErXFPIfH1}D0kZh`pXklG8JRkET z(SB#VJZ^&3)Rb~$y$T^0vhWreyAV-SmYyFzMUl(3Ne6QBr$jiJ9HZEAs0)<3xK2Tb zV>yRLjfBZ?Z7GRmZQcwC!j5jBTr5yJ7xm;j=WWoKYDs9|}6 z5JbUT5V3}^#X6{MKmJ4bj49Vi?qW!RAsPxJgzO4KTF95@OXH=J;2T|Aq(dBC_&cgx zCypqQ^8Fwc45kD%Hzfu)opk3`SacsoxEqqbLJf7lN~mH7U5y90Fm($btympwU-DT5 z`R7Dq;*O>=#G=g+vfR?NI3z8`U!YtC#r=(Eyt$NC57cxMAZme?cG;^<=i9|AfKVP@UbxJ0J1{UU_&{RXa`dl>P7z7Ybv$-#`6 zxBE#baC!tgh#w6}2acMq>#{E)Pmm`mo5g21hi7DIZ~MU0DpuhM+x=%vYI(@$UJBIv z>|GjmM4{NTmQ6~~*X$cQ)h(7CJVm>fqaJ-2K}atTaDGR@O*pP@QYhfH z(I(R&VwaRp1S%3OALXj=1X*pQdcZ?h6FMUsFeW?nE>7z#(%zGc4Yem48Odg7M^K-{ zjAtW8;O|ILkamfW3GSTbkbJ?!FWX!CO@lpxElK)KN1v}@p_WZ=;P*-b?BShCV`fL4 z^O>K4Pusd-cVZc3?$0O>G>V-R9C0^0!iio8ze99VJb@gC^wC;7!ZyR`rRpTO@ooJY zB2p-rjV|ZfAGQy0v`NnrX@fc#0q_j&vUbb1=u%6tgd+ylBte`>2+6|pAG?1Ij0E#h zlp+jAdmVJsZasY!ATor4R(ES3^YGZ7|7o?HG;581GHrWKAqfsKQO8N5jSeydG9&G@ zDMEue57(O~MObgxIUQc$aj{YgVssH>Xr;62P%H*wk(Fub&~D&@@U8$1AEJtrx7#x{ z>=4Rl16~Ryb6iD*7G>>+&#%6Km~+d~b%*ah=`K&u z4C77|4GTiW>*pzwwi!0*Pt>OBd?@*tnZ~h_tKp&~$YXDX9kcFwL$ACNGA0G%hF4rS z^HYJ9`oj#iBHae+H#ZfuBF&Le$h})D>~oqAGh-829s!zYqjg5&bah&Nclvs*)EZKV zvZP>yevE4E$g)Dn$>g!R(Y%;6weQJy;cLPZ+c7gm%MuL_l3>ew+*EJORDlggLy1xD zZb+F#eT+#YG(N(ZQ>B9^iehe=%t1Ph0LO^cNjPAV>4rC8pkjQ42nW>(-;)r}6*agZ z6&|qXDlXJ5+iAiU^miv8xt(b91(3{~=QyI?n#H zk?i6ROWp_qVV;fi-mq`VL^s*%w>^)O-L>=g4lR^@m4r zNUo&=3YiE)>9Q9RT=83XK_(+1SwY5>914VOH!u9Y?dx*{uqXe*2iFdP94 z_p}(Z(cW~pvssQzC4%Kd(CKqglIe4yC}uvKQ))f9qI))x_ECNLtD{Lyt8}aPv64>D z=_gJiJ%Q@Mn69+iiYJhvT{vE4-~19bvS#fjgm7wAaV+#;lA?Vw&9bs`$@gke9grY2 z(I6ZpI(_$R-AfXDJ*_h0Gkx4L@;}c6e$Pt22=_oeW~%p2F8?NhZN17TiCUaQfFzjI zs&r4|mrN+{$U%`qAqQ89bF{w8if$B{F*E}0rBvtYL#H51VJe8Nce7WlmG;|wNT~af z_pyJMmHL&Z58BJ=`u*AW6iSkE6Uz}iZpBEHKSzYfzdz(Fim6S4Cv&?|5|Z7Cn~Tyy z3MvWU^G6(g{vZt5P%CnZf@m65Y`#B*suG*#N&Ez{#Ku)7HHid8O_!*B zWzSSCCZ+DcLzRq~94N^Mz#b=>mKP7On<}wczOqYg{H&oSu_(6Z-x*s}T}&QC!2%wOU3E z{;@9DLUxdT?s z8=Zd=iPLE(ouTNW@Y?2-I|kR+ZIiJg)l(poEU6`$sH=O~q38k*|9=`Ue8FiWDc zEHIa(wRuz`UQsVv#!%OnteB``iK3#f7G6_ATf9VC*%yaptf0wPC#ey>&ooxhY+#mJ zizRQGCYne#B?B$at0R$Vdox2Ky=*X>#G#ANDV5&rd30hWdk}Y9EiYDAP_~I&`8|f* zk)>qDG&QBU$6OAya7;)d9mhIUD8?5LoyGgZ#WK3v`?S1h@ny-vK5l*DGpLV;qM zCDRFdJ`Y?fIh@jPX=jRdJteM#qDD4fVwr1+L?afN&5)%e&NP+3#s5|5h)_JE<+Nm? z4V+kqxip*MftI_SbEsUwpCy!h1>+RU`uey+4hv3&q)5|FxoeF05kt;=^(>T->4~`^?r%AMcSsm+3W)_p>3dMf{h8|cb7T0{v zmr8Vz6#G51SR!X^ES64tjup38JhNynZ;YQmrWMZtC4X>aSqxMhGp-a%_oHyUsh?RC zF0N6|AM1?ca92M#s>x%8M^Tz;3x;Savr0VNen!@dN zmEt+qzoUhs6>K$y#{h_H-SQP3HMRCF+LsPxoKc1fevp4y5!$ye70W!sdqvMHLifN} z<{9!U0$mk?b|@9gI)i*gkgGzN4y8j`XAdC&f>{-!0LHR`j)wq($1TF)FtOi5+@9b~ zZ)m!BCAU~5r={Df;JzbS!F&^!Erwp-@1;f1 zJKCX=mR?n6`l91l7EbkdYl4Fgh2jPGnFug-^u5PG#liwkO~%m|1^J@y6dI>F2F>be z647W0Hmx<^4>jZXokQ)(r@D|Uoa=2`L*;g*5;-p0t5M9d5$5?lY!12B4gAe+Jv-U4 z{T_ZRy{wvwN3*KHTdh(dgCFzS+LRLUuYJd+hH8MP-Tr=7qtD!-OqSMZXH&{Ki`_X6 zKlM&K-4t@Tv)s>q4e@zuZzSB8oXH>z)Uyj`0;$4pxK#Pw{Tk~$XX0))Empkvc!~*9-rgv^`Z37pJ%3Uql&Eey|ik_?4PT`R24jjm!B*lFN zq-=*?;js)R0HH6`Y`b3JnG8Mv2Z7OM0E$FLX}msiT+7*1;p6CuMMbJfNn~<;* zk6sgPo~I{h`BJOPw*s1pyusVY?lPc-|G+m54X3=X8txK7u82l1(7up=lP}z$@sR0~ z>rwgD1qIwI6oB7Wi>ZWQ46EN|0e6^?hBMw^6P>s1x(6`6PDqFJKOnTak^^JD)D@uZ z)%1q=8fsu~S0&c)7RxszPOs94rH8bpo$#04PmgIw4D)a*&o$0w-jQPVsNH-A`N#%B zw}u!}6qFMl5VA+As*ohaxg-IjV32=~72z5NHaC^)z!e_d_;phQf{)`WLL`NW&moqY zC@JUT(uWU11C;ziL;}^6x zkuM@#p)VpFZy)dJI4kFHY#B~Pu#hC>|2bPGcdGk|kxl9o> z$H|byo-({!U7%My*b_{acJKt5mv^#d_ld@`F3)ZS>ad9Nz5XW5j=pl}t`5%3K)yiH zqSe*t;b3H&Yr1~8wU!@yn=8#yw|0|>id-Tih);8zZeVkqtx+RWY-jR$0Yaz3eARK| zuNt>M)1HD=(qhlj_DKb&2uIVb=rnwdtLI|^NS$XXKg0D{KY8L~h=t{ZoHHvku_8w` z$R1(joq}D9Mb)* zX+9@Na9E7#X@AWK{m9zs_IWIF5UU!1}U~T3vFe%L?7;{aZWI`={&U&7`#Z zjJ99;6CLm~fWy(;%8Yim=ZD^2@{7CM`|t2 zc%B{=uLG_Hw&-PJ1GilW`+qSpz1Kd$cEZ5wnRHS#`8*8)R!7MuvmTXb$t1(sKUR-J?b2QwsZ$59 zqz$k1nUo*A^jq3b%CaUp#caAxbvUZd_Y2>cj}}ZEb#ptr9AHZ+Va@rwmrw&Bk~x7- z%cnB^5NMt9WSuVG4O|K3OfTpA*}BqVe9v!QASm11)QKDDgpYZl;fEYyjAz?Z-$RNi z3b{ZS-HxE6#+5I?ebY$|pmsc|I+K*Sj#bVbbX%t*uB93X%fL9TU^uB1o<R)f_^wo-E1TB3COE*WX6 z*e7P7=cW2WP{gU6`vfAJuYyQ-mYAsYE?{{=cVl@LW0cu$>8flFP(hl*CSuHC(1vzm z+2aH-?=I-&YP|;ro>Bd@y@6`#H#DX=qm;#ub=IG0+Ym{K;76N;!`o0(dO!H{nSS|JDT{+9g zALp&;-XCKq>a5~BQsTk|{-bDP}QUdr4iqAug z4+p4>GO~>LJ2P=mv-e*|n|*eU!)Yz7%N8>~xSqR1@o6dj`icm8VV*~j6^|~)|FumK z9aODJn-z>nVr}>Rr%v|9lZNlS1O@O_N`~gYqEqO!Eao(Se!7P)jUwVPH+p2}x!eww ztlkbK;$iL{PYFo9{A|r{J!i>3epeinQ%0#8hYQ!&lP#B_fYht1_=C;9DdU|&1)IG& z6?m3i@8V>lT3_NEj^kw~58V{YA)2Y}A*t4w&)jHpG4oY!kwU3hf*CvUFbrFTVMtl=xBLM< zW}q^f$cQ)x0q>YeKWQ-=-p#~=qxKT4=~IIjEy;W{k!M4O0~h9Jh=9~ffLu$W z=$-hw0Nb`Gk0`^$>1X}vBRJ~l*q@)dI9Vo6klKfo@5w1A17b*0urC20F5y{spua-w z; zM74a5KAE?H=@vYv+BKoLpdke!ZHn>{*(O38yHDHi1V1CMq0&ITEhm@*j`cuIhF7Fp6PQ-tz=*-kr9)JIIn6g&GXmCSIS_(rNy zX?$W8IPAS&7-~g7P=w^d>Q}~`GYIjWov50-qB-zH9htlPBF0cJq>@yQq{L9)ml#5? zz9}vUY%g71fC`+~V7o2Ctpmyj(O?RrswQE9Uw*l?9Q>ivDa~2L(mqv>rGY`ac@#2tPGJ(>M43zKEs!QGb}zqi60e$MT;E=29%8K|s-20uigtY(M+$gw7zwS4z)= zqb4Cz$`|c|q3~&{m8IN%wC|BWFJbz!s>@ZGHG%5Ab6_I)d+)%U?*j%lLPkdhGagrU zfw63r%?OrmIc5#0%H}>nQu+B+6tKCg=+%kJfU|IiPJJJdPDnL#R~JAHsfHlY8JTm5 z7*DbI&hV=|a1%q@s%2~eHaKGF@;J&dawxUa4gze)wB>;|r+b;s3+i(HI5D1;Zd`YU zAdGp{1z!I-$Ds8jG{zp|@)qgPaZNa_JEjusER>O9#@aTen;e<{DdrYOC>mqQpP`llo!>b- zv@0;@Lr2lhmjh%A3bORwxB?j|)2_6svN-v!+*WUoN1l1Q3@>MPo>k6gTn|$Z1gi$1 zPor~(GrjHEcVl|fpu;irN|&eFKQC91ZGD%2R{Oms@@~q0-z-mddxfk;MC4q#x#W1h z!#2b25Oe7Zhi+)x-fAFSLDSn0f^qglXcRkFob*sMyuZK>?yt!~qFtazN=IvD`H@8T zlHW*Jw4y{AQURjXP2N9;q%1Ozq>S07@$!X54RD8ctcFZQ}x zeJpuUI1%o4qP*p~)g3N9A@lb?@KSQgRLm@pi$0h(ICw7cs^!2s6fRwxul7=C-BGAK zEj*yvJU#YwzRlgpZovQ8UO48Zz;$(JSgF^Grkw+ug7Y2ERV|*;G2bZtJ}@q zTQ`N~mix!tzg1Q1$qxHd8?0C9eoOzmrs@mNi-Y^q;p#D61HP4a`~9)BcgiOBugi8? zTI5@Qp3yckUA6{97u+O^O}Byw`I~jROieCno^+NDZSAA*`i7Ou$_$M^-t#Z_554ok zk8K`(OMhDd(3Q3Q(e2j4a_gI1k*ry^+#Rh-HmCdD&u%jk{b-p|c-nT%%=I1S_BfHp zIdQdM@dfUs)q=JL+Jv>GP3b^e@L4wfiRgFW1wuO%>*(~;StQ3rB7s%3ey#y)ZtMhpiqB>ccW)W_K8<1VdZW21%e9-5%3R^KJyDXCeP9U&r*#y_#c^P zkVdFMDIpNN4=_P(RxnQ@q3OA*P0nw*O2CKLbToiGJ^5oz8(otXNNTdEQzU zu2U#976Z)&wC#>Gb61V1tSY54pq4G)d6wZ{$*J$BW2RlUBfXqs^n=nWg~4Qu@J z{w{li^vZTVJashIqSw-kH~hm|d&1T8QU7=K7$G>>1`N-WAi@Ab^mFR+eIobM#sv+F z-|dg>H5Bys!29SN$K#r^J&5~6hc|+u5AV&w07Cjd1ff?#1VC8*hamh)hyn<>a(_ic zUI{U;I{qPuz7pbIb^JqseI;PMa`68ZfqNz3ymI_Qm>9Yb;(SK9fA0ZDSANfbfuuuz zgY+`m5x7Cr7zl|^E@A%M;o*Of4I+-vCv1aI&T%_I7$Ac0O3qzNd6KQTi>LOg7$HAU zsh7L!&Pb*9=nOy~9+FZgq|6!V1Gqn%&t7uI@;X;Yc5!#oZep-ZPLKg~ z-dgtj7rfhdk2_h6X$F~c_X0w6*cdj4jT{XTL;(XGXEJL2jw>7$${*$cux`SG4T zy^$0d2J$)=NdM3Yri7KOaT729|Ho|KFE6K3cQ-@~fKYIl17&5COn z2BGlWk1_pHU6|q(pjvY8Hj3A7<&iu$)v&A)R&a+37xFg-TjF1#D*oc@d;_sd% zup~GqK!WpCVj#M%Oxc~z1Kb&}#eNq^#W11?R}HF&MueJXgjy{PhxA9XRM6bWBSlM7 zNVVW^DBGF!%N9%AV2dfKcJEJ`oOUzJdk`^s6OSXgM`dNC@4JA%k2{r7_a~Z?%hDVK zSJe56F8ceaH;xY)p7aP(XD0QRCEw=gm+*!9k(LXN&?ZfLU>XLjqS(%9hHWGNs z146g3jeI*N>os5>A9~7zUbed!{x?b8U&=oy=pP0g9e(Wge7%q5?(M13bT#sy|JrHsl92NY{MTCZv`Zf+0^#i5L$0E*3(AV716|dUzN;f))ecwVuHGH1$T;$Tb z_fyCJK3M-`YC8u7BCS|=e>olvey#9JegRZ)RI(f)UeNMt3;z_9cBfW($xCv#Y3$zw_qg+>>`g#90I%+}> zz0dxmKYKhY%_9B#660*G?(&0GPsU@_E8E!mIDEC>#^CVK&i}2-LgwhoPYo((r#B<9*i~#O+|ADCE65-=W$FFAv6J_c9=M5$vk+J`V5@ANdVtiEK zbx{vlP(qo(ngM}yKyT&%k56tOux@`LkV1GOpQ}Stf>)c8gMdJ8eq%yu`OjbiGYYU0 z+XvIM2a%5d@#rw;S;hH3aea#;=fC5)kmud_%(PEe!IN%OJ$CU_bKGo@ZKUUHMXKjT zZtQE6vnO$@OaCuQk3+rgTAT%60Dc7rZ-+~XiGZddN`iWI)cFb zS?wlzF7iYotq(2mYkB?iiW!iOi^j7`>P-iZ*mXJPaIP0H))n z*}s|T=??7ogAB{@)z5#ebFU9?HeR;Bg}t;7PxSppbi2$XO?DZXa}MS>UcY;Sxf2)y z`0yXD*L%up&3_F8S@px(8k@PNemCGP$rRVj)8Tn8GbfIeERv)igsu(*Lm$!ux=N(APo%^x%9v5W3!mit`ievE>-tzt*X4O<{WA`1)P{YvDIS?= zM>bYXhS%Hck^>E}4Iuh~lZ1%`*yF6KO?)xKSyY=lV-B}jj(fK47NaGimPo6V?5v%EsMXy%j ziQqe;U&}i0exdxk>xEYZJ%J}$WXRj5x|01b(Z+GlnU+*m9&Y!`bvXLpOGTI3Tz9mT zdd8=aiak+}hAH{6*Y8rq8-yE%m6x|ryhM7`Dwzp^u3gI-EUVkk?TLxOtEc$lj{#F! zM~`VDj}_)vx8smwSYk(*4=PIyaW{ImyC^QRx=*@OvI@cdJ!wO-EM8zQwb|Z|$L?Z} z&mbnJN{OJO_zxS%dVwOTRkgKBOJxn$bFK7!B{Y38ZN`S|LlVydc`J_Sn=quf6L^Ih z6JF(UoTl8>Rn0eS+rBrM{6pm`i;;pKrJ9Dv%DzPB#z}Gr3_%>@^9?zExcRn&;Ms~- z&7Y^CQm09^{RKAzzAtXLpCE!UmIsN#dG-m83cnfEJW~GT$12>dpnIBK;<4==xSZAK zkQa4{%@9Nh9v`607WgPjG*z&BEb4R*cV;Ud^{jjT zwXuK&0y|I(BNY3t-~!!LGIZ$_Psz~|nz=;o_WOj~ku7NfJk|QA)9m<-uOFp2zRs$N z78<0Y*7l1+)oN4i$7#ev9@|cV94m`+K*-aYL>B61J%vnH=`FR;biIZkkRFI`Qx%U( zUEH#!!|2d-rK!3@*|nJIWtWU@S&Ip{!g>#gLU{t)(rCSD>an1Eb*lvm9jhnxvF*mY z<9RWh-n#x^uv%}7VExLygekAbceT#eQs5~(SLkGjob%@fpjxSjWGLkUBzactBB8;4m2FuXB1Ag>1^4bdP4vT=GzfD1-ASW+j|C_Q%oKD z<-7OI?H~!SXx!(IKf`%uG0@uc>Q|3&hku*5MDc58oBP&m-rF2g<2ivhe#84XYxD?l ziDMFJ{FwE`pLFbZ1D5|}Lsz>7=IwBPF&>Hc@~_`j-k(>Vo_=B5(#B=Cd%>QlX@Z}i zjwwcEtm7+?9jJv#mLiy@GN^}1{uaHM>H)NivzN%-czu-?L-P5xgeS|>>75?yDK}jT z;;$p-dnb;7?|nfeNc$B@df@6e(XD3#5%EVeF@e$~Q!(DrwHBsL$-GwuKYwvO=ot+i zhU<)k%>Fni;7>GZ6g7W%q6zynZb8KrlNi@=5!0$>mzp4P|7|UYcNk)h$%XHu8>obW zL&k(uzt5CUHSnsXnzYE5m_$JRa;?Eo21Qc`DWv;cHn%Qm!4Ko~2yN&=p{O#|!SGLm zWbtBA0!;+)4Plr0BhYrZH0Vh^I%A|vWD=iIc7RT=qSbT+lp+@yGNmNgyfL@_;h&N( z{1y1bTtNp0q22L^uQMhBGTyZ+rIU%setzY=DVvQ6{}h}fierQ6UZ6>SJrGCcv*H`K zip7=vfg8k)fkQe8ULpUSlsIr7MJ3E&Ds9mCVz5)ND$ispOH|ZrN9IFF4hO**#ETdL zP0|Iyi`Y9F^t*3!fh(>=HBD(emc;A4#Y3YF0;W|-=}ioW`&G3blR|V$1%mQQ=QIRr zR()l^NIUb&V#o~t>>c&_Q9Bx)8|&d)Qf%x--NT%mA(tG4fMjOt_6SiNl&AJelhXFvU65qw zmy6o1|A;pz?lfI^2vii5{gc8@gN|rUKje|4Fo=?mS~o;a3SRBMh1zpL((uNBMyp2q zX-+5ZJ}sYdR5foYk`6mxj(IjqK}f@MFLq{&#Jz5dC7flO|5L-K>k?y5MYiQ)>Ka^% zYr;Vl*)gO$3vE%9NdF=x_Dy5X*#2E{ytXP{@sNs+0f?QMW?#-~Qx?&wn`8UzsW3-C&4#IUK94zKFjt@U#^MUmZ$lI>>SX18%W|dB8m@CGS!<9j zfwq%dv6rDSBNQ$Im;SvvM+HazlSQ;jxEJ{kSN1ZLQ01(bU>zaUlOyO~(N zk(eZXDBo!Qjsm*1qsq@&z4N}7%qV9+VXWU{hyH41C@zh1#h6^vJ`D)xT^WpcbP<=| zSd$WH(25qZXI28tx^@`yQwkiLAH*TV{hAvNvb{t!b3K;Af8BlP)^c- zh@9zi+zl9o;qf-ZKw#PD>nQK~F2@~@7ebNj$lb$wol0J1JRzp=@!PGppBNj_VQ^C|Y^^3!vs+8T3p|ET_ESjxvemf!)E{>8XjVhblB)F-r7VV% z1!aqIB&k32iC`y?Ps|(6z9+the<4!>N2_-{#Qv-)R!oQC00=W33z7@Z*{~Vm@P0k$lrw%&-lB=)mf5ET) zk=9{))}_1BXf+Fq+J1SWx`i1NvMeF9>g>rr-0&H_&$%>`wWu6iho#EnzK2FU<6i!j zXfKYo(Z7t&Kc;mGHEZh4a?|v(%czCeXVs$ael?{S`!*$)29}@C1|=qL3m=!DhZ~eA zW?6yQgX$z@Sq_{Mq%6yTQ@xaBDR7#TvMdn^CP_K<1xya!Y57#Z;GA<$8)Q0?ZqoJv z@R2O#l%iVTQWJ4HiF48ey@zQ>ifIi3@BwAinO8#pMBtK}B;ntz|Cg4+9^`+q{$E;d z<5d3lg#SZJ2J*D3y0Jxixnsecs+zG$db*>LlB%k5*8ag~P1l0bF^Asd3YO`3qvjzA z<)r-sMora%(jf=I;R-lPh&>3F?nF$v|M{R4VU%!1M` z2f?HY$mw{UW*7-&pZxmSe2+(Q$9Yre#P(OA zwTK8wJ?q=Gh1Fl>OMews|5d0CmY`N!Nu~`E%TTB~Z1c`L!E@E^D{+qq?`}wPCcfq_Z4D(L ztzwC){$pzd)Q&Tr-~f4LYKHZM&Jc`c5kE5|`1QIeeY;jc4@eiqOh+FZc@FD6yuyK2{osoZexZ!%PKp=rQks?`!S#wVnN3LJQd~>8C;0 z9u$#^Sc54}kJ1WX9gRv- zW*`|o0W_y=ZA>vnW`aa~py{B(m6(LY)ACodNn&BsNriLrpaK|BUMAC&T9YXjfZ&2G z5c`~pHrp(a?;I?4iX8uogtAp!!7Z`qik4t|zP4zNgc5jV6|z}PZXdC33E!+v(!5U8 zyiU-(P7$k4QK!EM=vXtO!&<1sxP7s;S5+_RQOq~DBLjnJZm)}4D6W(fCP%q&3DboS z4Reuy=;ZNE`3Ff+v>l!{glHM508|~Z#rNMN=qf*0)ux=wiKrfw+I4un2+7a_OeYN{ zq(e!GJnT!+;X<1!1)&jD)}!DJ`-f(o0Fc+NqZR%WjDF+M+3vye@i*Vu$y| z^b%r}mXsd@fVK^S`CUBKd_jy#=JB63TT9TzbO}-&0Q`vHHCbz_>DH%0|Lu7fGO*zN63&cV6bSFKa?+yH9$y4@6 znC!f~DQva6&$S0<6D6A2itfJ4jpYdnZhVC|{!+LY9Cc(4X3|Mwobd)fn3LlqT)U2j z^x+uW?QSlQgqtF=OBwFKvJ=+b5ApjIrj zAdQKHa+dMcFp)ZmS7YP=nb~H4g<+t(4=uqgZqAcX~Ci zJ|!6v#w@aK?nWhm5b8Z7GbxpDWuU{&Jbzxuw@zDROPQLZqVNe?aT6k9PRM@IT3)&! zoVv;OPlVuZkb#oeK`^-MrkiM}v4#0D2!5NyOFbDZX8ISk9+qJlEWb!@YGtuo3nf{{ zr*@b!0)7c{nB{t-i;~-8;E+v{E-gFE2>)8{Ih9$0*-w#fo~jZLhWV0#{81tb`J)Ge z?yVmt=%9!C!vpD$T<>+|DuT5kc8gk_1V{+k3F?)GI+3d=Oobfq%rbJ0ul|<32^@x)L^~1t{9QGvbO-?EN$J`Z(Ag@=r<+4~%|a^I1c;56O4)E9%xrJhDRM z{MMc*G^wz(*#e2Mv=}|Rn*i@9N^C9}NfHh>Md#~afsk|#1clNRkP0N}i1C#Bzy>TO zm|VD?@%?ZerYU{d1JIErl%{e%?bhUE3HARA{`X}-nt>)2j9tFE7`-uhzb}^E4ALjn zj1XT5*C7S8OLJr3%M9uvio?;cLi!$p=YHQdml13fhEz6`vhlTCdha14^FuUN)Sp-^&!ZR8*Be8#6sQvNw-*G29;{k4SHJLjxDk$^7k){G+^5TjD*B z{B69Jz=z)V)$ckRUPfQQbajZty$kbCWfkZuhbCNy1dEozAV9W~WVDm1_YCq^rx)P( zTuUuYHpL!jbc>U+YH#AS>lCpy$Np$^dnC_d6y`7|{|ILvrRTZ`kD70gg)#Q zF`{hk9OQ5rZOL=z-^g1HvkWm4AS($9k$O52t%n7QuvrrzkEsXw+rKBA#3>m~{z5bU zFt<{-eZH19OxSkgv!d6UxEcHslBAm>FTuz;1;U^xU z5$Iasdp+?r`HHA~402F1lu^PI=u(7?9)le{VP|UDojA(iuW^STJy{uxUg0oaJIe4> zTZWAub2GF2EWlyx3+TzSCpGyCrdTR>N8}q~US^Lnf!8i*#_R2bKDz2@6x+I) zeYqH^V;mm>B3rmDkmk8nY-m`Kh#vXFTPL$e?T+WJJ+Q8B{> zc8(~ft2vEGw(LV#o~IyJ^d8dS!&A9A@riR|y~_Ckv6}#48PW?SW_8<)=v9ybGGQ`k zbQWiTBXYLL)Dsn+;8v4yzBoA@o?hljvF%OtZbrOR{!f>nCkZYeF3RJ=3MQ33*@e)L8=|i5+1#tprq7VO5y4&-C)9zbz5RBH zpT=Gw13|r@Jo>VI`pq+wpheg{6Qh*AYO9el&|F!sm=@bJfj4+*EaGU`lG=r(2b;9F zYHD$dwHJwPF}oc*=e*6k@((=E=6!I=;!I^{+F^Dih^ zSYfD+98KTgI>X>ZkgzX2$2jn~CV$d9Ir6pd7vN!Wc8YJR3H@t0#Tau$wS72~GZq5N z*gyUeAt#<%)lUH`JPl8d^zHk5zOXol$mi=HDNu~2_vzO|HA(xq!qMwgg!lHJ?oHQI_5y3PsaM$RZ6uGtKHP$(ALhMyUoJYY)p(z;lHx|L zlQ)8?dq&2>_k)8$dhGLwhT(Hz#KU8G3>YN6yTC>g8C3t~@ev~r1~wWdi-=SMBq$D_ zS-~pm8%&-&nvefS3^xUxI7)gfsw#LG+-e(x`)xyL@?C}|X@CFmAwuG^j)h!M^y@ax&eE9K)sX+l~OhMEJ2+rh! zau$PfmV?qq4hU{Id?j9ypdPp&+U;ug`?zpj)S*?zBadytAN*g zYY#*UWt|=om2d1C-?pnG)Sw{)$%f^d;*`TaC=fJx;9nGdQvE3QfkFba>Am=~n?knL zu-wP8wlGEAub9#H$?#Mj1G&Beil4GdVQh`-xy(K(68s*m4SeS!G}k1+n%GpIP(Kzw z+}N*XMJV0`+em$ULz|RXgYBviWg9_+J24{*!*_N~45UQ1jM>IuznnXidmV$^E1ASrJ@PqJ zR?$DevSZ1--}XZNN_$&qK)e+Hp8QV|b_E~Q3vIX?FM-}?J^Bw zUt9R(fmraKXf=Uf*fYpu_!d#!cfGY<1SbE;o}4Ckbl z?f*a^_8=~3g;NA`rf;wR=^kaDLH4lgnMcE&yDA*qYi&oC`<6B77FmCbg;4})5M^X9| zhkW{^hI~FXFCVth`t$FQ{bs)AE>k3q&W2VTst2I@Up!>HLA#@EKB!+GzLMgiOhLPo zzbv|9epz_MT*bhBhX-NS2~;}MHyZSj3&VpMU3^LJ#6+YuM{6K`YRlfTfja^3ob~*o zz<;H+I~g{0*tX!!ml8BM98i09Zp_IPv@X`qY<~noadeJuK27&!M7Zwk+_>0Sy5)HE zTMn5b*sq^~;4KkfeF%;2ZGQ0Q^^RM7O5~6QzAF;}uFH9AWkq7FE#eIVC!H;_kgHii zJ{nwnB4*i>Sx3azU_v7jTjg79--BUA1!6>6e8XI{kk@6R2zZ=fuWiG@b-%1Xe*+_B z#Tj-(o%Myk)96YdD^T>(2}KjI#r9gjKXvGs#eY|cB}CH|3B46Z9G6vxi5SK(*47vC zHIC3o-d0%$=h64Cs$fwWQEF%hVhmlKU^Kl*KG|xt`De_Wy=QF92w5pfMx(FwY&)HV ziT{-OBiP123AS&tk;vCl4{fS#7b4*)_hc8YiZC_s$run*#rZZHw_C}xs#bieLnCe^ za>Fk~D@y8c_6>S2#LgTn9rFB(vdEt*Kx)1w&2xO@j+Px7fG*g8C=xe5MspzM`3NrE zg4Ceup;4-wf}o1rxK$C#lPDyqCmxIU-)2V^q>c1taP{OwdTg(jCSv zGFl1)zddP9=Fc%Nq=?Y}A&Li4&(`t?ME2zg>~j7tlxjl;G=y0)QKra$2O`AEt`DCD zya;p=?TT&VAwviS24Elt61$V-4y><>h@2Ko0oAqpDJz2~%j!?WxGhNDGiHR?!ek1# zMS4XY1V8s3A)%k{zyhhq2IT%M{*5G}^Z}xf1929rn6nTE1~7F=sbUCk*trm+Wpl|T z6?b}}u_uL3nV^c2(Si1(`Dry-Qn{d}GmOfXI>L_%ZSv45o*8FWI_5r3^CsV5`->bHNHj#|Bq=2UwLBgQeAfK$WBLgU%gPk zoH=d`Ht}%rR<%m6=`@5YK7WTHPD?dk5NY1;t9dt%Q3M4Yh?gu(!Wp(07ZauwfU~tS zD>g8_GP90U2!0*JSQ@9w!yQEkiDgxGNCIpZQp~3b8dG#WMHr?4hv}r^KBBoke^~jW z;e=SKsjEe?HQ4~{j|zty#v#1^UK(v$G6Q&?-*3k<0vEoFrIlJr3Tf=GP?>x)M0k|5 zjwR0OzPA9uRrb}!I&&~KTk1m7C_4a8g$|Mu0(t76W_}W2$8}iM%2SGc)kpjETCwcf zmzhq(H}+>XjI$)}jl92U$p?5cOf@JN19%Kfb+UurULGVIMdFtwP^{c_F!Jk|5#eG{ z+GJ!ULJKi5&Y1LBJgl0~#vmaQcB8-(#3mvg*sa*da2(Q+j2CLphGO0rH&FQJ`X>e8 z2m#NlbrghDKpYbPjbcUkta3jb-=z2$Sc!JzPU2Zw?jJKr_}Fo<6M^pyz ztC8U)Dy1+?%R12vSy%Kgx#^{jn&bV9smw1xAb46gVtPeaV#FzUN(IyillTUiT=wSN%%grARaDOX}w_NN#gedc)06)md(h+#xx++gMn;h z48<+q$C%a(+&>cS7+R6Z;$Wk5{EP{f4j}8}sK5Wzqb7mq{eT7zV0j@Wfo4m2Md2&v z#R&TJSgSwSCHA_td9(Dtu!iW77^M>))vSYFt>zpuGtpBudl~yzeWcKoMNlW8f16=_ zfRFBjmI84@0WVz?qYDVd_0S&sM%@?F)tvTSV<9G-k5&pyxJV~e^$bI?42r5;6n+P2 z&QQSJEHM%aAsKpDxkx@HT)aEECXds*#~P$k0zJ}d*K?3$J^3J-*xauM{SQFCUGG?p z^(5;zy(%<}^Z=SVR7@aF2=9+~Ama*V%Dsv{=w5%nfY1P`Z?JG|H_(5}wl|OH(M8<% z2;ulj0hY+c2!HdGBbTfZY@jgEf?gLsRx`)*OA5#p1qSA?&qL+Ckprn@b)xt66m(HP zh`DHko~!#t`<=BuP7NjZ54!cSY>@NPjqz^||?!AB?g0})w46e94dlGGYPj>fFY zj>gYtJ7VOXS}0*FR^YqS3$zgMXBqiit#!MX+VfAr=HCGYg`f|`^hvc)Q24+_KPEBi z(*`8?auz=uridc$w1AuSWg$0fVvjRCV=r1{_`mktT<}Kms2+?LPP~0cz-NS~TBfh} z66s%U{VHZ-KsZGt{?hmnn;GG8EAT$H^&lJYwe4!W9tDNDFCri*(f3*1_i^HHo=H;k zY7YTrPWKbrMT3Ei(^3CV%dMAJ9+N+ik~pyLHPsJf@O_Q+=+Zbn0J$Jib~win1O>_` zGkC?+Yx)%IKar2zPMI^?z1UcP_M}H&%swH}mo&-0(Rh44amt{ccI7^mWR0D@F^GiS zm6DNo4oY}UV}oFK#6^IBwwclX2{#u z-5?uAU2t?MbpU=eJRp2B9FCD#1URqmpw8QYN%`wg`4sl(1K}$r&agB%;9NpiBqdW7 z+7ATE-4q=@=}yj-z|_0(8d;re31vu`neB2bFrZfXBkK<g&iJP+f0#ga;PCYSjdafF{LN8RGR#tlKcoEF_j0(=HdKB? z$`av5n)KWZC)=%eN~j-X=*=jmpj;B6cxV;t&@eEk$(9m^l{I)Sx1_yxp{hd=0l6k@ zKmdElWp3qU(lDwQT@kdidpo*LmYA*eB2_VTKsy21qtmzPxp6z(PRdQUp2O*TBSQ3? zHCSfq@Q8tGKstfHEzTL!)km@?b_Svj5cxU%4zOtp+9kNZ2R*@|=ZxSga9eKnow@v* zq4VT;F-%n{1i#n6djE#MVFS@t6A%%45}Y>d3&;%z?My&9*^8Qm$6E<)?<)G&EAVps zW>fWV(}(p#-WCGZn*Ih@&A~)(HX^&C7eUddiTt6NH=p>5(9neto(HmrJbDyCRm}{t zgz_Sg3f}nZ4_N9yk(4ylseI_Im7rmKBrA+di*>~Sf&u~5DX42UZo(o3SRfEp0~Lh< z>Z(A*ZKxvbRDK1)`qijsN*el;UF>3P%y(pmOo@B30>7OJdz`Ge5Vou9XdG|9u zX1mt4FZ~@cQP3-uPg%TsNI0~Xq+Ibo>$%^V#O*?3s-Z`(&%%D|`>8pEgJI)`VgALP zO0oW{_3}8jFN%1O!1;r+H+5^`Mm;t)45kKjZ~i9rgZ8W-aG6Z)kL-p1XC@4y!Cow; zZZtADA_6EvneJSLBCN{AOqepNuMh)v389&G=UFdJw!Te@NstT^$Hu>ZX%WvRkm@Cr zQbm%LTBIEvjpQ#h$CK;cdy`3jiH{5+5n>1TC?@MZV={}b>c+r05JE&`$A-?ve&ryX zj-=2X{ZRV&=2XBcs9y1eI=3_J0UkGHlMLBq*r%=0FZmTnLpBE+>kfqo3r}G{8CKDz z3910~y{Txjw9dc}naF-BlXZ3B{&|s|cqrv`4y!b)Icuw$n0tJMm)$l@qd1^d%RDP* z#2lW>+PT4`4n=Ca1d(8t0CCv|m|z&wg8C3>)jrtZ#5eC`l=N^tAhEJitn0$U{gRyh zCGb*I!aJ|@es;3kxQqiIS8ql*1dDA0aXmP)x+rSy9?k;oOsLouGzB zyoyo7b&bb`+q@W`Bcq+xxNB96BAkE}ktKcbLiLOH4s~tw8#|^|5S#|x>S&v5g_%c+ zVNn`Vy&=Kph}2gEcZ9Vd5vANw@^DK7iBd)oKCcIUw#^OSv*nr*gSy&%fBx{%GC8A3 z0v=?_xzRRJ@zDeudt3}B#Vn9E2k?eKxawt~rl_Q7JSs5`%gktYK@pIjQhG>R&@h3d zrx`6_y(7^R3@iqPpQ|Li%J4%6KzGsPX%W62S_MVT_P6A z3OdJqW_KEB>Xv@dgN91%p;$+k*FU77A!{Nk`J#(}=d9Q1u@6Ooq3ll6EF$w4ZqJ)- zGCS<;<`IDObsy8O2GV!kZvxWK^CA(|Jd8}0g=~$#dt?5(dK2-(XT+G3?qWtWUsZJs zA^NFjQYQ7qygLM&DeMq_>Xf&iJY*@*ilnne@!Qw!z}yteyOY#k`EF{tP;UD^KL=Nk z59mnAsOj1HgZkb{Sbo;Yxb5dtqVd^VdX8FAtZ<+1b0R2*PhLPDh-QEoC+PkPnt@@& z>l}vzYAWyR^K_hVd{_xz3*WwRc_l0wPlKu2x)KT(R@9#@N;DOU&lmH(`-Pp&09Gha zxoHmYJ1e5&rJ9ZPk(lJ#pt&06_eM^LLP8cAF&c#&*+7d$5sTvG7Kseyz1=R#lsjz@ zXh!rnZNdsqSh5)MejI)af$=g+A>G0PEOBCwsy<1aj~m}m(ZE$WDXH#ZJNQtWz{_(jU?{b#V*G)oNY+Hkq$PfON zzQ8k90{XTo=SfJ+&IK+2llPyZ(tkMegcVnN+Az;E>@?Rx?Cc>|-P~xG&s^Oi%5rnu zRv$ML8lLBByH?b1Tn*lQZpp894qM;h1N|cT|F@w_pV%A6sBU)pnaymHDQX#JL5>|& zc$ST=)Eazn6r5dz%@d%+B-Yd)HV?YsJxi9+560JUedxj)WN#Y z^oz^SD?8$1Jn(|4Y4kc-!iSpJTF|k9KkC{sY?zS%?obBZ(6N0)N-QnscZ?%HScn= z{*)~}utF|H0+%3=K2QpOV3Uqvz~bP$`1hz0>0cU%fu{JVN)4wXZ@3Q{OKK5+v$Ix- z3uQHmhd&+A?L+Y7Q!w2J4Wk$kFFbnvmF9r}|03lr3<+o4XSNM{dj_4gAtz-6+~HEB zW~daCJ?T9wlBk<33zDcFz=04Kbc)};F%3!%(^A1kW;y8ZhmkU2h5Z)$(O1xPF!K;_nL?$0=C~X2 zzuL+5=bSzxemu|$=qL9y3Y=msB0A`mM(k__ZfsA3hb~9T8Cyn`T(|R2Gm2Z{f>RtX z2{?kRE2?op!f*<>KtI^bW^-|#mp4&lD)2oudYbe z&fHxwl73<$mLxu>-|1(UKS=dUJ^x!vCp9-GwJ&-#r&&a5d1ga=w2}$i@>vLw0#@g* zrjyfZkkaCQZHos-WpateP}`v1pIuKp^>cdsiK zos~!EVs$+K1reEtLloeNzbm(gXD7?4mg@oU@pVyMecP>EJrn<}!RZ}m;{5pXLN4%6 zL2d4p5!ZYC0i~wf6)3-0c5qzgub9lx_qMQ$$HC0ZqjtuW=3fK_@0v&tNEOUaMZwEy z(+d6T7z329w-!6(M5(dR~7(vd?Twi_RV%y$xeN`}R;L%%&E!#s5~h2Ych z7fP@`dlf^8EN1AvRY9~y37V3s`6NZT2p5x_DaYKyMQ6tzONS9kxdB*w=7QkoyJQjc zy~YTgH1L%g)E9fy&}5JGBEyu!9vE0Gnd-*t%O>d~2NXVkk{Wc^k91J(u%vVZ@DBq6 zvpAeTo!m?4s$aOK(#$s9?;ZvXT@E40EK`;~%*1^CLe%5w~G%A-2A(1Gu0MP^bWg z*ecuvaNZxF?piUn5Ly@En10Cbw?fT*A|FNb)h5w0POtw2?F1{DMF@3iQq{}D4%?Bw z@cj8FQ>X>L@mIT(DB*Q@y?SEj7chkhu^`T8?w@AoQNo+tKd~{d_ue+O?(M^O>oo~o z`+$t^^*&r&z!;V5eSm9f#ovNAwPR+v*nyWAMG61(e+_l>g7NTSn_AP#Rz5RMqKvx1 zp{h2$XVLLhEIVx0wv&T70>}8 z<$%~(bWr8K7TJOSRQQ_mUkbnnh5kcfJoCR4s4f3f0Vemq6x=Mcoh^}z6fksh90i4= zb)nhdV`vaZ5i;#h{Gu?{v-{+P*I&S#snIi4$;$~}J%7qf-)U52cM>}u{l!z}i%3r} z_FuT?36A;;qoO~-M1Ns|u_tTHCu@G}Cz$dtY%?Z;!VaILfxAlsKW{a#W`q2L0b5R( z!}A**z70?t$A1**kKmtG`+p-KOSlZgwM5`_b}l;?O^ zVk6&%q6#o9sle0-sXz%d=06$$GY1H9&5>I-p{s$(04Bu_5W>ho{|?Fi&(>sWO+JF{M#V6x)%n09fpfSB#A9Azw_%S2jjd*;A5OdS~s{N zgr2Vqbklqx3@GS6Dj5Impx(*S^f0r35gy!Sk; ztDB}UG&hRU{!iGTt37vg`-~B*7NT1(#^D(v@iKy-0B5ZUkIoCF2%04a5P1&wXB*4ZS(<4o<(n0t~v=n@5P($0z|WK!grM(DLTN zv3cwbUr7jH@CJP7xcQN-&x=G|3@}Ir!dl6~93U4*E&Q~7sZVjb^k%p_2_oRsGDCM+ z(a`0l`E?=q0EZVM;F8aUE)#z<>SdU}&~t#fX%Gq`t(V-3znLLk(Jl{;T6{6wfe8c=eMZGO$>Nr|O)3zXkcQ{CgFf23L^# z5C2}|NXN;*UE9BF08B;FjsL$(B!E7^e2MUn3X&x1AE5uEz(AK4NXOxI49;V2QW{z$ zHk>bKfB#sJT;TtmV4Pf&+%WREfYpPxLoS9#o%Y4Y6i9Bzt1#6`!ORO@j}F`H4E_Vu zs{JQ;82TUJD)^tE-H-nOEgK)faxeXRf-je+Cx22Ac-`U%)OG&ku}6~4jDNU}Cr*Ij z4_Evbqx-|f{l#eia2|g#>OY*(UySMxC-WDh_`|*Zi@j1{wgR_@_1>N1RLmLNpI5UV z8hHP!TMCcGi+GyO$Kw6r|4!@w3qH=bp`CT$4q<$07kx6*$(wJR@5bnJ>swkn-kY~- z|U_wjpfY6S&+Znl{Wkm~e);jM74;t(FxpWdb>5h}RuaDA+=R`(BX zmcLwzu5zv?2O*5hwN}el0L)LAr( za~lH_rFIJXx36zd@}Wru??Dg0w`)^dns2YmU77Vgy*w^~yEE2?PUPQY%4^_vAAdyp za{u{$?bP-1aCw>U<}`H;9X-=q_kJ^f*&Ez)HL?G*wp^c&7gpfmXUAPjWlQ2c&0Tf5 zU5nS%D)X|Q`Pezh!^Oqo-B2K@xQFz5xrO`f@1u_o2NNAV=$y>W_ot~0_E(=#l?K6( zy88)GjO(G#eT!Epsi1e=Ncr*3P%SYtNN&9$D!=9C*F^cxPt2#g8&P(Gw~g53a$kR5 z3VK}~-Fwq5?Vv9`-oNSMxc1EK;r{pUQ@rB$QzDKn>G@MSgZIbMo3p75U(9IcqDYrp zu8xkjrOnTSm6X>EQfi8w^{LJwcloY9BOy!=9^wo)IV^<+d?e1wc;E|wA>e~puh zBcXOw>C08{WKZ~kJsjp-e>821995;a_(=I2i80@$<5adh{I8z2BX^`#qH~9z~P>JM7|)q4;*>D|>qIT0wbtmGEMdrIhA1@54FLnljDl zjnCUfsr>tgRQtkO>G}J`;0EY(*%86}Q!Hm<8eRvaupe$P<@i%%uCP`3|Ljtav4J+96!TT8>*>dG|?yarvYhQ8rQQJuXDxjh#b7n+gp+pUMAopyGc zJ>;)l9I+bw(}{!YW{6W~hFn}ub?3@&Z!?Nft9r%=nn#z7V(} ziM;PGuVt9jHFVRym1E|nmE;B&LSlVC{F2t*ics`b|NOq?1CGW9a2R5#(FzvB>+1Z7 z*lBKcD-=0?&os6gYom$|KP-bIt>E4FzG(?Q(e=H8Lilq}1ji~Fqtgs;>ppZ%*~li( z$;*@ctM_G8own6RxKijUUXnhBTCa1}^B^u826K(o`QGITrq1p`G&E_cKS^w{4u1Vc zjBIQ#bcgT+fKOf!>HIQo0uguUTp^;B+1KlVZJ)^RZ@{4Rc0jtXqwn*Dhqg1Wtcp)# zM*bApFvZlQ=F_4|OoWq5PU#X%x}EyZL$O>lxu0v_JCxFS2d&Z@ex#sOb*4udZJ^y0 z^SGKg>!#H*qC%V}KTUEY8Vdlk6~DuHe_}H~#%Xx3u_>a`_{Wc_ZaRwGG3e1M)!7-a8F6~^N?>sD3ez#raPu` zY6`N*RYHG&MAdU{e;6jk&5q>4R2((0oveRp2^06*47KvpiI#U0FT=j5JM|js157q- zaVN7EX3`b_R|lqmQu<%9Iv}2V6ssR-SAIi-EN!e02fuOTwAxX$wUs~c#DD8rS%3zy zh>qhW?H*h#DH3%fSbtI(*ij4%`*d@?Mj(9PqRS-S^%Usml(Onmod16z#CFj%Y^bf( zqYjh#lK!oOhp1vv_9xE_CxP%U4Nc^=32doj|}!!5u-qTz2Et7rO%m3S6V> z0`SQGle2Br$rTNb0tdtp1d}@u`TejG7B5;XwG_vjM7#u;k+}36KR+MxUj4BIL{r}& z*6qElZ~Cvhms3l1ik_`Tog|yVVebzFYUw8reL3$Xj)x3%{YLSmZ#Y?OLgqE-mM1o4 z)Co#Jt=kICMPz6=l>TwWHNEeOK$VJbx2?55+vJDFB9TrQzwVjTW0j|Vd3s|f{%h#U ze;j2azw=G-*5St1t&^J^o{Bzmsfhd01bj`iWAW{U*YCE3besG%eTX3w%PE0HT)$|{ zb9Ns`=)!<+r~ZcTXJ1ZK?kxmM=`f^Hcr{nWrOyh};nba?&i*M0TA=#MFgov3*X*S= z3h?mQj`Tw5NPA?7!Yl&aqO=|1M_Iy`K}RQe1ZafcL)jRtBaZ--#W)PXMeW9JORw=F#F#{w2zZV0(#57DmHw5IcB8gmj zyFlv1ed^zE1`#3L@68E_2NypUa8(Yk*sJwBs4&*R9iH@AZl(W;CwNqGP=LD^HY7WH?{1)7%|n3N8sO#3=Pz$vk+7{nZ&ze)x!{0$KGI8DV;l1QRVby7$=VutJDi=Gd&iC^Z{5C$MkHz4ZM>hUTl`PEgVQh{Hdd90+)`7 zJDM@h#C&m8BOSZ)FHL9jf=mhtHEp*hYR9T!Tu=ET>X-{&G9>WWXq za!;l3YZ&UXM(fLAfvaQ23oTxe_N2@jrBdfPGT#_3XY7t_&^8o%3!gAoKcg8^N}9-3 zaT!eZuesdbn{#rKFQ3RknL251%)^({PYtu>)BdRj?>GPRg^b1V6%&WI*ABneOCmw9 zuMSql%n!aUq9a8X%yUKs=> zagp{&H`*}JPHC*Bp307|;)I@Hw-LNt5TvI9Gi1_4`;}lr+%IW75xS0pj2+fG`qI#i zOwf2Qc^tjx|1u3%0CU*-gv{Rp%)+YiaBu}{ZOwE--pr%ic&Ww$tqXU0(l?QXu9vY6 zlFwOHsva>g$E8ZC|EgFu0NtoGq}s8-yg81Ww7Y*{jz?8&`N?6DaD>}dTgx666NF2B zXJdoxY+*T!2grJHfjEHVMq6ofO|vj{-hZ^ikzof|nX{u0hP#WcIBL=Be~ zoH~?D4iSrN^?`Am1tMd37CyWVPw)>xA*1=yl8R?&j(anj<5j$V@~CD>>i)y-$vW|7 zG|p?@`p1z$$>0!()V++^>~UGapDTvbWYV89WxU8Xy9R9l$Gl_ZbTCQyz?aiUZDmq- z#@BzeIT^gRvg^|REQ|3hTXOYLnZohb-6Wkm34_K_AUpvqC$>4GLEDXTe3CpRy+EDq zxTm|2_Sl()ye!APru-+G8lbQC{>n2Q_d;v_$^p8<|F1m5ac{{;J}t_K$`)&c+vV^L z&2UczX0630QNv|2*5(?1=)8Vj8uB)aVoQc+P!#%pxw3qq3)i2lZRXw$fux@r}eo4i#(Pw)t|EDhn=FS_9 zf8_XI)-M+SmZsS-A>N-JaAWuTU8TiG$%K4za}lg20_KbQt5~{KNYbBK%LC}o ze`)F*vGn49$pL!cU-EBa>AU}u12jtLlPz_wSUSTWT8g*@Ml*jnw^QcNbYe|#|Gt)R zDI-p#yuH^?=Sjk32F5d?{=M9Q$7j7i!(5q?=!(}gc?FEVDLd04vwPv6S+>+#GB{KI zGx<|hjXhhrK$Dd@i!I!e#-3cOPn-zk0O9k~uNy7}Ddw1`*D~%e7C#F%U!A8T1~PwRn7fM?+ypl-ICPtP~}Cn zN8W7z+kGx^WrEmub}Ma1PLbcots)6&Bq0&7=@izsr|#%%4J5xM-3iQxw+S(YQ@+Ox zl~os(etH&(ts70Tr}>j;Ak)r#t)PSpP?!5jBuUd_UuuBfe~MVHCaO#G12aP&+a-w zf^w#Lvqcg4sO!+D`nzvu~7<_ER z62uFzY^M6cX>T^P36<_u{7t`>8onEb(JKymrJrq$Mc*?$^fom22lngl37WPl&^1aG zounGt`O33emIV;e*JG+RVUUH4e)b-lG$X4fGiTAl?8Hp3aF8|5z$}C@kyIrDWIfgQ z-noQ*GKQm!wnsfQZHgZp#B*UDepEX!O_Z=-7sNBp?Zg&U+Wyg+GrE`wB&)stx>1~C zVD;oVr@*I@x4EKs+-algx_myI1T=Zbp4U2($88qHK^;w7pyz1>T5tQ#hUNu`w7cHq zc2$hy;SY^{fE*)q%n&iPXj-p@d4&#FtI=a=^pIpGbG+wIr%U^0&3`qln_1VrX<2Na zCsR}8u|F)%mS4L1rHJ&`%kc@POo>Ew2Cgg!w zb4BSlE~z>>FNgBQc}9{tQ(aI!73IQ#_HpBJ8f@12T<78*pp?p{YK-I0i#mkx45{z) zRe9v%$bm==9zt81tIHY&X!kv?C~v`~&LVdg6t{yg_jT%CU28Jatsd+W>E^{wB(F9o z7RJ{U%t}=-vtKT39S8rIo;@RsI%-3_XPo9qeUUO|UDLmj-IU4fC3$Tq8E#r^o~Mlm zoziAqBR}*l8FHE?CpNN3lZcRBApDl1hC=7#?XS{m%S5sH3s*w|b-CN@(#GEd@3#Ec zls-?Qug;cESgQ?9`mb0`DRxHZkLx(mCeOkiq4CrF>_JM2L(FBR3CZ_~ASP$S~74)C=o!nVcVKz>1K93()#22WF$>~zelc`GRJ&57n9Bz)u;s;IX3 zaZRKhu>yj9+gmOdUrVB-cYp?W)n>!1pCtLV1sqJjX6IO1FS6V&o5v_sA(vd6F>DIf ze_?{?ST}8IlGBo7DW12a|CmCkLA15LHy=s*P1cPr8MqFKL+|X8f9MFc@oo z=sXt!V>f713EYTon$-gl1>1X4>mB0*W*B<~k)0f)^$hmGtqQIB0g)YKiGyKsbJD7E z6_Yw#(F8H|$9XpnxX<6LssBf53jrU254Z`|U*%={>(IW4(|Akd2GU#e3#uqjLc0}? z39R407~AzM(vfrRN=CX*OJi580}L}wl)&;#-y!hRA75q1mSOdk+N!)7aeZg+OE56q zWOj8C?hm<|y&#jJ&A8fFvl5_7r~q*a`tuj&ho6f@h8kU;pd%}qAWhj1DLd#oCvIv} z2q)UBZxqiTK$tnjKiJcY76k3`os>4xML97u*2{1R+7P>!*$g;Zm>}Ue46(A(_%mud zj~zLg+jt?o7tz(J3=ffyHYsKspT*XPlj9rFl?bQNSy%9eq_)>c!X71OQ2Bgyxkk|PV?CUogvT|NsP)Kp14Rx>sQj+&Jp%G zCrqUl(f640%jSE(j@)@W3DD%Sm&7_mQ-rwmJ?c1Y=FUOx^*sTH$Ay&ICZnTk(^3+S zNC_;0K{d-f%?l-KM5R`QwgS7VJYpLu{hE%`zjd6HUw%v{=-IuiH-D`?HEPeOI7F54 zntq5wSXLLlQ9ferq}fW-;Y0N-gviF$)W(p3NRT#Nzasmp{MT7S9(|X(!CCGJ58ZLQ ziUo(2k?4(nM?}%AA{|gxOR98inY0AsVzxYw#jdV7DSDnP<$xb`&G8*?b<8N2npIO2 zU!K~G9}t#}JU>F#beyeMiQG?jbL%9u2{>Bo+kIE)GRsa>h!!rNizx0Or)2ZPs&3uh z5IZ%lz|L81=G+`pGXI1v#E{kK$dFx!2eb9K5L;W^!Tft3JJF)jFD{06{**=elS$BRzv@5 zkFSI*mW3_Buo!cASebM!!4{`|)=g2^idv#V4FRus?`wgzCQ#;=Y3V)K-`>0lQ#72$ z*4^iPSDm`rm*wO95H*h;VtkQwQY=-Tj^d*`ml4onJCh*bT_<@qTRxfB;(dwyKy7!; z8&o`D9|Cjp=9fD1rh{X_eywde^P5*LwSk1udBieQ9+Kn3VIT6vVQvr-`JBd3^4yVF z+@R-sZuAu%W{0Ehn=f)k&XO46CYOs%b1e*ylYWXra@A7edLNo^{f98yIs65E8Xt}> zNG}x{I*Wb0>%muh4Oi%?hvhyevv>-jSM4nF$aj^y54X0bcg|^Ad_RL>uk123UePN; z?2Pa_st!LUd<<^aA1;R4j?f9Y{2$G@OZ>Un5IQpFU7mFWBf-Bd z_y3aW|Et`L8$f6L-);4LYnUJnI^6crq)dXMR6J7{nv3@d@MTU&RMk1!Ci{vnYzf@& zP-f8c752Wo)rHhV;%{vTV^n7OYmZycfSTV|3&%Z~#`d8tYS5>@-H7*&PhZUOpYTL} z^OYegT}|p~JGF@NkNOa`w#J;APjY=0m6+gpy*tUCdEWrm_0~HBcM;Wp$iQS$+WUTjzojj*5E8Rah72(mY1BFLfSz2QKoVLAiBX?xd;qLBXKQ9TjvZ}mp zjQ)mOMzpq;qBY)GU5)3Z*9+VSFVbS=y6f;qi#@^V@f!1GRHk>s)$Ty~gZt%4*Uws4 z{pOEusX=#64-?z3I=F^UCkoE=w*{MjFK!+R+^>;dk_s$(UR>YX<$un^U+}y+-k5Iz z{vOld^Kid0wQPHT)1lzgB3RwSeE8t}aR0XcXj5?M;reJIIMvL@+wt}n@W&Ijz0E^; zU$KX61v>$shog?Q`JUx*()*jWH5HOF>lXK`odci6=KF!q8v4s#cN@imOU=z!x07%9 zJb!O*R64vJe6aCwy)6+^3it88+woc8_lbedxchZkZufAz!_3_5b$;EoukY=1vnIIQ zba!@i+%bRI^01r_bwDb(;CX&@aELD0bZ39M(b3UzK#yP@IVd7qNg?#mev=YH=ok62*A`4X?k7E$e{R9*p1R z0vVpmIwSJ5cTvtn|DE{FDAxD~X;5$p`|UyNiqCPL5G!l-9+7*^iZqqP`)RO`u|{i2 z%g@*oe5Uc#VdFWj3~rgkh|@65DeRF!suWtC$uQv+1j$>B6J=h@j35>%a2Ggll#c!9 z+uId+;wAy7TGr1rV=di|qvbXm@;fnUM{F!|%vxPlb1sX@M8A`~b-e#9HZd!+XgMJi6p=a<6xu(F z?PzXbZ<3&c^pUtLW_rt-U$-c^X-x*>}uQM_7t8O`7^Xy9V)aHiI_nGdN9L@^bp zGx*v%8_(dMZ?Mt|Nwsf7r5cMO`aw}g`&JsnMcLu4?s*4L&9+`lE}xpZFCjL`wWeG* zY#bIH>}2d%{z4f5f$mRYy>1(G2NGYmu+8y*d{=e2AddH3LL0YK&uRLrn!lu2s)mmJ zY=7=%S_2P3c_dX%#6Y6>dmS8I?mA0*NSD7%RHQty0jtz?*#V}(wy7F|3& z`?etmtQsHx|EFCrJ7zmK{t<_Y@&N821(}b~poE6VQ0j5fPwj<#bp&YCYlUL=<{ANN}Va*3?mln)k+#Rzb zNoZx+N*QOFn?6`Hmc`doBPB|77s{g9r5fSH{3eD$x_h!OWfBbSrpn|#h=qA!=R@yV zC0(=ki%&*=TeK^}xZ!@2)wE?nk9SjSn)%T;68O3%Tm+tpsd%2!ru@plYAGUP1raMr z?bPyYX`(&xu$}tiT5z=pkzdHf4<^$*3K3DYRYm@w${Pi%h)cFhP8apijy+_B^$I$y zU|xz}w8Q(wsg#l5bIr_T8uKmg7YbLU8u~*hS5!KcYHVn0tLZ+bB%3Ip6*&&5D%ohb zW#sC3{s@^(pdLPR5VynlHaR-;D%*2k-4^7;c6sR{|Ki=et&$D34!PyW_w!Kzt6`EIdsM3Y-o#odP++1sWu=ij)gxkO#?ppKZ zs&yo+YT_vId@N3HEy3<_lX~8J?DFOM3rNqbN!r0Lw6mx)bU3^^KV_bkWI=jYPnz_5`?a-cVQDII?d1Ve*Eey9|5+^MyjZ(|z%d;~p$X7XdTggj0YcZeP zWE-BZxNZ&GAtF3Pm76!j+%`h9_INvkK(J1d2wR?LN~|xscZhB z+v_TqTI|p8F2b8aKHAz9T2Big zL9#p0nS1LM)wMgdYqH9!psc;*`abL@mwZy_0ROvc-8N;p=l42=?mKNBxvTWJniV3R zBd^=qw=Jy?)JWsW2SU-M;yMWuKx70M@;en`IySR`h=$rF)s1SC2i6D^T|6@TsXQAV zQ_9wI=e5O|mGkdPY9|lYykU7fQZ~8tCo=NrRtW~m#5e^$k~)-{D5&fZ7i|vKhIKh7 zrY{%!N>>O|3CQ&?$D_rE%$U!@%k2~|=u(x{?wxcuUo8$bl~%?e;e3|cnF0?HmqJ#b zeU_9S67gCtoai(i$e4UvD>yY)>b|GJUuge1cW$zM)^JuFcG0okLwyU^TGs-UUaE*riYslGm;o+7EzF~X1JNs!prYEkg0j{ zOh&zLV4az9Gc$?le1<{rSN=~%?)MvgnnzI1on!96UN81k$1kb5LCEa^+4dnv7-E~|!C9F?KO z`3%QIQO(Y&!l2EFl6ASyEe+{>MvJ?($Nk_4*7xe`Sr@^}2Dz5gibcosp4aoEi?@8x z+GPgwr_bgO*h%JxgDVXNE-NFNZN5h=t{crKqozX42(RWo5PEm^xJYtXa|hWh<68rl z|61xy!09)_WG%pB3V{#D%)x{Nd@Kw2D)oRD3)rH zYxswYk=9&%I4ZvlW*p=m(tLh(udOIfjrb5O}q{&B1%R~(dht| z!;y&(^11fW)Vgzie}nOZf@nZv5~_CL3p>~341u$}+F-ts$D){b&ZlpXoK)B1Uht)( z&I?F1E}FR4cNh`20a;9he{7gHzG=VYzbm(1E$t4e^%&f`>#ele+qPD}^9FRSBzp7p z0>W*2sh6a~KN7yNx#>T3+Ul_8K zyE%fhmLxo`Sv)&)&?h<3;@EYvqgWz&!@szX-m|uC+dgZ1_pEK(wr$(CZQHhO z-@WhGyQxYgRh`sizV4}+%-4v8$*>ySfOU|udGP||8y{XUh;sGl$8Pa)Z@zk)v9zRO z+rJWbfP1S$BM?-(vH{1sV>Pe6`fQq6sGMemAllAmJb4t}DgRMswH(2^dv0ta>e|yN zxiJ}V&PTABNo}Z&n7I$#uCtteiW2GkntgQ4YN-!)HexE74{b4-;_zBZS^6Z!Y99L) zj%q@MGEAENv&fqaZNbB`Ea1D}x4Mj3^SprjRV7)ml}n79+7#07DB95b?Ve42*Nui6 zR>Rs(Ac76uuQHDwkSi>Kn$JW!yG-k~PA0&7lBTGo81}D79B3>b>ie=oFSXoyn)c zbwu8IZ9VRx+6Xysr%i=AqEp?%1X#VGZ7d95zr9<`toGbJv|vqe&dC@cbeYZGe0JRk zdS+lfn`<`TKeWsXlC2w5mbXmSt?qv?+DsZ#%P{62SOr);0@HDdc+Kg3`4kkaghubA z64ft9MAxNsp02ie?VtL}G;V}OyG-tW*xXQYIF_*O8pKF(@6Luzv-BVF1bAOk*@QlG zpTfvj>(h?vhuQ`d1((_wXR`@)xTG<-rLa{Dz!@`DT!}<}&go+HRr_@@QZ=uMM0)DW z?09HL={~~h{M})>dlevaudmzkGMcw}Xp7cM?Oo--%`hiNe(8DcPa$#JH>(ia2|R?H z=pvGb6n>W>4&8?hmfxKIn_^?&PktSbK1735(5eiX-^vFFyi@6$Vx!caF0TYJhqVH?2f6~q^egJ?T_bTnuw)F)*Zz}8 z6;|s<6~d3~F3wA&>-Z`K zFJvbHI)0+^cx-Shw}`UKpn{z{ff9@#o`6`uF31qhqzEr8?JKVYrAwy*?oTfBsk^HV z(0S|mkk`uZhvwM=q}?qEkzcC96fVJfc{J_>Hh#h?VwWFagv!gQ|zILkuRrXr+gt;@F23zRw)E{hB#QJ}rzvzS9VB#DyM7 zx6=k9zq!$8&^;Fb;~9V^BoAYN?8JC$uSFf2-#12~d+hnzkf zD-b$y=D9Xf_Nz8U_U#uxvRutLp!0_*LA#$Za%+(}terqG`AlKIdkPfXhcag1qXp~& z_LDv^-j!ceF@5b}fLLv(2txDKr^wG&(ZQ=VOmaOBAi%nzgnwJa5VA`cCcj>#=kzQ= z6|!UNCAWt0y{F*^8}IQ00Q=qoaqWBRzz^2|60!3E0PCh3{reW3AiwFYKl$3s6uJ+F zR50L@aT|5R7(iYgiH=jvC$Q465|Y0>Lv9VI3#{@LKyDqq%dkV?=XVp!C|9jLU{Gzr z6wc`kKLi7a{(23deXI2g{}vVXfri@a@zb%O-H3nO;Rf34a0?AT@(X0-{xIOPd)J%X z=Q6lRybm6T_U(-ii2P#nuVT9V?31`c^HBq~TO|g_%NhBRn{-6vQNYHPck#nHA?2!T z|E5q;@*^wjipb*y8)?G9=9k{-6SuubFdUfMzzcVQkgF*8+8+^z=41AgpA$#s(;^DV zU-XLEqx?4WMgn}a18acX!v>bCmVo2GiPXXoCE$gl zer+8NUAPH9G@tZ$Y(N?#pBRYP;lk##Km8i3y01M77`cj;l#)0)9EK4~8Vq!Wa5Qs5S2r;p?QgmuDEpmTW;#?&l2nd=a0fBu1qx2voRLYg++d{>l z_{1!+FL6qW9x1XtrLsbQ#;nBz7>F=FWk1jm;PgVPJ^I|ST_H$GMP{-Re`XYFvDmO) z|GsU7gam8M_VaRdML4gH8!cK6RO7q^jeSUt= zA*9imjsWYnmbt(zWO#(Vg`D(3o-ZwrL$je2a(*xW8Yy7v!&9 zYnh>}R5z7iASC1Ub@|mL?5@!0TqSa0@GK?#k?_pR{Q?sM%?nZ$W$a2}t;F<8ajnF1 zO92t%Q8_UY5k)z{5aTI1|84YB0N|8-9L#Xw;0a&a>5Gx=@(>R@5;Dgv$`Pr?N+>2g z11c~P6ALc_$q*YZmRB7wX0JbbWUkIxe&Lc`Ek@(UD#(RAha%Zpav za)KhlA~=>e2O&|WhrGnf&3oDsP8BaSl9D|%{?!;3=g#^qc)&&z7(%@&#^dr3jVdjb>v$)GQukh$8_p@{%bD zvwU#=FR=h6-H3?@8Q>_7>;aoncdEZN9Q3zc=%sgvtsNOr!p;$c7@7C1;}i~5wjCRB z+|&`27+K~_qBDdMPb-KuG4a$xMx%|EWsu)WvZerFkL2?MivRy5Ld}4uy7Uks9vEl-O?72}n zVsz__m4*1N zSM{hU66Tx|`_k--7Nt!8nK#Wo$X~eD8-dMZ%&`(OcR9tqUVgYXT>j1Ks($`3T<4@W zZjqSNf7t7%@+ya)*|-jao%UJg3kT{#*D_pO#Td1<~a86;%Rv z>rRv>RLC*iAD3uGnIODBMegHBT$Nu$9#73v>S{a}pM4K1m7Ua0_P3d!b%Xt&V?kv_ zWr33=WcLEf=BTu#0TO^$qY)y-{6S~uG%|~2EQ4XX%3v9yR87DGgcEwDG-^=PURuU zC$Ku%tRt824O>TThFD|E2Ed4qdzrD*Svq4GCR#&!tz=cSZpLIoF4=BObd}YU9dm+#3s#a?#jX&uwyRi_T_T-wP38o*%|BA3vkNyrj-1 z#KnrvZTP9IC8~2oY{N0%#@8e)Jz9s7eEy4yoU5j9cgHB%3GN(9WMSmD2}&>1Pi8@6 z6kj@Wz5zKgag2up{?yeaq|Ba7n#JV|<7tIjpD3ioekoBHhpta~&oMVyl8#5-SYo3SkY7v2k)=n<~;6A(~^bW!z$<4U6vA zUdNQ!_eMahkGY2YeeUb3B%{B>ZpB9vc2;nYa?FvhWcGR1n>$3dXhV=?vs7x-&C$V? zEqmOvok?@(iHt(Fz+*splO|t=HrJzHd6Oq!BwOY;Tkhsnxd?3`_^*hXiDD+Vvhvl%^?FAFE>?cF1(8{VaoZ%!G}}}{+kvxUsH%`VB>89A;DxWDQ)x9T9dX| zIn4^&1;!piD{ifr?=`?N$$rg3BcJi6y6-_Fg$t))c?BcRC#P(UUemyH~DIGYR5!xW-9CT$dKm&Yg}*BkE01B%@O*8MUL9 zVn?kmJQ=o7!Cf3xKKJDE_Ry^UH*z~U%|U2!B;5F>pC36%ziJz9R0^jJf% z*_kwWqo* zEr$yV9Sc4`8g?rCOu`%h1=?#29;)=jnPRwrDv;ZiukAswM#pfblTFTyZb$& zML9^h6-YpK+jtHRN=cOhw1BqYA#{W0-9WXWT6j}$4*Y<1yPVdz6K=Ihs%tn-CL+|OAxuMQI9Cix_YU9kJ;S)!~BS+CC2aWbgD2o zsK#8&!h5T52#7{pC07*}HK(gWq2*ou!|P4%0SZY@t!WQM9Ax*wW1p-#auzlP8{dWf zV*ZyTQNGRDdEfU0EqWs8TO4*;3H;|!c$Hn64z}HQ_<(BHq%!^Y1TZL?5Mj{gONNtp z1))N{<(b-4z(g`b2z;DpiH=$!E+_c=0V5h5gxMYe#lxK(5!9_5)kFAG&EtdsCi_VlE{4WKNs`tB^w2^tJW)pB1F0)@B={L%rheIc2Zd`b zAPD{1qwl*@f0<8AJiT;ma<+K^6rp*;x9|q0K(Ju5maT*bcG>7TcxNjetn^Q zZ^lfn1e-Z|r$16KLBUZp0rXz@L4CTvzr#P+FhdG!ImniOgk`Tj5WKBVV#w*OuZ+H# z63F^Zo@>9EX?z4Q6_CiQ@u>p#A?p?XdlRw`c^^-nPrw#I2+6oW-s^J|_c=de)y|qA zyhd^Tzu3sc2cBCS0s_eWo>2dv_H?xdAo{!c>%HmvkAYoS0RQ(e)}yEY@0E$ekiML9 z7(-zKUHXvG>>u8pmy$<3t!^BX?!O3@L?~MlC>`-zV|=d4LwE>BOpZt%)IY`yj+#e6{YNs$TXqpqKaY68`Prb$=_~pO2|?K|SHEU*EpR%1SIn zILsc-))`Z*T*&otGM)n~P}xF*T#>(5jCJ?L-H~H&WH`><@WkW5C69yw8;qAkg$`w_ zRt6q+aV8@ao&bk@NmbLmZ}_J5L%hGNkG{BwHQzD<^v}F708;0!Pvz0}b8s~+;%9XP zWsr?zxw~>9R=BsbsFacweC|ji%=ZY?sTr(bMfU4^MEWS}1Wlk@b;g;Ki?;t9-vJr- z5K!1cd}%4G!h|14?QnDZU-jwKVch;aCSTZ3(GiSpU zjjm+V5}ayIt4Ooa%^#Qf@dkk-Nu-d0psWe8i?4?@1+g z-|S&F&GywBd8;eEipM6XsczCWZyk%J5hY5^bELFBBspPfV0?F4XBB{=%PD%ZW1Ar5 zZ@a+A?~L)*)iF%fT^f64aOOq#Np!|k4~~&daO1`Z-r?q4Z;Jb!9xRgrK-Bp!SIkg2 zb4Y6&$PgPOIraIO(pl0|En3h?xVAX7PGc(pf{oq-db90wY^1$7jqvloeNEt{K9K{bGR-8# zi5@?=gG90aSa;Y=E-*mQuypvoS-cl??4^hXW5JDm!`x3R%v_s-wEPCtB{*v(cG(6L9jZ+yp;Nh2e9Cs;xIcCNXg)X8{8QD+ej3H(L;M! zIoartt9Xajv_YQoD;lOkgF0g5N#Q;2Dy%Y2Trv%_BVWrdod~2Ji?7qlcYhlCvPK6N zV`vExT_vp+Xb^tL9{p^;Tw1MR@HfnFj_SCH)zvu)2bCvm7}feH$_p4Xu}CBnFBl=AzU!Q5`|#(pZ5EaBC|q-wmKaI(=4ltIuNul9?tNI~MC3*teyQ z@2z79MF|dasA?FJX0JyAyVi_A>2igj5y`bCREZn6kPE<;9+9N=Y|wi|F~7FRq*lV(7z> zVqm(3do*}_l}(Sl5dfBx_^)%oBid9)zDd!}G0;xUOR; z-}OzHQvgtyc9x2L;5*Wvmpp6sRRQOyXMrLLH$ULPLqdWq{$7P@@vySxqRkBH;s-dV z>daf4c_hZsyFk}_ce%S#JcNk%_}=D&x_n2VU0)T*EiN7$Iy;VeWpp$zfx-Zt@6h8w zRz!KuB1H%H=6IXx0&L#JsH{z3`ALp7G34c*_hAvUdkgs^#Cb#T0+VJ6idcA87T2mK z@(?9XxyPQDVek1E+T2kj%saDvPcORyTMIYF0Fq_-E(fV16K;!g*o>W6iVl+j;_MuEnD~jv zXO>y9`l&uJ9b&HVr5LvD8RLF%!0lzx?-6}KRv(T zV4D@vQ_p+h*0Vq^8fDPM>BP^hm;~k{MH`X3h;@y%=)~HI3xP zfSsgOZQvW(gKv=Hz9E0O1DY~M9KX9nNjCEoTu6M9ESCc>jz4E`l$l07^v&)!)`J8y zRU+lDAM|u=OdE&XcT~NB{Pq`^Q(Bgh4KF*{Uz|!6-X-{TKc(dv)hjSsiskDZdI8#x zAwauO|7!;^X^iH37mN5Kj{>o+t=CxMW5`eZ~VQie< z$go2+a@Low-MSTbaa8YG=tvyeuY;hsNg@c577{GJwVj#JwT0`}(lU~ntL_ruKFV0| zCYHGADQBR-oQ@fj?k6I3vui{Jwq`=eos9C=lHfyNca>Y0qWM#|$c?%)aTbV{Xp~q@ zF^dxA1U<=s!IgOv0DNdqM>Vp_6W0wq$)w2WnxQ8l1Vlx`SZFEjuGSR49?x%m=JU51 zSQp7I37&Wq|GZ3pXX5>JRB_HhlP}GEUI(B(BukUL6Z8fISIHObZH{RAw=AxRT(l7Kbn9 zr2020{x)rWy_tJLV_$G6+sF$rHeTzm%0k?+dw<$Iz{~d>al^w+N`L9|%z1wS8YpaE zz+AtZVO!#gz0fJ2K{k`AKD}@#Tl^cxt<+s*4yAa! z-%kPT_>PknPxP6D*pS6hnoy z_h1_7+KcP3u`rL~e*p)ER7p$d!yJ~2^T*X@oxSWy{V~7M)M1>^GH+GbhQ96I%$UKO zbA8>ry)CyR@(q`)E$cUa;00AxfRK%T?AKm8kJ35xm(1o-C@affZ%Oc$C&HB%%TJnh?jUW zPLzRWp0&02V+eoN$k8lBA(!gG!$WQ}lxR8V-Is;8dGyN(8$2?tazyAId|GSm6hp-I znjro6wLNfddcG*D%M{V{C(AoV$or^EIO4aOBY+e5*Y03< zscb&_3DpUd^7PP57%>&^Bhq>qE`%;KJ16C7t@ASycwQ8AU#fp9?u0B&s@kUUhJn|! zy5(JWGH2hM-`9n;MkxFA62Wa1_Yuk41>Ue~^9Ez(CW^Z6{7eK&dAKk95zI@iyx2<7 z!DojIE>nIk5<64Sv-85#WwM-|}!tXLZ))Ykr1`u|zOLEox}bKV#k&pyVEVCuV$?cnzs5WYh}0^YqH zc|Ll90k7F6ZL>a__IKaMA>PPe5Kq`W%H$8#Ki-a#A6r5ke}yi^yh#S|4!mnV!&Ow_ z3dk@?QXW^x$e+U(e$k-$gZ-S2@_llsXrF6vWu^`CZCyTh9oLdp5<1dSQ|FaXG7z~w zLX}Ua+UfM}&e{0RmfoT#$Kz2ybn(b(h#7`>;-C{IWvpIR&F%4E)h;z&{K66#8nObT zm9N$F56n5sH&K7#E2&a-#qIzLU}t4>@Dir78252ir0Ox|`$~%aSj;QTF+jWr+}JAX z$KJCZt~f>zb?2TCl!|N)v+zX8@MoCR;0P*rltp*DkLy}cj)U8>Uc!KW`IdWZ=O|@h zuf~Q6D#PeRMnBxo0Iu151|+u&cS$kSF{u4R!z`c0+eJN3IpqX65&)m21<=QIMl&63 z?~h#PdlQe-ljp%@+y~KX19vz}A807))}qd`t6g{r{4T_&wu_#woA8x#Ej(*aczl4^ zGZFhDd2MlH0*>4%zZG+pSc^4axn>Iv%!0U8Cn}dkPL#wN^V~GX+b(OEn(hJv>4!|+ zq+7{_UJ3>;;l20Sqgy#AH~eK_^w_#}m_5A}lVmU%t_h$8IfXj%_XMmyQX3;{kKA9T zv{aqT@lF%70(Go{<66NjrU;+52?!ypV}XEmr|-Y@Hk>94Xe5ub3<9*Kojy7B%^@fp z>gP+GJL!J{s$TU7-u?pn&u-Ja>b)zDH zc4R?diy*A4VW*66UCBOm=L_^ za2b&dA8b1Zt)sLgGt|)zwnRzUWL333$0$OS_pMoAR1BlRK*GLzBt>O*#K+o8B}#M5 z8W~O46{9lSm?Olv3<~vs|KUKoIKdar97WrysT)|T3vtnxmJ^{s_V^1IO7U~iQYMcG zCTb2oQ%*a=GKs_=Zf)kb7qpLI2-;~6qNlPcZa6=uFJekgYgr236tam3l+WHE;ZUwo z!;b6S3_68``UsE*9{pO_j6i;R);*CgA`ze9$V>R8ScS5CwXiCIz{f%+>X!7z)3+D) zy?N7U@m&7*11?hV>l8NYDf_NENTg2RsN_WHCfulLVV#uY8fX6g?(2#Jo|)>ipT^6o zwSM6g1pEar#!+o^vus?vT4rj7LN*~b+N(=*DF$LXele0!0M5Gmss)8co0ZjdSATP2 z<82K~s%09>LI6j@M6R@JRjq(tT_qn?^_9J(-y{7Q4 z01+4|e!m>?23quWQGMb^_-ids#B^CXO_|VhrdsdIH4F^|@xo;JkU-WrPOQMrDwZ9f z4AjguKr_S0r&1`N7zz=O7FHz?!2DtoqF~<$4Fve7LMuDz9!7ETI8E^}QS>Uptz(sZ z|G5O9d|nu+0zRYDVpkGTW?H!rC5xte?SpXDRWod0=v~~&iNBoaE8Pn-mw*SL8oKEl z4#wtOegXv_B>T?I|}0UVzH35VXqvH?8pH`?WH)aJuM{7X%0b&39@@(xZyOLUoLh zxfXh*Teg%Mld}S)W2c7RJOxQEH1{W?{vXGpV)BU$Z(Q61MBJ{)X_NQ&;yx>-?Q!uN9T+PL{^c3D6~zX)4w3wE+K439fQ3khU83adNp6}B6ue#de>YM%K3O&@R_%kY8L|1*_+>J=VG16 zS(WlFBGf=kV{ufx9Ib&k01Bo*Bv0qlsZ%8Z&6q%=4mm@p4g$f4I`pl|EMKu*r=NH= z$}tH8uH~Byxlr2N3+ydMQ`F6kDO)R$bD>DyLiWpo4jf^Sgu~f8&Ki3YIjXf3wTB^O z+3S8ayIwmp+*e`^mh8B))s2CCuJTTHPp^LIHg9V+zVw=;D^hIb-ds{>@j4)f`@1+$ z+l)PV)K)hbdA1tOcDQJL%8ZkG%`+qF(3r*OExIVjlC#Yno>A2SXHJU8nuaksCBadz zVBuRl#0xN1eH2#O6F&rFqmF`P*=9-RXD%%ooCA|%o`jLO!5v7%IT({+78&;^Iv7+- zs1t-!LzdMUKctbHzSO=F!B^o^ES^om)o!t_#nblRE@)8H)%mwCTM--K0vtM*n{9PY zkM*=Iq%0b!RP^`swEW_>N1X4yC7>EE7phMUY)QJ*dq-%F5<2cYhICETc3HrdwMV^B-wq09o3u zf%sWy7}WuVd!vserExOvo3A6zFA9{xw}T)V#L-<%Ta9<|{l6jNm&79{D~E3)H^yvb z0b11rWE@;h^)WK};?#XB&r@wtN~f;pYZ0_~mJW%lY-ZGd*J+vC=*;8xS^RK;Ea3)| z+Y^*gE*Ox~DaW$jYK={z)|}(hF*krN$j{2}pzeWwT=Bu3*_9{{F&Z+&0!Jq7MeIC1 z6au}yJGX_#bBKU!wMkeweT9r6-p9qhMj3SS^@b$wMW#zx!@Yw77A#wV5)l=eT-Yr| z4Ud8K+ghu@3+zNA01hZ@e7MJk`H!a4UZ8K&(w(cXjw1snqq}vq3bAl3@H*Uw&Dfvk zzN&l4L88><~6dS)P2nIprlFvdf5coavfC(vMOJGp{e?$T9=N|9up%z+IK{HN>AXY z_`srC@%dpM%P?CN<*yYatBB0z`)vMA*@%o|&d0jJA|ayc0e5@N z*j~NpOHZ)MwhNQAHgbhu1<23LK+q5GxGqqRL~9r1FGJ7 zpy8YHZiIQO_ExJIH&5bFK~#QzH=k1HuJhR^Vufyunpe-tqYftkzlDJqDFy~_G-gV8 z7VCd#B4Z}2%avVFx3RK*ZNF~JA}Sc2Ks zG~;y$l5pw0p`$lJY&+vob{8Z9HKFk@EP|q15B|`qIg$y@@(+Y+*88~IgM3w0_z=7g z2Mhbv63*7Gs2F{5QbmfEI;R|9(USpd(Wx%-zQi2w?O!jDK!mpd?0RQ25k+(J)K#nW zsn7~_)8t*RwBcopua`fviQaX2s%UJaQes`f-Fx4ll}FiPeEOANHh1**bWZgG=Kj96rGVVu9VLe2I*sNTea<hQD026sxuS7{z^FAmP|!dazfpYv3^rct@BQ;tSwv!Y^uthzP`z`xi@<@o4sV z3Ca9bRZKNSKtw;Cz7x%E^hC$Q`fWSdff;jcGV^(GQYN!>*FLcNrdJLGt4|z#gc_zs zt}oq8e4@7H33i9*1H@=*-cD*Ru}K|0v$*DqS=N*g;RU?aBfqL9oP1JxVY`MhqgKrf zHUM#R(BT)t2YOJfPKHO&%S_=$%H&bR8LX>%G=S6E&t%fz$0h(7)uQj z0|vitwqw74&vE3oBB|l$+e9rg-BZCjQ>x5IliS1FyhPRg)J|rxw#(~t-2X{=W%#?{ zMOPn<0*Q=_cK0K4>Fq_B7b(^>m>o?F?d3x>No_1!dzRopG-LV&WxOo$23Jm=xr-{k zWQkAVk2QNqNCQhpHDlT~#KOSBQMv>E4XZ2J@+>yc_*#M^dXoh6D^8{=IKyYiR5Elo zXBwBEnCNugRQ^sJdM){=xKQd%yuLz+I~=2J@^P%n_jQSe6<4<+m{jX{9tWD_EFli$lS5f}cG>VhNsg~jB0pfFso6p{ z+GtRMQdvSa1BpOB^@_lzd<1eZoV(lX+lnOuVeAR1X56a@>6N&1+L@AZTtgBa9OdHq z<|b1jH_we|B$Vj!TLo#W>E)?muhDwB5jE! z)r6JPQs5J$N|=uD*8_?Hp2O2wRbYT?IVZQ<2pw55D>)ITIF{Zjxwvd-&d+tM|pJ zF`168u<6a{s4*to%1#j0^Dqy6ShtGO~JCr}WYL2Pg&Z3D*qz-Y{QDubn7AI^0 zNnruD%Lr2PDtP|ABkBM1M?^}lB?-z>l=jU?tXl11(Vf*aMQtd&GeVbfqm0cF z!K({*5uyB!cKuPBUKj~Y*IsFWur1T35m3t!A;F{CG*?hdkZeS?oOlK$HvQ&KyEWTN zYc%0Y_&F^7y7k~!PUU-BKe(eHgQZv+#P_;sw|lT+#Dq=a$1f1ss$^1ThXPi8NhP$v z&FxZFhU;56cttMV!@MiKPEz3yNsm3s`2m#qU3O=?QQ&YRW=GCT8`!%rpIUbXz+T~Z zg&=w}`qSq?fhTx%awu6X9au4`6CZ_Aj-R$LfIhYM&^_-*h>3j`vY!^JEz)tW9;V5F z++Bq%wT;38`qw%of7(STY)&wHAk*V zHWEv59N;Yq0*FgKXHg>;nBfGjVu7FiRKh`N_P7a{L%#D$T{f_KkO8jTuE6%;@A)A; zsz(#vu6#cjj`w11gqp6u%W5=N%De2$nDngMcm=2l;9a$GC_a8V`|d|E={xbFZBPqvfA zRT$}UxOzX$5;I>oIQ-2w;}~ssRQ|1mciBNJJ>a;JMLR$Hwv4*$u-LdHeEbAm4Bo#X z8_NYC3{u!t_8lQkA~|VF*s+|?&z4j9c+Jn>pJm_fj@{%bl6BV$_!mZB5|~@QvhN4g z4~)Se2&+6Hm2f!!7V&c(kg*Av5} z;|1)^G)1vL2Z{S5n!O`OQg5c*Yj;;xVHwIR$*$OGsDS}t1`0+%z;gWD>_^a|Y~MU- z@W%k61Ga|b!a`La*d)rN>gz-FGD9h`gY?q9+IJx1Z4)3*8EndfgmSl|4_&wvXB5Ry zZTSpOvy-B|I2wJ=jJ$ZK9lls19nE8tqqM};)$xZqZoAOkaI%=SKqaG^L7iOH z4pm351)aK{c>`BW+pY^GrZ8M*xSI|dUx?-BM(Q0r2k+%>`JFPkG{-Cp#chRkLTb|m z-#AevOfGj`HFIQIlo^|kZqS-gX4Y=g`g`0ZRS>_mnC!fAF*=TXM7Vtg4EM3&nHIZ^ zuO@?X_;(B+o%G^Tf36;dbl7ap34ureBgXapgeAxPr*bKvM8)$Inl0Hs zoe3NTr5doupSrA}R)%v8)3?Q(YPt(`df?uFQ7{s`?ep${EEReXa;?$;M%c`z zoE-(P?|pwI9)3npR=_)K!unK=aEcVopZ@_lGA%tyUiS;NrA|UJuYZK9bx=X_8lA9; z`p3=vhx98IWL6v*f~vWb8>J{L+v~n~m=>o6!FOIP`^o8Z(X_nm+WM`~Bf;JDO?V+; z;P@jXS7FXhC^k`4_DwFz|@uB6C?+Z?N)RS(Gp+3Wu)oh z9Q(tG`LXQCmE@g|UE9vJh{a-@dsZfWST_5f7a(LiMTEh>6xv9pS@|TsAG5{Tr#5*QP!(=nfTPpDWf}Yn^h`qn+|7r#<>ASyE8ranvI$bD z_tI|>)H%&VM~|(Gp)_gKZ5yAtjLaOW&F<j?4Z5{oZuBxVwE!P;#omu)=oHP@S2p)FO^$Ky_;9G(htHzx?HJdoM zp1z>yiU_K}MmI)zkH+UW2sWtEv7ZL^M+!n2YKB6kbP%MTvI@pqQScs_rJ-;-XS&u- z0F0vcDcqRUzhTn{hz(~AY5RIog;+3tX=tP3(;X9Ol#GBftA7rqm4?`tw0p=0 z{zdMACrT;b#KRAZf^7o8REk(-tJpBQ%k9sdzjtQ*V|yg>=eR}re7(!K1F`;QFIHd{ zmn!qSI|TXh*IeLz!k-|t$O)H`H6PnW$>5LT3BW}b>WQ1^I{(bHN$m*686I})kj(u) zW2@s(9^3rD4jp)l><}g-dF^o@r1r7&(Gyw|tBX7RYTE>V6@Rni+mHtckK&?2xpmX* zfeB38IrZ41Vk(4A6E=7 z^Ls635qh7s8ISzS$|-;;uRARV6Zx*#z^G}_R$T5H6Gb9`O3|648I1E?02pB_=HEb$ zyY%%+50EPIC1ikBWQkuT##Jz(mf|2sF8KnW)i_xk0v-VZ=0j^%QsPKJne`BqP+R{V zoW#-RNAx)NLzKWIZssLQ1~C|h2zOmr(!ahs_wN*hpkm0_kbyB7x%eo9bzmjL2Mhao zdVc%>A1oSB()@g3^Qc(|cA-B+C|LI{u7g83QhE=-LPS^}2-zCV zL7At?N9hTJ+uSo^ew%>S;4-&C=qtMPs-vLeM|cfPSs~cKU=A*(*$F-ZNfMsuCbJ<6SBOFNpKM%UOIM6?^8;@I|FRgA|=zS zd+^CSiSLW>&D1~ntDE;)iNoUSZfJO;n{DS$oP!)lKZS*Biu?ECS!}8J3m<(gT)>(V zKD*&L;4lWTrbtNEGkAH8*_GEP*I^~uuXkMZ!`s)C#D|YoQy=a7A}ML<@4Zikyb|-U z{;i_W_ag?_g01yjJ&g_+7XHM^w#}g1!v0U}50{~u$xB00DTa?tfO?nY5NAQM(_h?8 z?`#aS7~;J?YG}7(Rq@n+WmlRHTJGii#Q~Nnj5&T+;b+-0b;1d>K%oq zitqEiFI<81jM=A=91OpKXhuwc;xlO129kN-N5 zw5sdFO^4+HHDowc(t@}sz2d*N>N}0b@rwt)eh6j1M0<)-Zb*@``b1kYcx1;peRoJA+Wxd9x-h?hC zUj=j~0CkUHwK|%NIYWQu?2`fw7_zhI&n|^zpE{u9;r-MUyMx)ee36Bz-xmunIZ!=x zf&-~#3f7}k82lkJP3E=N;8K73fbGMl{|&s%fh?5c-6`ehrKb@dvgBD;DnY&3V0E;2 zxdjg^Igwf3@U}yUs0F+x$#;Roiy$2k9+qC1>a-`Jqa(ZDXrdya&5uQR;6^8^Boo$G z`e^#V#7f#zY$5`~zUsgCv;^e@7{2$_RmQ_sTVxrLSZ=Cax$}%k^0&&vSV-yXX1wx4 zNuU@y;Ugc%2Z}3=QyC@pQ%LOFL%4lQX^^wJ8^GmU{cgxy%w39d?Tb-!|)UB3(!o2$d9Mjkc_#dXFo68lg^LkCHaIi&L|j7UT_2 zd}R7Att~##h|_&k+M`Vbc(;3|P2g^MBWX_}e$brMMAkFsqwEPj4Ru9bWfNjRKk z3mfh1YxD<){fU0_;+9rvsQLfl(rlQaLOyK!LWG{QVZeDvMMdo!$BQaux)!Yev zP}fs@R~<*hT5M8Lr>>MIcl3UWP>l$5`6tcV9)^K2c`I7sU<0`t?k!s@IaN8rL=OO? z=D|T4k?6L8x~vkX*+@DOm3m;9e+S{SR|@}3HRT)5t$^_)lzsYkaY}gBHAIGUy_tS{ zUH_m1M~;j1q;%T8?ZoYN7em6Ch!CmOR?J)diCs2|fX1;EvOwm-)C}9qNJo}a>xaCM z$qX>%*^W7zPr;cUOkaob;OVTd-V4`LH`@fSZm$>3*cI4Y_*bBORrDUqC-1A7Z%ofO zd7zBlZ(RG_25ob2TQt)d0xwO*>39eOEr zQb+%Xl5;U6*P&Q_0uk0cR=-Vc)@9OTGHcD}QI^-B7JaJXFm(Meco?L;))lu-ev}1? zzMm)uTO3xM8i%Qj)BU-e*jtmpqK~lu;#k#q(6%PFDfJaL(hc$4;|D@Y`lAd4+~E)} z)S#JOWZlPPT!vrBa@##auUKwiYs-&}rV?aw!;8J}r2Y{NaTSR1T-B z$&WiU3ECgdF0mGQr`F5$lxWlG5@|@sksJW}K&`~|OOI+cs`Y57x137Em!2b&QcUf4 zc?Nz-vJgg~;y{8W8LAJCOGeY$c8)@Ly$Iy%K%$5)4=Ol1fZI@AzZv|i`Yh88%$ldS zL)IO;l08%9I2FVSVmWKfiWc2-dV4-khOU4Lm0^syV)L6m1iG99f(40|0Xn>wv)6_- zDBNSzHzfH4`fxCfg{ix~e7SyS{I~$Uz;vjsX)NB43nCUrOwACR+8SLGLt72*2AA5x z6$a1@U&Srv)?YuHKNPHJWwH5;uDTJPOrRT3!AQK${Y3RLi~RL1$r zh(DHQye{W{<|%>mW?5~O8<^GNlcr3wC&2=yD4*uV^hgDEO(|AW(46JMYDnSv?uTKD z=Tsd^`M3^ceBPO;(ih#QqWD873b+tAi=+_#xyqdBj3lQqjV2s@m^%}>Xl^Z+^eF;ox7P=Px5~G*s^-kIAQQwH*NCKb$WSjFAt0i!VS+-2mex8ufx3q;YPVx|wuLo7^GvByyrfqfu!l@jEbNBZu^s+Q9Qj?Gt%vRfW+LW8_Sl&I{=`2c|$ zaaPt#AkyqjPUAX&wDk>}A6t0e&kneX`NRiw(#MmwCHUc-srBQ~wN1m_1TlZAJj`Zur&*RA6ag6?0>CgGCxjZ)5Cw(4@4$tod7>80=2J4t!X1dRYKFoAo2G zaGVFyIG@L8UX#8UKY~l4F$>mp^T0UYjEY zVR2dzWlJ#JOvjJnaL6Vovu;>@(V;tJ;cD)hk}NX@JWw!kH#fQ1<&LHehI46Mu;j)( z6mdM99)2_NxAZM##x~vn>23#+PihEpdwasl4NzKaVyawMLR#5e%Ca(f_L0TJbhze+ z0k$4t=XWKsgyiXAQi#;Ua$e7?P~c{rFGKj}=w`o@baMKlW>iy)?^Q}Il@?y-$+MCw zl9?B~HK-^y9W@tRmkkjIjGNhgxcM@G0s!PpQ&V>GTxq*1$@ZiEUtT&Y+ zW7THT57+I++~Oxa6VnJ|hQy@K%RkDFY;l!Gv>ip^K8K!lrrH@?r*^L8{0Nne+A|@z z2`z7kS=G(bqvi6!XKJ^07tLPq42^P=nKt<^fq=;;N0=!2g^W*-)ut)Bcf#RKFwqSI zo3RfQlyV%TLYg!yy*KP*>V6sEUEdy2yaty`VE*wu+VxXVf*fTzZM@S-U6R^l7dQLq4)5lPHH8gm>HiRYo;4-M-C@zJO<}!sd{*d9=2@8U*>AH~=pkAa zjB{uyU)Ld<9R?jGNB+o;c6xlC4`3ihA{#Hzu5rubluY-r}N4N@H-Z%1)Ng z%IiPOp;E>>xsH$~Rc@!gl=`YDs~wpIKbfGop0?(1UYK|#92;V0P9Fg=MX*{Rx??A} z)%5^}uMhR+tK31ATGhUSe8s;;w(s=Z2R~pi=N94 z&3#;?*KWVw@~G8=>0zT6;G-C(rn^NMgjVkB(yu9Y&p%Nk!L8COiYcJa_8{J~SX7!S zfnOa9EQh51HPlnPbyAN8LzhA9EvR@8?}0j75-=C@2caB{&ZLg_vM6U1upSnsY$1Oc zUf>ClSrvBYyE++jhXT~>?gp5E!$QfELE>zSF8gDqJ({Zh34-@0xwk8r)UtI^bOI1q z5Qh>X7a$hm1^V#CiU?Qv6T_j>cAyrqF8Kr zRxvn5LLeX@AZB4OFd!fxAT>2JFyv>S8XWII&knv1aM?E($8yUQvHQv5faKkuC3d2@ zE8`!NnON_UJvn{iw#2Zn_;($#oJ5JZHN9+sUJ3FlFDAKutU$D|xuuw^sa#?2u~%4E z6RuTnEG!<2^^t&zn&a_HFFnmzy_g3tF_cZoVUp`V=h$M96l4)0Fz25B?;g4F$>-~J zROIBpb8r2aCC3IX!mX>vVBZ?wZRyN}F*|wQ`)Gq@hA#Whb;?|G@x71@Yo|0!#=Mf{ zU3EUB4q~f;&+g~gObK%89&P(h=nab)CEb`k7h4Fm_y*67sf2Y_R1Xgmb?YR=CX+YS zsUbY2!Dj1J+3CHOlI!;?k|K;8>y}vQywJ0OSj5DdJpcirmW6PzM$0q(jd`~~yd@>( zG=0;_c3dKXh3LkF4(qastbelS5?$U z&j^omH-nmn|E}w1p+3Ff21@!n;uJpo-T2Shdl$MFFfDEJzxcZ}#7p)e=KfEtD`N49 z`*HuN8t;ei3|rw~M)l;QWl$y)`jYkrj6@&0Nve2#+D`;UqoRB6iqR^FBM8c8%+t zd%c3gF~fSdG*~PB1`pf@jC3~jz$IbWpXDBT*poao?77WRAMk5IiTI6W3<3ZCUiyr5 zT8Jci^xC~4Z}soP7UEGez`;fl)l?KbZ|WUUzyJ$eNR>|SlX1)%Up%{p_8UX0I!^i1 zb!R;>kT&PfhcVP4vzKaWK0xI;CV&TF!rE*Xq%#Ji>>z1A8p+z#X#1py+~xDF7=Z<9 zV!5r_&|uc7NMHk$kQXthi{)%gw4dR}x~CVO;^-w*Zcjkzpsr_VAxb1uPE~VpN2a_( zXoYFq6zQ@QfJn;eL$w=slYsKQA^Fs)bL|8>urVB?aNoL0Jh@0P1w6taf7x`=PkfLA zfc7QZYFL(u$#}djH#uf$?bpqI?F@PMzyfl5eg@|k&@QrSroo!6mY%5U(?<)UVK;D48T8v?E&?=2sf_|8kvj?2XDfl)m>6B?Iz%4oK z;k|_;rm#_i|J6m5H*HAvGVB7n=$vMpbRL4TL4l}CwWog=gYXi!Rukd_EC3hFp^^wA zM(HEq8;3%;iDNxC^_qx0n8JOHc8`T7}MPqA-7ZXk8#c63xnA*R-yRt$(cm|D32-vEW4m@(@M*W z`mJpL$TAD|9Hdb+_puJgbCc=uE#`h8BB_U$jw&Pnd6}rcwjM%V3Y=_RsItojHV8Wjpox#w)g0+KhH05G}n!2VT9Il>r3%* z_o<6~t4E`}-1huaYJ)CYGc!X!HfnejZ;AR*0&yCFFA0huh!#0x%MQg9b;CXKZXdl$yLTwr|CLUdF z*sQuQYKa&mo!7lW>U@sAZnFrtMZ0D*b+iMo0M4^%A3pql?A(yX6COovgbNdvm(dU+ zL9i;D8Erq;=qkwT2*II0LyoVAbxR0;a}v;fVgo-@Ha9VeHps}nA@MM@A(cyAeC0)W zjfXgAq6;bm`#OusKDTo9EW=ZU2rKQ5a^%~N%qz@?6LUU;G}Rwrd+@D$jQ~)NqsdTGz#-JxqSS4P5S7CnknY&K15?b4a zgs3fW*ED9c#6qPXC@^#9OYM*#eBrDu9ODcNI;!zE00}_$zkTt(si+uZkfo{`a#w;sC_0+sMU3xQ?_p(|M zt34IB20> z+3~{_Zph}($TA0Hmh(U>(V%)?pZ5p5V4gT)7S|?ArqP#646`>Nx2@Fz8-v;QC2?$ZQ`p5c>_h+47B-3KyaaYIuQ1{+R_Q5nr=vkARb%O=IAYFhIMw$ zkq}#bc)saMIS)QNDxLfloC)8hAyc*87i9fs3?3nw!AO|$xzXbi5G*7Q#V?Gu#M9zo zpNC#;i&`Dl>LHHBmmRn$8C8IXFl;F!P^-)iX;~_@vItvGMy_7z;EKQQr~;CA47?hN z-?RhQT^hP>?j!kae6`*+mJ4fmaY?&1o?|G$bs>i(lKr1|iyfK1(^LH zM(`iAiDGRH_H9SHJ82ZIjdatn0eH=&wXA#Cxn=)76CRYD5M1b@v6X*q+^J?mGC9_t zv1;x*rQ#Y!={eynrN6pc&JacD4F7=ry}|!%+HT9`m2$b8=j3#C z-J5jeWu3^!zg!^N;n&`E8!pWi$Wr&1c+CcN8U0R{si;(rC=19S#EDH#= z)=cL~D%#B@#BFCDz@bF=0TQKU7NKwejpJ{Jr5iQ|8zDLdz+-scZdVA7rBm9$P0Kc? zq7#Zh^vNoUwEk_G6-BQ^UO)2fkr&%nWdDiF$r;;~`}8J}a?m4qsNW%gJbkyFaL7fm zTMi`!{v=4^c^U=QFnOgpCgshQ@;QTQn!rZSpA|rK_$MlCAp0%!%T5{UOTvyPpHYd3 z7Q<}EIV$Cr+>!HanSmLNuMZ&YV3Qn@CE4*eGmz%B z(|+6Z>ysGijOph&Ipq=H18=xe$&Q1Wyqj|l)UfUn)x~*{v z!M`+gSK+s+n7EyVxI)KUkZiKbB`W&3GSa*^czE2j{fdw=1AI`|U=$8etVLInQ?7zN zPk(V!29N>8_q(BXR_zSnZH zeW+o_pFLh8<#trY9%XKdijw{_Kxk|!;G=ytU*L)UVYAOoDt_<&BXJ=MIXon>d#aUfiaQtWMP3VqC?V60KJYI9ejZb{QVAT zbc$dxqU&2P#5+IUItW%Lv+&=1hcuD6-UMIhg*dWb!|N$hdcz}k3b-(Lv87<{n_v}D z(7Q`;OCX-h2ZlF;OyZl)+RI)yKx^lUUS$*y5!li!r0hskzgN9TR?2q^P~V2<@v^FO zQW+IK_%=ogDCTyLvu{f_q#2lqGgO&4J@-rpYRK8-RYgL!TMQQ;=U35iEXx24`>%u~ zn@sA&p5I9+GwjnT-Wn*1rlY)4o6X*qIW`*e1*PqndwAM6zrTo9{2 zBQpollni=Qgsc_}dNbA70bVnSg$eWNG9kW^KZ8JLNFeSs2^gr9wIG>6u{G0k)4ANR9eLmEn73{X z7l@Sw&8Rr>%E9ch`cA>QUX4Vo!z%_a3DTE5npE}0guVEE%Nz*WEl%$sU7s$J& z&VJba-%@kJMZ|L!DvAMg*f7jm;x=}yPuh&G{<`vYluLYj>iI~Prbw;l+ntws+ zW|Y=YNn{>$320Jj7HW7^m#;Te9<{30HW?oe1I1s=N|b6>iK<A%=a&o8P(IMC8SM)?EMdLf*u~mkRAx3m?)iY>=gcSt&K*Rz-04k%w82BjPC-1 zVcweuhmti;-i%;jQD#UN$x_jtpbK+Q1vLj{JTj4?Kv_1`!P!Zji=T0r)qPX^Uk;%B zU_00IWoHWh`#suj z62r_vR!cqycGY~hS0EEDxarWY4qNIt{DD20A+oHpfRHX1bN+a3?l!v?EIUz@P4rdWW#V10iQ>{~iG0gV0); zz5z0+JqfCPgN~2Y_t%MD-p;r#krl>sr`9qUk}z-=1V?N`bW%shSt(7vBHXP^@v;>w z4=C}~=fLQZmJa0dqZ5uXvc=Cp>YhkmH2$|^0afZoRV`5uW^646i3K8p{zoE6%{Zz7 zohFZA;=m8>C)D5?T@y|Dyc(lc&;Uc&f;;uk;NwqqXc7jUsfk@f*lC$P&`~?KqJZ!! zD?~!{lca~9XkL2Xq#&ZaL^O%(8E3G$%Cmhn(`LJzf_of?uqXFj0neP-7)gL~#@o(7 z&~46cfgWJ9wc-+o`q9O=h@=y-pI~PDKT&8F`lx^6>3Pn5#vRR-L|^{L^??tEp9r72 zqwQj%fteOR7ahu0PmelcWf=z*C~R?Ule6jQLnWUx;hhFs;}Bg{X7pV*%Ay$r)yW2q z@1pDlpkZ8}syf2SF<$FkGRZ-@{8LiSQ{+Yn@~N7}Ul(om{T(o--|VHhc5}Tx3|%O=(t3}FrZE@Fs0!kWCb1d9duOB8}R zxKR%dK>~#xieWH%^uh@b%bqOR>6a$|a+Om&B=zHJWhSFpwa$NRhUxU2Z!90mJrxzB z5^5K1Ziq%nN3jig;34Div6&Du*08i@pi<1U59_v9cPC1Tu6WAKnN zeE^j`h2)^z^vY3Qh%eYE#fNkADpM2>4^%#__Z#1-BAC3_E;H~A@JjCq$SEBx=%}`w z#Fv`Uhg4nz0YSRj!zNOBeY@h7gZ`Q!Y|tPQdM9*{Y|H0FCMFI2V>Y1w!*d4g@+8R_?|WxBXPPn<6rd_xBkue52rEw3h1Sk+$9r>>o(~qNVn*;>oC>_#DsmR z-BPE7c2!?zQ~ZF-ZtL+(xpz#2HE#?$`J(ofeHQNJHN%T^saun)z@iXrhieQ|*3Bj2 zC5M0PabrjuwAQ@yPNrn&2bgEjFlP>FJ2lLQfqs;RQ8l7gR@o7Ocv^(4I zF{<;sPX4LZ6|k~V1u+eFX(C7Xkgk;^YE^oD7V$ON5JRc%2|@Lz$ZBkC84znXN7m8~ zNrtF5us69PfY~mxPdFK?3oTB1GjblDB!SpoXP*$VEI5@MYd2285Tsf%C|H7XueNqt zn*@OWxftXX&MB-G3-Sd7hFuX)Hsj{9e!7m6%}bi?Vvp3zJf3u|HBvJwO-L-Fb?py z7oJeri^1bzAUnzOC+X@|vm?92FWC5=vFKcIad8|NkC&3ZM%4G`HWzxJWNs#L5J!IJ z@^kTs(6pex293g|rg+Y%q5h6R(?yk($@02kzcvM|$|;os#Abl0f2&%xrzRe2gIMQA zGN6e1;ji$MI+ECfLlH-Qr*)!@Jum?@+w{IZi`7c3tW#Mq^7$M=C?fz$s2+WYf#JUY(ZP|3t?G|XT5#Ei%IXJ$`E-k z8yK7S%#6e^=lKv2_7@~XY+jbx2N_E^B`o6nENzL2qeX1T(KZkjK#?1;+koRfj(pngtwG!<+HyH!fGn?dSHxR5{bD;bUQs zDW8z*McgcCZW_KSP#VgTFd>?X;k2k5VFy99yav5OM)cNUNwny39IxS3qaGeBF7P}X zR)nJ(UtH;lc6(7_AP^9+i8%)taKCS31lxAAo1+b=VXZJ{;86j>UO&4Vv#B=>PM*bx)GE=8*;j&l7w4w#*R2l zL8*deU$t=7Hf+C3J&a+N+6&%k#1e{zU@#mvpE@=q<1da$n+n56LgYwJ4K-10bZVXrYZ|K7gf__^uGc9iuy36 z<-yJkdt;U0aVLqW)CjM1^rL*$JL3On!K?Go)6@Y3zxk1C!981Yz#?4`M>FS0O%WYv z5M*ua8sGTntF)G|)xX2!)l_34_%~u*oRI4Gh%WJ6LbX`OG6vAX;VFPurCy>SEynAS8S6;WGSp!zr~7ryBNHtHar zVJCi4v+%eoO|B{b$8-+fCB6TG$T6?;z&Q*Q3`wa!&V@)rRYf%)l+ZL=`qu6V!Wocw z%Q^8a4xdLem*|>s2K;4E{JBN#3Z1=W#l=I$V7Q0LQ6oh+h4YNwG%b%C)#fq1Nzb9X z-6m_@D76H_jqLL!V77NAb0EnGYL7cWRU`ON_#6FAi!BC8->NDf?DF+*}!Ayj?+XkyN0vc^Ff-uM8g9ygbV(9vN_OyXtrrvAd@O%A=Y^{dz*_pf1(23R}&`S^Vj232RmP zXvo+3-gDo8n7WS{rs_Y8PX?uE_nH?T_z-fMpl`X+Wv*WL+SxA%PJJawncnWjCT{k_{=zX%oG?iQhP5xZQ$(ltd#G&MW)-iBsW_C0OkgnMS2AEu;X z2Gw(3Fc9#1?PIU1+v{?MlW~cPe?-|V z|F2!k%BG1haD~J5ZbiOD!QyS61Eqh=O6TSW0R$?_;q;5Q%8k((TtU>p1tC*ufPnzw zCf6`rozw&8>Y+zslgfE35I07pKMhmZ@^dvYO6d)Cud)-`t7HJ3U#cXpDIGa06`gp+mX zom;QZR|iB_L-}@QI)90t9HD>6nu|lvY!%-32Z(Iy5)8j?G^hNM$;|a{t-2Z0X~Dud zZh~azrtV}B=0A75+yfBv0xDfs33cXpFJV4I4~8|R(cMvfx_1tM83ZXLCjnk#-t&_i zmP$y>dHO2jUG*ujdhX@7M!^cA!Qitpk~3yb&oGCI1)G{2nk;gPlfgvBgT`zksN`FS zR6GdSLyG!A8fi8o2GBEd+rbrl>1)Xdv;DUY4gG^AB=9jaHZqkoHR@(B&-N(xh19UMHM)NcM zf_ONmVAA|yABiY^FF%e5bg>G1k5d%b0TlJ0gteb745U$M@VIfz6pDkd6Y3eRw>VE5 zCf>AsLS)RoE^t6IKO8y|?+3?f_M%b#^hVJ!y zL+*T@Xcnu>=(n2Hg}Ep8qIoar$Dw)Vh7uLp%arFbI7ts%opkHDV?u@Oryz$_)#&=y zIKr&*TQ5+eDk34J{sn$-T$ENXB@)`UQdF>=$YfUZZc41Zp zot)t>)Ay>+OS>{+F@&S2&(abMGkX0cNX3!-%!XX76@TL*E9xfpwoD9Xc_LO8!!~`< zc5f(OP-o}!nRTnP%<`c|xR}9C0Gn_~`!lr%(x}2)d{mQD8D&wKT{4Nq_<@;#4^WI2 zu8o9u=!{(%bFRqQ6^&yv34@+B{6=Uj)zAW7<#b1FWmFQ_ekWzWLLev;Q7cS{ zU(kdLPB%LM2hlTCB>C$gPx$Bf><_Aihvtt_Qrzoq8*>k+HxjR?_ls*4Sh zS07uH4yal9-uBp8DW} z6UTCc%qT?XCI*AAd>UQsf0;LSibPGvz@qcLU!H-L{bV=gJuR!ETkmcITW%#KWs;~| zN~1tk_j+f*($27Mjx6f9u<{(I9zjV@0$zUtKOkypC-gHHz8?A>kP&hgg%cJ`ZI8*5 zd2$b1x#*UT%AiujbEXkCN9cl{_{4g=R!cTeX#v2XHLmZyTeLU!3M|b)J#ED-J z*b&hx63TW6rl`J`wr%81?#-7i!<}?&dB_F}LKC_B_(A!;@Wwz5(?5wPM{^;(=!}0N zF`bN$a@*Z(wE7D2G;s-#mG`+Mp1>(Od(&d5RLLev+*Sa}{zwKuQcwp1;ErHHc07Ax zp0?Er?>LBdcDHp?0}O`yGe1ZIIb4nuNX7Kx>84^i{c@2=Fu4}mI^9KAZmfewS{E%$ zXUp!HjAT!xKK-TuQr!7ljil_eU(mxaIGZwjIi?y$andd>VM}ONJQUh^83NUNGxOPc zkrYnlcy+pMA9U|?nYTLRFtEvGMgOG>Bqy>_`8eD2UnKP-n~@z>LI9i0a+Lz%@Ql10&(Cq4^z;fxMO2! zt2-~J{Kq3a*2`JKPl^J@qNdk^g*Pqfg;c$0Onvf^DooYc(zil4BWA1n&^CtyeA0Z@ zmiLq}fu%T|A4cDWwebjeh0fFvUc)neGxM5uN~23vnp=pRY6e8L)DQzbHeRA?qhgUN zn;7=#IXES$)&J~lg=lGZ?%?6@t=(<6e0Mz%QQOK;Uxm@EaX(Uz}nl+??R{JPW(8%lL9~S8nrVgCDIg&P>e1g0BhwXIwe6* z$i@dNVa<~J_UW!CwC+c(RyeuPY^sy726gW-{2o&=gCMu8>2;MhlDi`FX|1Xu0Akqk zl%e9=H3E;-t{dKlp~U8#EW~@vrjsq6`!W;GK)%}GMQBMgai`$T)qL}b3(sSq8@u|e zx|q`SL=gWUoQDXnPO!XBK{72vyrlleAHsy^z!cNRz>r+dtr#~@Ub&7EXGE=>t0KTm6;{2CobtXq3cjyRHwN1FunvRuFn~4Donl=M9|c$B`-=j` zAqxg3cf$(Y8wyY|bj%1tMcHZU=#`h(S==r&?by<2A}Qf=7N;5kSiXr{R%=> z>}+n6?IqD)&$~~D!!&WUR^A~&%?>*1(>=}EC`gA41IW>PsxE<(jR(%8(J?;vgl2v- z>e2?M78W6Jb6N3uw&@W9>YGKyUKL|xlM^(0E!$T=RYX<-;b(}z6d%t!q@4(6$Y>YA z)!zt>0!E*N@9xBX{oaoP+bNR_ltaQt^-7(gA9iCP7pe{nC}z<>ZPR=@X~Mv-j>pL0 zFjKDvyCe5FE_Fy4?QL^IcS?>T^2FpE8tpMg^-NJ&~vv7D)Zeb0PK}F4Suo>JXkM#D+tJXHN z7k|E?kQ1(`hOu$uX;gWv;m|jb`D9Tz$vrHqE`r}?N$${d2RsV)PIk6JD&SXsxgB_X zg-`w&xo~7=ER6e$Ynqo`&B+y+B>vaSBMU<&+J`{AR+%Jt<`n{4tQ!|CCKhck0AUL? zD=9TSO$0A7ufpMyt~uut*A%yURoGZ{o=j81_SR8id{FUiyjfooqC@PGa^4%C+d$8@z1_#Ez#`gb9(I_JY zDrF`8>Y8>2qWQ?p`@Ae`y}bO4&WY-pu5HBSSgZBr=v9q&uG4O-~<# zfN+xIds%SJqxeppnpd{R;!HBa2Ce@m|H#=?9uXLR z**Ubp)8U1d0Ts2op5Jy`W**`W9<}bd456NNFdRfEnDQ<_dpl!K4KsJ3ofbye)F51! zS*CIa1JJ|<)VRMX5+~f!B$1+M8SM#x0SV3qw>?hPV}MCvF#3*=^7}SWQU<$peU8Qi z3-vyxbC^RHsUA3JDr?7<790I|j-Vp3Yp82QX`@||LJdg92%JrYQPt=-!&{(dR|tBE z(dD9oX$1L=7Cy|k1@Zu%Fx|C6ZuKs zD@{zP@+Tn|F*E0{eGw4S9t*pJp6d?C`!_9P4#A>Lc(K)Y=aH(j$odP<8N>;YURky+ zcONw^c_xYjqW$BG^tz=mLKcL}C5eEsLDJ^OvJJuekY5(&@e2vAy=T*;984Z}r$3-< zAeqtCpcGRY)Ork0l_-?4iHR|17F0=+1ITwL6QXE8+vmMU%7xkP}jVm zsHVM8@7=QpU(J~+dZd=&l0(gJ4Z!p*EnP#v!8!JZLR&hsFXbS^&whnL1>(Ft!x=OU z=%14uPW8z;ejD?`fjd}f?hFK0{C}eKICQt9vDnlhTf2&ae%}1 zp0iDj=_*eYTDde|!x=C(>JJ6J0j{Ap$@NG&75k4<>fgpsS{6<(-C+Nom{uD4@zDga zRbd+vY5lh44>yj@O(Ub`uQxQyw7@MxwcfS->-87M1lz2z$Hti9grnEq=U+;F{|=3W zGz5SRoo|?xsxG<7yC9&KBdj0B-(v6H7*~go;OS5A2JO2XIa%=jZp(PV?H*XvdI!k3 zv?2{eI;5Lw4NmG%%V}A?o07D*f=;jTWPDPAwD#L8*r2|+`O>zM>b$OzBb2oukG0%t zz1vBe&^oiJ9p!9VEg9p%PFc|HKFnli&uw9|Eh;aral{?#5|h6M?r963Q{Kk`Ig;$j zm|;OZCHz!#Ba^6kDPl691L@9WYn?;(QQ?j|hFQ6k>jHjKh{0ui7j>Pk^ijojKpPjz zX#15C2{f$1Ux0TnWoSFQe&{&ooi%Mg_wFDhvr_}FJf~8782dmREhnI?X8EUB_5r;} zmTy9dO^Wy)viqgv^}cf+h4;hZJlSzkcJb(E8gi9;m;>`My2o=PkEnk5uG$Nt?i>fS ze8GtPeKRgo>}u$;OUK^g(x45npvSU?e5u0wwyyVge=N9UtH$+Vta%!V-2WDtge{Tr z)ZvEQ6Ln+1@Us7@hMs^W!6=GSExPpn6MVT|0oMcU-K7GxO?mp7c$kMDn-i;xPUhRI ziEA$cwXA^c3%q^q#-(TzvBZH9aJ#KPhA|lSTR%Cgn&Sm0|I+wtP39Sl3co`3MAo-* zQE0Uh%<@&1Ba$#Cye9-Z-Y+mBL4S0y<=?Tyv&-6N8uIDb8BcXVZyGffCOL#4vp>T- z`^@nu)RY1JPO{8m&HRUMwxKX+!e29X{NA`%^8iD9(o=P`qO9!>?AK;S2)fa0SWud1 z?SphVAf?^De}gxiJHGT1*IhltGD%M9m?%Oyct;z3PK!Z9geG&D5<6^0SWOKG#ILOD7F9Gw~wOMp7jkq8a#gI?W0UqMM~9e{;nx0opOMOpcp@%B5G} zMtOVCoIGw&^-GeRWP{y;w%7C!!1%k|=Z6k!xe)uaRTq+O@c6DbZYo z74M?6lE2@&$U^SStqP5elRwc6EZ26=pS}l0CLlwl@#8$P zudNYx&Nd#Gn@$(D_BG0{h&C9k)j^_Z-7Oz^$(VjQT(obWQ`Z?Y$rb^jYUPKR)9Mk? z_Vh`jr5jVWehd4l0gG<|Tr1XY&sY=XB~`lT=!wvicP>o+61BgOA3#?}0Xlqd)v-{m zO<2^wU9}SaF4OVa_kGyS@jSGXJh|=KKoz6Q;w0+SPRYfv7Ko7#H-s3e=CMRYR+rd|LR6IJ@3s-1(mf0u?(Ig*8HDkBHb7y8Kbu?rmF7w zsO+IRSa&dWBHor4-vnsq57(vwTh}b5SiXCnZZG%FTTv0$aJqZv9TI@kHl)5^kf8*g z)HYaBX7C<{%}dX_--|NfO-?kJ3i}%F)fz^^S7duc!y`fv9^G!9=Zgfi20~~H1M07= z25#vY=uhQzEd7>EqOkV9rVe^hwFMC=F0_%CkJsRKs?C;uCnJ=hY%-wiou1~=F20we zc_5{JzUXQt2z@ir$m#yL*ZJG|Ps2w_yBH;x$7kU}$A{8*XkM-mN6?Ri9lC^UHuOJ;k-w1_Ns}i% z0)d#ZcrQ7SU2ik$$AeuHF@U?rmv{=w%MK99VT1o7h31u*=c#AaKm2%cgD|nTc%_xq?Z)UCArrydk#AaiQB2XGp|1oxLwd`xwEYT+-z6&2a?F(dIHG@CA2! zl3PmjyPe1I$0|i)%C~1aHz&xpFWTzxzZnG%R_MTUt20u&*P&Nv+on`hDHd^^KCU!s zk@MOygQ}9o-&Z=!l*u=-OR$YKa$Kuf2+yPah@iRA3^GT8;vhGUSs9r@K|F&@bT9$4 zUkNiEC!n>3bSJ8Djh3Hzvyi&h9a}&x4kI`Ib{*vk>OaVz4b*~P9s}{_4DgqYqgAA> z^+Vn@Hk65Ot0v#LY5MnfSQVW3tq7mT5K=W>ogTFA8ln%+mA;-$WO)Q>-xtKSCCarR zJN5z2)9GpR!tnxzE7`9H)c)Fh{;s908CG+IW|0%@!fPKa=41gx6xi@B=HFNkxoYQu z;Va&uW^ZCARuRKP>>96*#mdW(H8^ga6)^i!f@c~VR`##J<|O1 z;vnxjK?(wpT6>I2jVF|oRhYvp3bI~zj>w%44!_8QgKqSOha)4g<5;%RX7_VW{d;fs zRBajy)8CmX{Zi7fE~qBb%UeR_Cx3tH@&jQVo2hbd`Co*=08l`$ze6G{y21djqhcmM z$Zi3|ND-OPr$m(VkF%A(>LS!(l?rAQk{=QJ5*FY%!2SgmyxQetU2%j@zk00b1 zWgPuAb>_JDDf9RbXglWMKtghTPtN3U>60V%yna=&+1-#-Yr*fZ$G(id@7V$e2iZLD z|C_bYBg=$XT0c}JW8}@nv*vWej-EbgXlIw<-1dH(Vc}?b*%zm7@~#3hVhBTjZ^>iZ z0ucslX`{4jLDka^zDK<1-?9*X?<@gPmM6d2kT$m*o~kSPd!_(vTSyenldq9*vhYZe z&w8X0Xc5FY*8s7?R;osF8gi&9*uh+HEO;#=bA1NSZ4m9v<7a=UX)9!L6j{NogjtIB+j^mcAjK;hCP2_a_gfE+kPx zgUC6}Bmx+ck7-iCw=^@i3&)j4!JcB>nw|IpdgM=hTD&=jgkX#ci;^c!*$T2Qo|;3FHB4FU-GR>8}=)lPU7&a-#wrdXo^b+7`V$LfJ~ zYmI~0M%KqVnC5*_p>{)993ACDa(Z{`pVMrUZ-bF`m?B|MXss3Ox04j(&lz9qMzAZ} z=zxCu2SL6!i?u(a?&{s-O?tAqF!AMNeM(C7PALuoX4KuPrPk}6XSnOKE5OcJyFP&W zFj{;u2Hkz8W$CxV#yOsO3)-Ecwz)Sat<)^*X-frgCjW!HQwBE1#FVQ`ZcMX+v|^%ScMpCMiJ^Z;}9b` zYT)v``0*qow^GsntBKY06)i)SmJ6rEx@(StjMnfLZC4)IcI<;R)T4(tiF5roj-MDG zL?H;7u>5k|sa=|aKzaddphBvA%+)b*_aj35VXysEEnA2#WP}h#Z|p9!Mo`X_4F;Gv z;Bpa4h*u;~z9{T91Z^Rj#dK|phl`cR0i(uP>ZSAC{|qqM-D*M66gX1$SBGGjz+`&j zFsv7))*7wM@@`^7(A7h9^Q-l3-5&eeViB!k;8aznrwc=fGS`8pCF_abKtl^#XlD(x z5|+ohlI2AzpV-yfDQBOjfARq&r+tF*g7 zOE;;w5q;l#9PBI>D!_N1akW^S7pMCW5?4dMRqXjFz8fDc`n;2R2v{9*eR5qgcz2vO z8DNt;#UWs}%sowihEK_wgbxV@8T@DoYqrgy%_2YS+)8?yml>EeQxj2bY^eEv!Dh=i z5g~PfX8v8DlRjpTUxbRvS3eGedl}W$ps+X-F>d?KRsQ2t4Nf-spH6Z1=V~10 zm1bE~hOn{F4woR~P!9Ng4By0pX3fb>sXADXwpc3oOB! z#OIK$`vH!oJzBMjcC6fRiO}9!f`XEE}7|jU8$1X0wSoou2N!4$@Nj zw0K&4tS44{q>lrN*gFr0B)85mT557G;B{Sz+3^%^a6`zYtu*2nbK}g_jM2i^0!1v& z`k!mO8pnm*w61Ck>`8r#=+=bmz_Q*BF?A!~>aBj)Qj|07nN#SUq9Fk$z`qGhGp=1Txri>X4*I}&I|OP+E6I|R(vD$Ra1 zy8lLceWBU5mekcY&6niTUyyKxsHB5$=8{beD8ApSor;GVz>3rq#)szNVCF2qJJb?i z^9}3R_IB;2Rt%97Q7v3o{3BmvE?vWbN+N%NZ7*F^h_s*vD0nuzLln-U!KF$x@Ml+- zyTkW?9^l>0_)SX-5G(UrC~NVl>PQplUlT3ATzR<;PB#%F2gpvTxe+R%T9$YW*HNlG z`}LD%u~Rm1g&x9+CSklT_>+1Jw4rf^i9v#XBA$D8%Y}er|B>#=OtkEfoL*J<|9oji zYRrUSmW#=&ry|9#hLuF>-$)tFk%neou4e`+(_bL-ukYZh<4Um+`IYNNb&T#98Pj<& zc)5@ph!o$9@bM!H!^;jcoeAbxqZf~G_@EDOtFvNHProzSbabgBT3d3iQJ@U;jpu)RZhCO-8 zn7HU~r>w?-y8zfVKyM0T9No;|1Qud;%hus@F-cHY;Lc{6Xrd$9!=2Ae6{4Fod^s+9 z?Yj`W08e$(H!{t~lH|3t_Yr)tDV@FU=&*Z4H3;CsmeAcnC94 zye6H9v^59zzct((kFbVxI$SiM8?ha<2n|Z@(pNrB^=49iwLRoHWtiC|L*E@H8{_H(fM#72M2!%G>Wx=`=l*!5i!gP z+}`&r?HF$45Td;29|pimQ_w!5qEc8%jxyL0XkxNU9tlOX$_!jxj9u(`kM3hx0hst2 zg`laL(+^!Z17c{rj(WcDg9X*mBAlyJE|yerJB7meqd2$PpZhL}>Tko_jRYCG(cya7 z5Tw+P4pUQ6uN7V9YyP^$nyMCj_@2JoN#X!QX#)eUdG` z%Ev2l@?bkmW}JJvvW+@`-ZR$HL1qfG)|nu&?1z7NcnuNbZLD#cVZhRUWAi?WL$z$$ z$8JtA;Pi`|VX>D=@|Ca=kMUev&eK`>2L)k2q`4t(R*%voF+R%R6pxID;0VcA++nJn zWWM}>j@do+?vv_MzGJr==X$JP6dip3KL>oZL8%Q%EnQ9MNM&Y>E(~~yH6EB3m`RH< zmbhVouRpBH^0uNcR6l~QSqGs2lzy{n7d*pYM~lqu@YyEz)vg^j>h zS5mg8$827!f-kjaYZ*Rxrt0N>xX{5N20{8)0tr1sVUvl;(VvgSLp?VT&nVk&I1~BxZ$TG(6=8;hc8{m>sbA!KRYg?*bXln+y zB;rz$_1k5UV>wo387elGM5El$`+u1}y8TQLYrStkpj;hZz=SGWfaUDkyD}Y-$sR%7 z8sWgoT2u8hWx}@m+}c7X-nM@KE4g+0^KkVoGP0gsbh&TG%^ z&E0Sh;#W5cu)WEpiZ&JbNLQF(K2c2blr~nTonUm)t?@b?6yudLtnDv9Jhh4>%e>9PWg%$V31X0gdBn;rjcd1*%S&gE+V;uCY%+v3 zzVy(fPylbL9$yY0g2W;Y|0}ofjcG%1d!D0#GXUz)QdzKr4g=&<8@T%vNWS z`@$W?r7I*4S;^;Pq$%ywB@q(i_s2|V*|nSN3mmqug(d`x{?<)LYa@-ak-<)VC+lO| zX=1!|69K~4R7<9Iq`5ATo$$jP;2WxLnEUPB z_}swJ7TUIxJ5iKMaR(d>&Z93gmv)42UvN(7HRi&wag)N6so&Q~F}TSi()mQn3W~On z>L5`|p3EKB*}Gp8l244Vl{Mvxu4J;OZe{FT62eyY*Bm*?h|&4%FFI&@m*JuGyHVQ> zA}KQm%Oh$a*&7sf5awE`Tn^1n(3|qiKnT&ReT0tsB(8F&vligO$-v<#6{0qkx6a0@ zo-##}WeFWuzewBx?D2T0>0EPvA>|MR2i8d?oA0r=C@hbYv;4F%JpA!h&bpdUyQ`Jr z>9bn35^;{FJ|Hr3^TeC#9=*@Wl#0lACXRHK-|6OKjY6rOmoG5;8|m_E4{aDr5h0}a zt`an44AoM*ax!pHFm1~F#cU4P1VLGV7K!#w06EOi9X<%mjgMxwC1@VbMQ5OCwD=tV zE7wiwheNxy`!dW-zCZU>ylYt&(ZICThrM`(6 zd5Z}nK@TtaPfSCO5A=>Vn+p_8{#;<9p-^U#az13q3x7~iY?7hYdh-l#k2rg&4u{zl zp2UYVP3fP*yP+W3s9-eZdzZt8m&G-NDZI@O=G29_}9f-AIMQ=2Wr`#ZNL{=ozpRECT&?$7zR=Q zD|fM>upx(hlX~eu(2m%z8LKW*Dh!84 zS-Lg=8bIa06#8YDMUJT^Fno=fKdl{H4l52M0o^df0dmzSXTMrF$vm~teN3oeO%qy; zvyQ%lCTd!$6Tl!Z5R*Xc?Dl6zcD6FB{vHFIc;mp*)smJ-8>g8HfW_cU=+ilf<^AxS8yU%Oq_;9% zp8SqWdac}?cC)iRs+P(!qj(17Z1ngafJ~%an|I|k`%@WUY*jq)W3{NfnQlGvE}Y}m z*N42|hFn^qSxfPF*QK?v$M1`LCMcxlDqbXAVPn9(&Qg$N45?wS98s>O4BJK6EJ#(8 zxTS?dP2E$sF2#~+OCeb&|C16+(LPjVRbqOsL6L(2scjcPjMbw$Hpn(RTVYZ@* zUQ+_3=q5)&K=W7HtK%I^j)WuE-0F65nHfqOfu#O)5TWKfrryiXJFvX(Xegox-4ryr zMopLcqcB-s5UWU`r>|8oWOs&t{{mW;G%V0o~{FC+@!8|a5klq!4k}dhI-$A?4Z`E zYL!6uHsPz=Lp5|$C@+S*ZG<|Nl>+==Keu@lM1Y#|jEoks&ylaM!1F1^>=4EgmKHNu zMD$`Ox#oHesiO*Kkp;|e4uRjaZjmK>YHWeQRp+t-{>6j1W)q-Jqu=`i4!8PP{UdgS za_Gy1$#1zz#U#*J_t#o6S2OC!^=S@$j59$C+nY7Oby7QwZLwLI3jC>baBB~w)BjvJ zgj8(ju+@f(0w>v;v6w(vA6o(TpR;n8ofUO9a70cKjm(kzNHinueQv+za%pIH&qi@g z-QV;_L*&XsGvE{UV^>7WlvDRt`e~nF!%fzFpI>KC(&YL);gKYbI~Ho(ZmI4Ib``V& z1(8ma63|vFZih7-Qf<0z?$6Gp8qS$2JLk9XnOw@7D8VXiJxSRETodnq?i85*41qXueZ(XC!9#8El?>d$InsX>#kw^tZMMFsxaFAZk0%+4Jq{?xqtji19?c+6;qDISfTO(kRiJ+#shR2-p? z{hi=ZDZZ(|VwZr2PoIPZ|1U#M$mp@4Z~qL z=LxPcrt7zMQ0*X%Y2)`mWeA-uG2@N2`Kp6~#C$S1h# zy(&&s5d028=D_VHZWZ6~1!23Vnzf(oMC{S{yz@gI+@vHsmomy;-jCt0L%RS{`}RMP z4Z76z4fZwE!*T@-Pef+(ZBFtEkfQH1LUHPl94LEK+lbKFb|w9S{0eeO+FlWJT5=p8foApj4DhfeL_^4ombAs9|_@>+-V@pKRwDv~?G8`+{h zLHHf%yUz8wwkcFs`srRdJdSloPDe|83B!{I5)>PXy7{3GjPDNe*NUTzE6Oz4P;63I z_B5b8Je}#cGosId7ky29(BLF1jj1DKctBgah@!X9TFiW8`=Z3|VX!F%ANx0X(b^s6 z@`8k|B#FcNzhhIvuyED#o1keTZj3Eb_9$JCPIuw57;C|7;47rPZ?->Td>udaJ4c83 z4$0ocb2S0w&JV&#YmzxkDj7H2y7b(h-^rvC1^EGbyq$VgQ#VJwDJnE3lf-qC9j=37 z2x7*bhH{89j8%`EP1Tt7j-X4FwB#MY#GTGoML;b`9(*^3?-Qx+>gRm7jm3gx*G|6S z3)|yzGt^rOXw1%|2N96;vLVKsX7wT0 zdB$}~6>+y320XKnDBMjCLy6Re`Ln%~5C)0z$!e;jD0*7_XP-oAAN%uN>*hZXI!dmnymLG{G>o!3f%~X-Y5$wTS^4?m5kf= zMY)l}k47s5#`I{z#whn}a;S_O(o!LQ@0K>N>`%Y#g7G4CWLmB-0H+pzCI+wQDe3{_ zGbJkV2^AM}R#$2bkqxU-esciVl6)EYrs|`=cI+ny#sFSqzBffef*WBpj=&}EDaWwE zonWiT8G4SgMz;ckyKIOe9-ws{5KKsu3w7?A8$O`_uq@zDdFqWJd^lO1^y6~b>zwIq zb6Z=CPVeGTsC0oUgSE0YavFRnc0z|Ebb=}HlDHaEB}r-1Rwe^NP(`?0B-Y=1)~vQZ z-65=C71nfyr}w2;EyTvMjla*pv6nUK#z`SHzz)TQtXp6Fo-&l-30R!Be~N9WYy&Ks zWoFgortDDEJTfz_zo4*jt3YDob#eRe#x#AC@+T8O=IZ*I3C3bSP?PMn*eCw_C+O7` ztlVCW64iH%>M!h1O4LtlR^ndA(M0do{35+DkufNI*%#7}2V#T=q+5o=Gf&(?b zC-`)?{hnpsIA&N1kQSH3YV13f3Zj)t$=LSib9p8OVP0f=kNYD$AP32W{X+d-pTkLg|Bt{P)H- zh@s^XD1|H>`2uU>6v3Qs;SDa?O6h$8d&k;FyH=l{QzOsY%;_mq73m)#hVkQ}OZ;r! zW{u?=1 zjBrR^O0!5Z;7}+?I(>CJKsEO8UaR@pXlQb;VcWClfeb&VjN~$ARXDG@bRrrd6$#B0 zHXcQB@Rw_#)-aClB&pm*cS39c+~=Rz^Vwoxta#yIk3}>DMp`LZdp6xi-z~@gm>z-ZOOGeLt!?uw91@?V+SL2@aO zr(+zij4Wgxt{gCzsI4f)lg_-XsAw=esVQww+(FOOoTO_Q=|`m#{~byw`ii=n0^7mB zJ!gyi!Q?cVh)p2T=M#Zky1n;WSz<#hv-qqwnG^dBWalk@f1wM+m1Q6Y`XowBa^j1` z!x)%0{BC6adsR1FJ#6xr{rZJybW~Zv61-**VxxZ-mJuRr6~Rm9 zDr~z9odrz9HJo`T>PXNtparp z5E+jFXT}AcuJ=tRKpSo_rI+N{(5y@IyH#tOHq5cD@`>I{D{zZ!FZy2&lge~X-zQv~ zrt@CzSZsJ!F*rp+ARr(hW??WeARr(hG-5R{E2I#+euTeG=i$EX8MX%W$;Rqv6so`g zjTo_B2}AyZUh`g{RZl%2lEydx9E8vJZ{}9>rt1Bu+%z)xmQebJ>iU&As*g?cSoS9(MO-yohyG3 z+8y^~=w5To`!|TkXV~w;8ZzyCfpmS@LV$6`uMy>gycAknrG_}Nq20>Vh281eB&N#x z*L(B_D+d6qsd138=x8G41$?++FP`rCpjP}XdOmT9AHgJ?`xQxKkJs9bfA!5Ih9}$w zxVpsD+gqHLKc)xo=o*=@7*j0=OPjcr_~)aIOs844=|D|NE$?YNLyCA$q>v(44T0W! z#5iN^F0=pDQmH=Jbi`VBjcBupsTG*GpiE=HKA9B`gzLyrR-ian8eLAAIM2DMI;}yY z#e(@s;@ZNddpmUIYNO@b5)?YacszD0k8I(vJ{-Vq3Kx7{nd&*`NWgxLz6tv59dkI` z!wx_vZ*(k)FTcGDhaPjo*Uy?H>8Ppr6=E2By$*lR_R3A$8aUlk#Zal%o6f%m!M{?Q zzWIxC|IW`OIrOqq`f40>;t%>dYzl^~&z3S`5)RJQ@DjJVE_po#TNy=qsJ=wb}>r7w99eJG2 zj%;?YHkW3yB4p<$-VDc;^RU{!K)YFjpB=MC-&BI?y`f>?wDYUjLn&RV#Qi&n*&b_# zO~l3eo7oH8FQJv(>(@$ym4*!(n;+{`KmsMD`hy-3YnBOInHetk$@1eD5Y_NPt@Zq@z)wQ@0hYG=1-oF7|#^OTNoVJ;MMpqBTz*(SY?O~^E8S7S|Nnrtv_=M_!KTTEke2# zT8_sFVB&JM&ygtaHOL93EW-2X=_zNE0GRn$gT(n~=exTp=;M8Tew*QP%pz5jpCyra zuDzJ@Gmf2U$N=R(9H)oj(L8qAFLs;3yxu=!IpT zscH`ftmz4b^RZj!c~S6Mzx-u8=}ydv-l6RvH1M(WZ?*|$@;KeAoOJK%hLn%rdx3gL zi%+mqD;DGBka~se|GEyWhb@OF2BPz6UvfAIScOMMzMN&hj?$21wrYOqrzo^K9~8SKs}%=wMfjORJ`5qH zpMUyL%6!0y&)X+tuFTlw zl%aRn*T3>xd8hW3nTChpmYjI;TgPv>4Bjrpnc7CAzx;Y z%#3mrH|`Q=4C;t^2b?A}&Pk4Npb*_9SSxU0kb)BBXl*eh#7w}>iPx87j7;*792!78reSRqtxnP+_!k}g?2lnAr(u)3-60;%w*x@qInHni) ztP)&yYIkkYYwA}1JO0A4DP+N+w=DLK+#55?@k?m_XxjUtv}oHeL|**KwZ+LuIylr0 z0c*HVNut<%+d)L@C-*IZ1@c}kvE+ORnH<+HfVjBRYIBzXJxg4H3j~U6#FnIp#bc_n zoocg9(Xh_LnI~G2n@K+wWEfFe3+fOu+>Fe;RG@s@kf0H{6WVxpXDF0xO5G4ai%aBF zQK$I#L2I@8bmgYVs!~PAz6_4@=C^>YKK~{Cx~F65H0h$8ueQv=Nnfe_8V-l;d}m@S z9F;v-)OP4BMQZii@8sCDc$rT6KF*?W8o>6BGN{#Kt7YWLwf>QE0hq32!iWfGRA{^Y zGH~&##R}#FiOMu{px;?8ssN{H$qo@0a)Zi?ka4bm8NFG<^xA|foYP~GY+01o%n*zsTd8%fbE(Xg5e0LMiY1MGa z8YyLGP)O(^II-WL!kO5Hp$;{mFDild`7FQ=ewz@MF(jFtx051#*L9~j%GqG^l$)+F zJtkryf&n$I@20pq4I$2jNp>#${;M-s$$Vj=Vr+U_aD?`|u>a?&14o!_%{%zfd)1!Y zzOI$uh>xnnK`8(G1wl@u_oEm;>m&asdl1fk&BJ0yyV)RC$^2fnFFhH^I7MiMAlqu&I_m!3@s1)kj^5!dVrPfcD>8EYX{~VdR;m(7ROGkHA#{065)J8cJg)7U=oc1IHQq7 zgq9f0;pRYhuslbycN;L44k!Ht7sC@b1r9NyQb%F$ zMCsd4K|`Jo}lpB8Ln%Jn8{g$!peXV)@D(=B2V-U`w1 zw4DrL%)*IOQyvfZUf}^`mUs;{`K6|2tu7uYVnD*uIX&3gAO!TzdZq8^(DSOx^l{S` zvNO3h0o`i->3c?^iHau-wwwHe77fEwbeN;!*Y>l-^sYrFRc&Pc5)opU@mTL)*k1Ae z^9iE)a;|!uE+@?!-vTRcXo`e!p)E&aSOhL>nx+qw--vTWY&thHT4gTrbt8*PT3#q^ z=NpvO!jRR0WNxYel8|v=3brZ$nX~D{o_YFHkLk+(n-;i_I*t5-{5!B378>^!E#t1b zAMnC1>SAEkhFOIX+(ibeDpr`K7k!L3wvR3!$f{lVRfh0%u+`Fw?~vaQsL2DEs@=7(~4hml+gQ$&(Vi@UgALpOs2yzv9iOsAq135oXGfW!G8s96a2 zfyD7CjnbiSRx?#afEt`&Bg>35GdBEH{g1(rxvjGVOYcmyq-X?`kFR4CQ*0!AWA;RV z(!822ra{XHn?D)tA`6g$jW{zP-N+~Qjs~7W!wyGvaz|6QL7*+i-y?q^ubL3)-hNRV zYSUsy-S`E5gT^5-h(j#T_k9~pG(>XglbyG*S{XZV@P!c2>F;BY$M7{A$o`3v#<*QN zJ4)|yV0ffR>Ix~BtQB*F&%~hqe8IcS8s1K0*FkHXUGgSG zHZ-m@Lh#6wXDXh-8uOXF2|beBt#326P*D$SsHwm%{KYMDFBz3mM%*I-8u@7#yai7s zgx-rPiffLmTcsY0Iip&w$Zb~3C6Z^+|R2fO`@ zG4YXVr$s#uH%?nc9Yxk8?P5kQ2GSDLw(ZJ*iC_bhuSokG!nxR-Kiv{%E=~Gc(br>7 zB@-f$Uy36=|D*chBn=C@bYxljBzr46kLU7BF@eLaf0l7yoO{gt@l> z>6ic%adKNSrYA!RGF8qNv-{sGKQ7tuTkorjiu|)522{lVzj`n z@L)5i)>t2E!w9TE+2V>y|Ltp82-ym%PVQ7eaMSPp*BOBS6&kt?Vu9ja);5rV&Cnw# zrR6uoeWvP**NC=MQL&SRBjIcb-^$@K-tBQ)ax&t*c*!o&vtc^oT;}F0ux&vAmGv>A z5x`Z)8nNAj*}>FgNWWI%F1!jMfj$?!<|FFLmllV2&5CiyG{c=4^wCnn`1tFSh|QxA zz2~KY^myh=nCf3iZ@kd>}c_;w}$&|!v@tHHUrLWmr4= z*1%Z;V0%Z4Sf%{(kueX;T{aeUEq=<_NN3b_G4}_#_PJGQ?jWbm2#yZ&VH2I(1llE3 zlo%bwSiHZqYTN^hc#2$BNa3AN1wY)p9)n81Mz8Trs&%8?c@2MlLj2JYKwY;=v4W(a z4B}-Go0d|?)h4CH&iAlmM}Kjtx8P~2bQ-N4mRX~Fl%-Zd%V6@+sDX05@asS-rL?~g z!DAesQ!95-f2ja11;NsCV2og-g7M}FX>6@Hb}+eS|5FOhY2`SPP_JaFGs}C586hS0 zDAM?~u2i^E`bWYHOJWA>86zpED4hyV+fQFGaPHk#%T2Px8$1qrJP%7mZhPG03yY<9 z3UT&w)E@PYZ_b=?QCM*v9v}kE4ROj}2~D>&st}Z0Y=9d@hp$E8$!nj2`Gh=kf|*nu z$V_-*zbhL^3rbh>`bJGuqsn)^qa3IA@>+l>^9fT_VL~}RCkF(%K)}mv2pP%j++Bta zc$Y6bhhlN-v-Nvq-uV9i__>t03Rb`2yiDVr&pZ)$a^zz(%PQQ1Mw;7b1hgXeXDOt< zDp|nA9%%oUJxR&5AVtrHYIAI7EG6W-N`#z=(zvIEh^vMP>|+*CK@~&{W$x{4B%`@X za7w(*Y3}tEC`Kv@Oy$dD>?}edm%tkrq}}EK&Vpzy)IQGuVz-+sb~D2k>CsdD9O#rp zofyJ_%E>#z5dPiSLA*7RyNmXhWL!${p;Ysqa{wszn?$xb@|^c-TbNITmN(8Fa!VHU z3Cqnfds)YYHP~ul-05(IY$DUVg_4R$_1GRg{teb9y$ZE=SV4T&p*(9OSNB z>Q~^RC_nzc$HOTgpi`PzdVl@Rbkl9;#CYq2FrC(&FGF)em*`ht1fBo2fOgI&OLE;X zya{g~o*f^qysf8_3jb>PuSOgc(FeEf6Vk)=I1xkBnVE5w%>*L=>K@&A0V_)JnwFow z%V8j7b*2;fA+gF_{6Hrd=jf7^>8?Zq)lZp3s6vVuyT*c~SewJz)9&{JLtgO)7I9rw zPM9EY7}m@01QmOWs2j>2juwqMjOh${&n;K;Na5teNZJ*q-GoyGHZDxQ5Q)&mWgtTo zP@TUDpE@vZcq*`0g@E@z*)v0`rba!D@wqUzvEfcvY{((c`{L%a zBp2{mM}4#G+QH)C4tLYylfAzw@Mg}hGe+}mFJJ$HmSkD8OgvihgH+(l! zVbU_V(Eo^~_4-Jh$-?GMT`IkplzK0s4wbXo(Vd}DVVw#$jotsB#Mq;+z#e|alb>>V zMvhhk6S_2y{RF6vDE7>gKxD`!^qtBh6`poxg885GmzTjbz#fFnbTTK2y<$Fqrru-$SK0;3 z550~0+i6g=tORwH6Z7sAF+Q8kZ!;J@iUKs&dh!qQc?NrgOG?�`vM5#Rm53I@#` zK~5er!M&5F#vJF}JSr39yiXi1zw(*O{z{Ysx9oDM0Y)orofQTV4!+!~?hC&!a~uZl zKbgHG6YGvy=4F03^uuuZdxU?<2?n?4;1XarWJ=-xLWS|U5U0z#ZG&WMaFF~3?%FW@ zQdt7+nR`z~&?FtfsV*WtYdMrEs+;m|lG90$(~%6lP858KluH&ddskO)%FwsZn5MN2 zs+T+r*ZRd)+oevcjn_`WD8y>UTkV=M0!{D{Rz-!W&T!GCU5ftjx zpq>8DaIYSXk z*KSStvdj5nd4W!&c>P3x>D)qf z3-u)p87E`bnB3rJk|BNEFU9K9p)i9-Az5Du6SxE|@ZZT_FVmeEJv+uavG?#)DkQ?|rH<_U={G*{@P z4}#FdMDjv(&f&O!mG{4go4OR_WLz_cIu-TDbZ^9ukBwyC@ z*@Sa&XMY1U_J_*NW{cHyRgqllxX+^HCxN_{S%;=VtQpizlqSku0Cb=)b$O0T%4F zceT3eJ;h5mof^u-IvCD6Xq!V(*hE?cS$T8OM1f-bYOq)LwD)Q)@jW0We0frV^hcX) zqqz&zngQ2AQaY=mm@`lbQo1Wz%s1AmGjJqtq7-ZB$;c>~d@(`h(WSn57@uT5PMKp1 z3WW_L``?)Y100a+*I3##A)_|thdMT1FymIRQ9Xb^nsh-}r)>GsXd`q*=i%ceyBF$I zO2&i4DtD&rQB)A_%>R+}Z7eVZ09Qa54UcVf|G@lhP<})riaB0lQC?mW}?lMs~r?1V(?3%BpVk_e8Z7H){iSX(q#N z&2MKlqFHw)D!GKE*I%A8%c|>B9rNxhIKyT;xX*mzhBa3^G3ka4ba;1$mU_S1g7fN` zmR28qmqq?1k87#I;633k*TZ-qgqE7cnk4A+s6JIyu*ouE$sYM!*MS@>gZj zh0{1M0!9+lft4fQFnWINI0ySbYn0m zUfrnNPDa3YTqt!*fyQ5O;!)i&_)G($^TtK{P|K(hJYwJE0+LognXY@6=qz%2Ks+oajtcYHY%b80SVV>B2l=X6<{u z3En})A=%aumCb#_sVJP$YMgC3JLk&PfKP>hDK!3!G^OX#aQ_QcR1)BOk-#BKPfZda zID^0R)Y8z}v0X^_KF~UFgc-6VTibMy_VdP#N>J>D^a6K9D;N}Q_N#DW#;~JMuH?)B zUzgWRPLNrGyeF<2bTJ=SDVPy(FuuX3Ts1i?lIdV?w}K8o21UkiYa6dFtHpgoDng8G zU3F-4A^B7WrdcVyUHDtiPjHt=#3nBCl7GAO43 zEV8kEiN%!CX@tb4p?LJSWkCam1kr8`*k8v^wMxp8bX6a$5S;m)8%SaqG zE(SwPR3cFN*A6&{tFt<*@Vf=?%e@DB=XzbM1*YRc5$??syI*oa%z#jRBYOiN3f=2~ zz#ghsibe-yANgsXl)3mv8|=>E;;kSN8Y3^!RZ-$Ky3Kx)Ql&je^nr>J{9}IG*Vdyx z@}t-3^-Dr%gp;%db?;8izy$#-A`-5gY$P;O-^7uQ7(9)3|f_!96E0{`8DKCI-kjnt1c_6#N~KSPom8hxw=nMD3_@xs zF>~S;-qwnt)JoDgx-Qqniw1mDA^pDEMlSq|weG8;p)jz7Fa`&LgXn5u3|1La%pb-3ob1_6`-)EkZp)upw}rf1 zQ=OT)of~XMK%!f3CMLVjk%hI6WAfXENdUHZ7+_CHA(BwcUQRv3(oy)UxCGMoj>=;M z&WBU}sO`ph2hG059(MWDa{ntGx;s9FbJ`3W?z!)}#RA!lB>V@SR!AYHq68FDnx{i4 zVlERnYiPf?`HDN=1FY0Rvxo!+iN-O`DZFE2*A0^oiHo(7%^+3p!=5|4&kWQRW$mCo zDjfbqo;qGd+`(tIGk(|SBuZDntxfQ_e`sW|eVy)=VwF7aXSDg{Lgv$JP)PaOIhR)& zdxyJjW={}qA#+Lcj7l#6;%$+x@+mJ$P>9P#ONGR}umXcsW4i|^OG;6U-4&lil~cD& zmO3r}Mg9SaE~UJdO>QXuSqKd`4lpvYA>pi*GA6bpswEPoZp`r>NV~~~g<^^Fp|2kU zR4kM6xH-dr5KL~kDyUq!$*Swm0Hw0d9$)6{BJ!j*ByZG5BBf{wusT|kBr715-6;AG z#?n}fqXLG=VEa=r?oSSqvaU%93a>_Af7fQST~CofA$*6y1z^d;aw4zcdhrHWgN2Y1 zG#qmu;yq)*r%q2(lBYqCQrMUmrN2^<*S`L+Uf3!U#(@YbXRUri=Z@}pM+2i92kbQ% zF+KB`r!~0(D&FxBhA~!5Gz-+mVlA)b7_b!L-A@+y?A7k*o}lV*VeTD&-~zCaoyHvp zTIkPJ8||etXi|w7l1-TpVEX&Ro8}zw+&!_$zF6dlXmWI6X+1$O_YITlnlptm+JUY0 zU`RDoPEbXDzd7`g!ZZT}7Sp2=O$RUqx^)rxgn$8Fy;dBNW2+v%RXSK{VpiCp_V{;7 zn8!+}4hxEMlH(~6TDBheh%QViNy!}O08PK+*}9hwKC;El-bdp)ebt89Y7P{CuqJGe8PM#M-sbJxWC zzqKIWOzE_ripj|=22Uy-7IdV%nm^J9FsYoZ21)7ZF|WbDs}B)KpC51t79i5E4jEFy zw+v57S7JV^c*6wW>|wH^Y> z>~ci#h@|G=%=s_F4>BIvq#ZG{`pRGTn-ayCQ>?FPBMeLXYp>g-<)B#sdb9@t>~D5b z$k|JmHLinsU4AGZqnV415RexxD2=X}Ak93cml^4@N_f{7xJ~%j}f+8p}0J*@S#$r1HO2+uPCSlHT0| z5bV#8xS|2#BG1fD zTc+zG!#$;t%7d=-Z3~=Z(XZ^KWTWpL>_~(R*^nUbwzHEbRV{_}kOFUgo?Y!`u?rD% z{x$X@mf8Q(fD-tmR+`AdwbXY!^YCrZe5@#gxC^tB(v#|g>7E}ne96v{ zR1$~I{uYV+%BjZ&FWAJT`>R9NQ3!suHRJZe(7+RWDn$HX6JC3AanG0`oKeV3Pop=kMe()*F^yllzA*$&2q~V%YO`cBW zh@DQIwIq>~X%b$>2H#EZ;kla|UtBBzqX2tyu3Kl``G3`!DwaV&yuLO&PMO0A_oIV# z*NeZ2o~8c$)--UnW`>S=@GX+CMCyH=0zJ%Ov!)+Qgt2kX!z*O5T} zG|r=VVp)Q%p0!NvcM=glg!y^M+B^FzqDW|b>6pLLRAu5_1qQT;G%B;c$|K0)UwVll zsEH}B(>zO_3aFHOB2~aWCk`GWm+bbLsx}#0fCZAB{=+#gQtwD&2==v#zcS!ST=0#) z_>xp3^%JTNF3~B3!XL5lZIh`M-003D$HWn7!c|W>BW}pEQdEl|S-he+A{mU?yuONs zZs&1Kd4dFiB7)2A`Z~Ojv6C9`M|YsWdLLpEF;5$Z7irbdTOM<=nFwu9iL{_Oz!84{ zM%w}JlnOdeVo+z5s2iX9klfOEpZyIN!9k2!YchGMQxBKD;y`iVo@-UnY<9~7unYRv zpoec=-|{+FR=cUWzaxsZJx$+cNP2R1?cgG$Q(H}b%)NQdm2c+X?dx*9bc3MQrzts% zsF5@0FM28q7WN87Uv>82#QSTTIilufSe zjtRjK(DaK%CU0ywPOKp&iiIIkz+`du_7+Bet}4TTSS1?wo-OtTSh#hQiQzCinut_llgkr(b!cUJ?>=6u0oq6VBIz-|IxFnFvv7C2g^Df|A9`U)?^s?pf18O($0-@&a}~R0zt{AE;b)f zW%h{_ntoE*SSpgwsyDj3s4!TYk4Tlb+N7f=2s8uwq2yP68cOl9bGbc!$In z^6m#8{>>R9ST zBl>t~V(SFf&GFWv=_&CG@!g|IK4%KSQqKl3Pu_02g2anl0~n(5B0wz3l(ij#4J}~iYxy?~8>ECVQK@%@Pc@d{ zt4NOUiwSXCrmu?sJ(*P!$d~j0?gisYs)psc3>f)7M6p#~ zkPe|U>2EadNZW&abT9bX49>Uh1&YO_zCLX=#yJ^?nQML#Sq?X-o>wiZ+!6N|ft0yT zJ`rcV4`iq-R00L*W!ZU~hQOJ&ulTBNPB5p4gV*>Ic%xZ0)^9CIfSZI%7zFc9@4W=T zkfK9FidZEJf!^cKWN(*bG4;tKJ>lWaA&+}hsEmY_kR;XhX}E9$L9t3<>#4zw>2K-A zqKyEqW=f^T9xODcVTQt6w;b0;)Y#$wYJm`?ay6zt73IzS&r{)Z>2Osqg`7!G%+P@PS{7S;nqC$^2h3{z^ zh618*;h!V5vY(tXV?0AKCf16Q5ONDVn#y8cqxh(5rp)i?6GVUD3O_dAe{SSAey0(5 zYo-B!cQ*(@moV6p(~QY&KP&G)f3uVTZ~A`ch$$%I&-`U^m6`442}$RL`Qf>O9OI^$ zXTpxWD7ubgY7>I?tfD5tHg2XgrBk|Wy=|_2wp;n&&t;uWoX>$q%Cu<1`@KZtqA1Ln zn#}|;m{t(BA4dqn)-qxSImP7AKqn-}>171rf5ut<0<)~l>YHEP%fCoLT>-j=TD+M0 zdxta8y`w4=`y87aXw-C(A?@&>s63XS!o$7(+&y2K^WQ0?qrm{nxJ?wzRFSPl-h)*1 z-??^H)V-!$?X!CaF~o_s8DvMPc>$w*+So67EPJgjZhJZXPa%&Ql7VO*f;a(&{%p=NJw&QVQ5!gR^-T!wk=QD@<#>YX5y0zCQIHG zzD({?^m0A#M^(d42H=F^J>|-Rq1+KZlqjn=g2Xl{wY2hx0E6S2;I~L)*d1O7Su+cP z#KII9#o&&(RA6p3agZrUfx31F^J(3z(11p)8NDTl?a5l;pFiy9fj@gLDivJs5m-_= zg}0FSO9sMwsp<1EQ8wJRJ1?u-dlLGG7W zEcL4_xMwcrOJ)VfK$(w!am&>SG2G*QSmN@d+17;CRO7kj~FRBfyhvXZ`LG@4mkG2 zs1>tDegy=Nw^2ht_rKh>%6(qc84+*gZIFkJ&w^<3Uy(gbGd!OXwj{U_i}Y-#Iv1*v z^I}QPRTf5-##wRHBWs#VSqK;;@BJ`&2Bdb0DAVA;0(_UT$ zl6YmV(BT6I%{#TSCGpUbpCo)QAme%P{o!i+uA^dmA;7oS<<8^kHY(}8KMfVC^K&b89-aUJ9|eGed{^Of4Fw! zZCcy+(xNWJm~&oU3NU0Rq(?CCs%Bv zOM2sNp!feI+LSYiZ=X6DwE;Hr|WVm z9JA%`#Mw1Hl4lDqAg3u0ZprnIm1T>*vP*h=JlE4Z9>^;VdZC@!RhRj+;sgLi1{bJz zArK|Bi=ghnLrd0~M?lzTTGS@dkw_-dU)xAD)8h`K2y7H zxAE$&BtNj^Lg&PVe{WJu-I|Soa*;PEG9{6o^x6>Kxtdna-M&+=P|+=}o^ZDkuC=|r z7776tbgZzObSAoY0%Jq(Q&S^taX($16;dUZY46pYNFTqXze@Qv7WCCERVIwg;z7@W zkt2wW$uJZdQ?KXSlSb|^3&J{%Y?51*i>2oWzN87|n~62gdIGDR3v)x-(eEJ9TR{^$ zK$NnV1tlTlmU1j}yXZIgMdP#Y{4>EhCXM~$+#iw<2YJ;-)_b5=jm+m#l%m+nt1WZz zDcvWV?KHXZ_l_wDhO2lI^JOvPA?4_gq3BD-cwxJ+Vaa#M6zQh+rcouk>HYX9-d-(M-$*3d|92u+N zM%yps*w7(If^QI_0hbM=hU`vL78ymo;Cm>n>;XozP+pr9%4?t3Z~u3`3JmK_>|Gxb zgCZQpXj`_*9j;f9%88s#sFELOV9%0QV04968x(rhv-VN`*_{4b5iXg10|JycqXyb| zE5dC=*NGo48BC9KZZYMhsuiDRek_Urf!$a4Ee9DHY%mA~U8u_uyi+He3VuwUaiRuc zn8SE|!morWO8`|KutpPxx7Pa zlt{Z>?GPkH<|yI9d1x)tfmr(a(+V~g-E>A|zYh14n!gQov0ygT4{Sh>4YiT1>eH#y z`AmbwXUW^qJ*;tQbJb`4aKKLl&5_=ap$q#2k&p>h!az)dr1>N^==Ms`fl`z7j_N9!1PVf}0nY7*!j}Ml-%;^H*BBkGe4{EP)Wq6DeEdXrYdLBxw zyckEk18p%Vw*yxDPABNi4AZfoLs)*r1oiYK5y4xVRf=|B)jf~Fy>(8Z7d!=WfDmOS zw{3yr3R~5?fcz$MfqvgT?~I@oqrXm&pK}wUg^|d#*phI$_r;7}2|kt>sEBwjA#8%o z4@|kIiAlk+$9kAwpkRt;hJJVivWXr<)mdb)C0wF54$VtCIGduqb3(wJxjbqjAQ0{Ubf^#xRWhwAQL75nNm^ zX~(TKGh$w%rV>^!yQfNgm?(GvVB!@Y&WR?1Q8LF@Ey9K!eQWhGG3Bii$IDlo(cMh& z`^6}xvAq$auaFbGj**cp4~}OZnUWoH<1%{W1~{@QuB|q_C}m5{NcW!u&ToVn-Ax3YU2E)WGjYAKWlwc#*31!{b*qv0kC7?iSKA74%k z2kB|R&E%m^V_cd#;|I(EdLKxZnn;w3Sk1cQUqlqp1A-Z0 zER8q#+h7*EGU%3dTS6e`Whw_hp~~G-OlM?XQcX$(9juPwO^Td=iPMzeyf0YN+<)`{ zHDjCI*9|+M#&p0bJFih<1JxW@@2o{s^kzya zNXr^IgV)iWWFChC<=ofO>E8AdL1HSAw2Io-{SE$jjhxi>)Jw|4;ri|o*mD;S2u*d_ zF4`lDVl@5<7++3m7;#(+@9ao$%(ZgyK{04IOa{n9$=iub(3RP?lYQ(wt!`&GtfR}d zEc9JFv{z??8;MTRo}Z{pA{MDM|MAYgO1H75MmSN{z(ZmMI^F**Y-6^@saEqC2TWAO z^ChYQ7i}B5I*uKmouIYape3`c+-f+olw^?P>N6RsHHS<9WEeJ)|H>(~sRtuFGwUdwuL>in0Gy=3sxu%7=bUk}v7> z*XX{m6U}N6X-~=e9;n#9VCA!I<5zW|+r3qmmlCJ<_}0O22~*Tj3@6kF2!4BwzqThE z(WUE3wr;UESNR2Zg%3~pU$0A|ij{ue>xtWF9odkQriVllIFj4Ijx21Weaf`v-{pp8 zd0(K`fYtjtL!A1YM*ilTAckn2;j_rYb#1CKY!T!edEu;Z1lFe9;#T(D>)$*1+8$Ni z_fi9e8~t{Wzr>$xNv$+SS@qv0vGKG8O*-#!diUa(m$?}c4k%ZCYc+;y`Te`~3ytc6 zUUC-h^xY<4dgufkUnU9Hm5}FF=6uW@@3a zUbjXs(d|#Lnusw4tZTN-P)thxOmyRGDAT+d&=2X}MVYm>KTI({6vB=kaLA@y^Y~0>N^JfdQ8pg>*6pWeOLF z|H%vOb2|a|r9i4o@H zEbc5@G5IKD1CW?Oz8gBss@@Ox^$K{*nd0Wbj8}t0LVjA-fO1C15sVWQsbsy_xW%l^-^t6eEnb4GIOl%x0`l_Efr&115 z^_GfeB&Ul9{V<_S_Y=T}k&d1RWMxys&pRt)bKK)ZB?G~{^zVsppdHWQrDM`XLWDhb z0`CoKx?R*PCkC4D_n*=p+sPo^Vjo_*S2_m~G8RKh54$B*zI(x?uzk8g>Ep>da>#T` zA5@Sa`XI`m5^)1y8kiU1p~ds)#9Hvm!DvS#dCQ+sg2DY2DPu{ zN0Y2|4We)bq+*xHsKgQqevW_KB+2%4@7QYxDcZ+@g5##T{TYynbMv^6B@_pG#rNnC z!{}BZN}eJwG->Ve{Q#5vrMqDF<6@A>(e%vBpyJtd{_>~r{D<^sIKOl$Yd3nBWMAKr z8~+f<|0Q{6K;q~kYD`a_ug17hE3$7qGe;TQ6LC9a0tGJ9Wvdfw@3;bk!hQ>7njC{| zM?MiO^&}DaNuwwOzeL4quQ;3I9besd3!|1+mHjJaHY3=Z6Chba^oC`44Bv`=d;0<$ zg#8ojaej;O!Wz~~5WsYlDdUo6q@cH<3lNBQTPKRHCS=7ks8nnbrlY>wb3k-_W3L7z zBjUBVcJAs^k-q*~oL2{?=B4uEIqQ{uvkH_mF7`GJ=l(8Meo68ES5WvpLC$$~kAXg;D<4{6x z!NO^iQZQpX!LnZQnQd;rI71mUiYhu1 zO$F9i*o_6DBAaai?$WVUQH54wPH++s$2sm~s~rZYfd9xfK(9T8dqgftq%$1=&0yzN zYC@RtD6&ih6_UsMdlP~!j|<&Ku7U67X6K2s!yfvgV?Ogp-c)f2tbS;klymx?8;0)8 z0DTg8|2TrIibg7qkomQpn^}D#wvwtO)+dRAZKfM6!-(?7m-wlcDdy>swbl2@-~KPa zb7P4^HcYXgIvsD-^<>@*Hda|(_%>^)qi}qkH{BPKogzONiBqfc(#{}o_2>@ypz)^2 zbMAp@j2s=~c#^^VqP_gO`O@5IaKW*($3u@0x zhukHqqQZYpB}d)b4~gTAI{L2yEwpd=C3W7UEq8>O z=pRMxIf^`SG)Xnp(`&eATm!#=H)*Y%G0DiE`zGRP*zJr)inrAm(rOD)XKuy5;xIbDk6aZo=#TjzGy--+Kl~U+ZPbk{`I??@ayKi4jRTv<#ZqsVHfUQQ1$3j;F zAuvJ_PMcD*5K6v`$wB^@Ac0}utZUHxO?JePhI*tj zJ*XUt;68f5KD?!vNTR$gK)u(V@(6DN_e&!*r@=S1FTT#mtef*;M zBiUkWNJ1GUa{8u!!}FFG);pvFe+0^=k*Dc&A|YeA*YYDm>I(GQkGcLWk~r3kDn~d3 zl^Q4wl|)Ihk1a&m6jing>{yDfiyN~sW5ySg1*D?k#M4vet0CUjmk-SIkocEGH>OTF zDZ}auAe!0Fxd_pec|bzVCIHIZcHNbHT1f(5)Fu|sT2j+>*WU`&v$?xMJk6UMu`ODc z26E7?4o{g{#v>soSA?`$v{SgPc36vIo6a7;NxN(C*TD#6S5MDc@@=Rh`%}AES>B74 zE)qGOZ(T2Pd=Bg0@s&|s?1BFNqXn)gwecYTbSS)-|Zdzc+SVt?Ky2RLSJcd#GG z!;`bmJ<89px2EuMK}?`>1|;E8oJf~Tj0NJg+wnHf+!;s`HOwqPai;d7w}Sx5JIWvL zN48?7=3HKu;~_KbTeDn!#hHOZo{Ef@UL6B<3f1B|0zftKeU7A3t#P&tCK9@ual#27 zKT_F$H299SV|JjV>M4tj&B*=3Z_X+-~?j}8sCVK4W#zR z?+l*Xc7~LCiQx&N8^vCW9T5hKcqv(Jm#*cZ3PZzFFROE@%J|Z!{FYZdtaWCwbF)Tq zQhs$u=%{WKQN*+}L{`p$jpD*J!wA?|CRCd4OA?Yy>XKt)LVY?Zlo6D!*sc<$(}XOy z%RwLpOTdPMuzVbtD`37B_mt#D0{64KD4GTdEJf~7)4FbFPbu^v1N!N;wiEj1M)nyTgRK#h*$X}6+2U|mvTuEtVeR&hixJD;U?7Mz$m)q zhky^SPy7h!yu2;hln|fDXg>O1oa7!W8gy8qTxw6W9C;uG6(^6shVU@#*3&w`WwO-z z`fS*cu>RIAdDk$kZ)4Aj%Y|xv00ed`58dV1?r>Eo)&ySP{N{p1162aOxx5!= zU<=_>#^ySK?nuhw-NP-I#<*Q2-=Scb_aw1yz6e zqg*spLG1M~gF*R)+4n=*at4s@yl^aB%qZ)#nrysS1KA=vIF=zOU|}zy98^~G4epl> zHRNJI%)8>tJA&jwQD4SfzY!tX5`?#J2+QU|bz%{3|9Cs^(Fj12CNU+`k5wN(M}NcZ z%7+NA*)no3$Q~owZu}KgL?e+FB)Z zhM(|SN1LQiBf*`H%OC%;mAItM3Of9EsGqxGz8&yDT&2X0)X>D~GoWv@ZQmjk%YrvX z-xhMq2t0()_VslCc#tMmFT|e`kQNzSwjgMm_`o-9AFm*;G`<6UvLHdPz z_XRMN8X3U}F#~m8i25|_1~^(xoae<}A}|FnxPlTieQnH2{OO0JTw-vzu}d{XGSlaU zjRYMXq^Uk3mUtBi6?g-3ZT@KGpizWe;(R!1ZD5yuJzWpafgx-7P{hAt6yhy#9q;-!MY zb#+g8cpBFFkj?9K{$wgmQ!WnV0|J+wJ5OQ4!~%{Z9vhmuw~Be$z0=>tOW(L;G4vGy z*Z8oI=>#fit9&_0@AXvYCx=}jDX}!UDco?ul2Q-70^o7c_`>j?!Ql{`q*(=DIN=n# zu_k{%x0kMmVK5bF%GqhQ)2IU{!U$fZK17`BN=-eDv`QT5#G-knTH+;BoM|mB zX*&{|qaT~;c#%srNFE18)#-XD35Fi<%GHY!We|B}Thy4ZH77t^%M;@;OX7(YH)H1pC{rJmOd${dTIFn^>eC`{QPR?Rwrd4$%HtE7A!9q(SA_;treXXhB}uyHBK%Xv7@bInX#@qK%XrxA zS_9g|WwEc-+2*z}jQu-IAO$+ZAB_z=)X6w4nm$|NqS9h|)-x2_z3G@_fKq|eS|_cJ zxVrwfZZzE6+af}!gj!w!0dH%)Pp`3hiTd=G_1UhQ-<04^v{0FB^!0|5xztT)8EIBt zTc!C8oZYa4r+r3tj+)kdxXf)unE8mmVt80=cvdkuMM5ATARuO8Ffbq>ARsh3I50*4 zz&E*%l$1}mQtJo@y}XC^ss|%{=7zsEK*BsVQryD`%_H{O+>Yq4?5}O?$y5iA=Zw!@ z?>Z=KgCh(`ln_Z82}BscrhWM&b?JKM|F|-07e33Qwe&3+SGUl3{jO2JoQ+FkzkFSQ6nZ}B;Quz-(E*R!ttj4{JtpX~ zVE=KNtnI1dlr~9t#Ch^9&{{u8RNo!Iyjy$awb!BgIcvUJ)G(9&|Pv?v2BJAG2V z5VnYt4veG&cAwW2JYThd#!g(NfCj^^Q|Bl`1s@-f(o~WpBpK}C9+eL4KcvU4C%Q8V zkid8UynEm#lpW`qfPPNpW9!m78=%>fcgDe{s@23%r>iN!6(#YRWw?=g8%E~k$>%qp zK~dwrWyoSh5|TzSg>S=M-!k#*?A2Bo9m-+NIvS#-Nm+HFR%sa>WywzNY|%v>Flrux zcAybhBPq4)Zqq0)^4DjPfrh-^9Nt!pbN2F!t!V~BUel204V1mK*IUp}uCF{%0)3Rq zarKBuR1r&zzvRLo6s~MxsOI5So9?GmN5)08up8W(jaA00@ zW!=*<&Ol6u3~Fp}pe(W~luKXdxd!llc9#?^!Ac|EmT>l+zw}+)Xy%dV3Zx&zx~DIX z8;CO6(r*%cV{#YL77N3h@xg&)M@z5Zo_IFX&A{%TsDX zDw>IcmXMK*o18bO&^DN!2xpu`NrWC>$PH7CMy1DLL7^1R=^_|A{Wc6jSaitG!vc0u z8(*E>lEmui%|M0p_r*HX!PU8+pIt}Fpbr0y6~rZ!tet;y+hC+QH|f2dc!i!!h;F@q zOLYAW*&E~1=D}lphhnWUa$WjK0By-UIyLX*Z>=^6L*r$rNXRfLPgw6kY(n@P1&;BY z?x^7#k!m90^SF7#)Axy+&Hv`>t8@F~3|xzw4j)s5_e)OzVs)_uc)Tg>pR6xOt`ABz zBez19>Yzvo)7Q=kJgN+C4W6`8)Ch;U*z{L&&ri@Dszx0U$|pYiMkXdidxmMkvaA@F z0g*ApjJae+KdqOW#q$o0lwOTY61ip4t>2Cggt+z;_SS8i{>{bVrq9#ba(s+gO1Knl zUX7!f{hlkA!XkvDUqeShST(-&MYEA$sxkD?wU*QAN|*R=s>`^F2&2rt@+Qa%a#9cq zd48N+GAv|<-%V?$ek4s|pp*`2zp#6N5vp7S!nJjrARE#W{`HhiiK%i~+l1+Aw?AWm zIZqre-xrPv(j)FZGJE7W&@RNApCZmLn4=GEUC&;aka7T;n6tAupQYZE1!I}~)Uexd zEmE>)Z@^OAQeKb%7WHCE{0C$czwW08C}`WEiBaA{VW25|c0om&>Yl2#(p6^2(q7l>9xRPAv^toNUCf;Ed$8*Ca3!NI) zL%zds!J5kHpM6e&_L4!HD#glMEMA-!-(L+*ZQIEzTjQY@2ZrH{`*N)06 zPg8tIQXs2nu`hyOV(yM(HX0>y$;?}6e~sJMCOmF982HF-ZK23Jg0jM419`*|_A?It zNx2NkuRWNg0Vng=qkOt_Rn|e~3c5w$N660foM5o~zfNxbY6;F}S$QWvD?!U|%AdaF z(ovjhlFI4(SpF*6KYK>*y}FtO6@?f*Mw{cxOM=K2rN%ou7~zJ?Sg?ogkA@o@su8{f z$bh+2nXT0NWT!ci)hIiTtuwc6Qs@~dM^qD~h9rbdws$wF*-c=@ZW=QS3R1~C`$fzq zbxh~|VUEG$4Jr3K1DeEfDTQLkUt)22qKGf%-_nubAc2MEB2S9Q+MvoJRXmT(1?rkz z9Lxod_)cI|4>wx-Go5}##@z`wxs>j(L%Z2ZaaJyj_`uHi-U-)tNJ zzwt~^m0JfSkK|eA7felIM-!0f&(~yA?@80r*xcJg;ka__(`B-*_PkBs+i3nwxd9Yc z(|#R^sdE8UmGc4FOX8FGF>;98%`(vdgACbPXVb(``^E;NOK%BCL{GFlq`PMN{8o(B zNj}%7(;Jpuu3)Ehc@M0ukupRg34`2GwX;o-$%`ml$N&|mo5HqHjhy*$I;BOyDSak@ z6pQ9x(c&uGwK}RA8lzb{k)rQGA!j_+B-%Oz^;(C9y55XLQL4 zvvrDt{|L4E_>_-_A&|6Yp5ZzV{GgZy#teZIjXB`w-rOLgx>4)XT~s!{MeB#wiT|Hn zVx=)1snR*O>j%0~7Rmg6MEEr2y^z`L`x5wFDz@=JFOJxRQy`gDtAn(9R{VfqCT_($>jE&mzM%?L=#s%EkLw z{;G5`Q>7C*i`<#ha`>Df;NZyj9m22EIAkg zQ6QX6BYeXFVA6W1_&YHzmzZE(Wlv*g#8)Q~Lnl^QkBDOA)S$agt(hu{;dgZ0rhkXL zGikHEbDl(p^97Outmw12+(66wHqPio3oh}KNN8gNnH6~L=j}DIsF*EYGzTIgTpJ}=>+`UnDie6 zCTdzk@xWk?YLC`?Ew|e2s-)yvda9;Qs7W|Fv^BBX_(au1K)TpM&dLZc)IYv!GZMue z0I2%~*(D=i6UYcuK-K?tSA|%?zZn^{JCL%zZ|SvM-<7-9R~f4FW#w$V!N8#xf4BAsXc{UG^pQ1ZgiXm>Y zuOjbL=QFlr;{Pwf-ze&F9JF--kQ2gIyDojcu7$H*TVzno9Fh!&%wgfe^I3P*&??A* zuwe%fyo5&cZRwL$jW066m^le_uJA}>HKn0ou7Wwx8`Tc?oEqJ@vpd_UZoWWJvQmD2 zzo>)b2M1&yl~A*sNMv{cz!VuqbTFvAr7zKf1d`aQFVkZL7$HMd*V@rWkt`w*HmCc* zAE4V;%tf|xrvxc9n0R^FvCPs6SEthH+Zo!yG7EOloQ5Q>WlLyd>%3q8@ZSwuxQ%f$ zfZC2z0rZ@8wLX~4kP|l~j*V!NNTLXvz%e6no7kIl*Vcas$i7GaiiMrynImZnDRK;lOH0-N<~f z+NN15>5jrM;O6owgoXt_$RDfv=@2``_~}8VDJPRvVuFu_;LwJ+K932`k&paWFk6ho zji0XoUOgq30o{KsY`{Wz^e#Xdl3`uZ_6eAjvgu}U^u+Vipv}dpJY6J%{Ivd;jkI)F ze`vax`CiMATw;*h&q$|OvdQ@t&MIyL&{wJS>H^i*GbpHNmctWD@%G0qgd|r@QWNP- zrseioFu+mNwyVMv>zRTpU>i1c(})#w+4rb~$q)@VYVPdiEdX+EL;b=|s<1((ym$Z* z>Qda)*I5WFbTGXtZ^m7(_+&0vh?Yp>J2|~G0|iCQH>m`q+`<(MbxvI(bvhC&-2<(o zYQvA>MV<|oN|n-Qq9mM#dWmCfr78@;o83_-bduWnFQxtqQ+&Sb zYs_kx2^-bzCRcN>7jFwfutQv?M7t>tHv}Z26|7Dd<#d-*0Sd`{K zYuf1Q# z=J!b^Kz(0V2EAZMyx;TkLyo;l1$Tw0${~Wg0^C7LJcXNFKz|3N;YA}*$&oQEBXZ+U zLc?}t&26~Rf+^m0_TdFm~CZc2}Z8Ae)^qxcKol(RGA=9CRrW6>pz52UhuD`Ne*7Nt0$_ zOSM(lssW>Hc0*!{yPa>#a6U1)Xb?4c!mydAH$Z7pgw;=OO2`xUL#>zxtg>AsW|;me z5lmU9ETy$i(hZTmgwEM_yctK~`aJl@u5U_gQ|TBdLi58~dm2=LJc4lbQDMU5DrpJ} zHVr|~u+VE#g3$@ZS1US^bxohpfun4#l33rXr|(}n{OT=#UcVkNNcX)*|7{!m?IfbUP`uzpvF>T!9cDB|MVlFH`#jI5kM?9-v6t=yX^mcGx8Ru`xT`pyE zzP9mB$+f>aQ01fBGM~T|T z7$?2Qb@~`TfuccU?fuD5E(PWFjD|hMvt@|bs-dH9kW)+-~7E?_0 zu8b@@m`V|Km2*@XlDd5&cRkrSo~X#d`wD6GTG&0frpNEm?sAz}DNPgCEPPI^^9U^) zs#<5@JQ0%yK~VIZ3|0i};k8_{F@KT1AuiFLFavRq;xh5P;aJ6#Rb%C=EjdAcg3yd;ABpKyt%_(w4ALKB4J8Z*_Jh^%N@!F3Ch!@* z1tjEj>k%dcT>E@p!KQl;y6naL%(pdW$DhEzr-VbKdEFud`$Y`9OI?} z!a3dur)|3GSF6XcPrK~YqltBFZ~Yx~=$;K9{)XcTgNnCMWkm>8twM2f!(|d#DLm(!v)yY9|rh{z%KK~{e;mqYkqz9J#xZfGIlrYd4Y!HQr}qH zVr)c+4T#*KF)V!WR$**;*D}h;TWLG|II(uCB|1}EUv#8X!RZo2_dP~Kpht6Xv_@Cv z3lAM+DKa-2f}ju4Mux@rDlc*=f_Y&e`@t>Z;|OL&nvT)AK!GVlQS-~pZk&09zV!rj zu5l-uQTnK#c!vscdCvOP_>+LK;Qy#1;w6iu2K2&!KIS3s!0 zI7qx;nSs6Dn9szrr%<2qeJrmF;t&tER;2cA-heuaJQfIg;Oo@w9JtWMC$ znLd{?}juMab@SxUQW9=vok{Gj}UuRH1)kuOTfWhH4X~)N^Jo3~} z!xW$tAht=JDo3TF?N0B6#TPG_p|fH~B0I%(b)UTwT=lZUBu(1OrBFHLAj`%eaOFti zE?X1}7$FFOYpfFywlKiv9fXau6K8Z#_2b;B4Nce*d`Z zLL6tww4th7Fjys=FjYB5I%Wz48LJcQ(AyPquEErWxOXDDF2f(|(vsawgBjr&2fM=V zXJjGT<{CfDi-mAre;O$_w6|JWZ`X~UOp79W3Xmtq;D;)k^xZ4-W;{bo{f=2m5SN^8 z>LK^3!QKvF=6E2KP+%ZHm!@y*BWk;;A1fbct|PfyLday%fOGm}^i}r6mZm@C2{QOn zjJ__7ap|7}!>WprevP}S=)?e>y3+#w;KtHg<|rhdu%2$bOt}?bDd*GV;b*$~)8FDO z<(?4-%6_XD5_~!@6i=T~3tZe@cOvH{B-^bW#KD6yv<MFBg@45&ok z2#hjyrCO~d>{}NeRVDl`yn%j8SK=4llqE&uxtT39En+g#p}~RM!B5oen$Y}GwB$YH zYwbV(n8y`S9UXAObahb3<&{{0^_Q_y`*DDNZAk`LP`QOk^7@9KjQH>-2eE&GLING_ zPhYmw4AAyR3UyNEK_POLLs8B86P%gU#>GD~#w%Du+)-MC)QVkfOdL{d^w~F(rrhe0 z)inw6h#Q<(Yxv3#nk3dyZlCo39Nj&;d3%KRMk=5%qb`0=BW_pohc(udY|J^&ir(Ps zXiiRG^W@jLVT&sRC27pVL=3U4#Ouq>V@*!>R?|#VMugG7^4%v*6lO=sXm-!bPj9aga%H9qu}luPKtbwT4mn zu%w?>0Kfp2LMR;h`d)nz5dggV_t$tyeaVs{BfYl~5Sn_*Qo8}D>#nYNkhXG37EV2T zgPULnD0Nx3?o<0c-BY?^L-UtfBpTy;M@)nIY-2`6v3lX?c-X(lPkWuAQL_4Q>~Is= zKda<+Gnc1xdTdN$^lBMNa|ZIRPR-~AVexYl8iwTRkV3krUvTO6>roftE`EV%$y|tN z505m=$x2}uTNS7LksB$zecbf(rMLHw6tdg^b)BB1NpPAKD5ZrAbiCu|G_v&JJI3j@^;{W!QBg&yjXiLrFhVv(;+(>r-@b=Ldl{@p12F1jxu@5-*Mmoj4E!*{XWO-Ezyl`PjlIP2r>2M zVE?0a%y*4gH}q=EOKj)XHr|#8Z8mwTU{bd3xo1V6hC>%f?8R#T0#P8Q7CV9JArhWI zVRN4_m%jrArUB@sH*v>hpQscU*hOMG3;ZgkEQ$XdD{5CDCs9;FKxS90lOz5YZ0 z^=ib`Ss_n~ft0n-@fB5+pZ8x)4J>Z17@ z*0H;gK5nH)9;0H46U8>(Iae@y(#5od_cAzX^ZdF5kroWsOh|IYpbCO=;pq+4r}|HZ zz3FVd*UZsRtQCS%zrw?S1e0;NheR-DFfT!HBo4eN-zy-xn(%_J;AeYJC!~&`UW3~m zz$3b#$Cn1E9L9bv`x+xurJp&n=1J5f`LWQ-9t2-XL3Gu zwxU(!+@oOFL}fP5feDYPY2tW#?dkz!IWXp+Z}-1cYBI?&swB}qG}lD^lHTLOW7BK_ zmR?gahf>QwFHTdfs}Ju7%hr~atTZDl8H$>yzOQ|1Hnmw9c}4#+T?d@!A)FBKOgjm* z^|qNcN2ymvv3tujOJ?)6>i9yigMimW+-{tkZ~;8#E_9IT*P$P8aXJPoB`?y=m%yGSs`7JmREQp6~sMkR|ZZ?if3% zrKnn@^s@ELKgN=~{Omu7^sjX78==O2nn*aT`)RWKyR67)JfW9i50$d@SgMcxQA#JG z^Lu~Btj)@Fhkr;mN@6|a9Dn{oa{c-dJ_DRqt7;v#g2qjD0DJi8*T;O)s|476+lJ< z;vxXL>K@P3l0l;loOgrh)`J+P`>f}Rmim={v1}B@x>|rw5=Zxuc!xxhiPlWsj_)y~ ze8rc9QcpoSoTv<>kb$)r%zOI;0tr0cn@z>CLM?VnH!$R8v`};ZxxPNy1>!h6-Q>1f zM1|)aT6fM#_b-vE+3+{tksx7Cbmn(Vuj7J;ZoE(vHbx}*So4N^+9A`=k;R&p(ukT> z(Nf{R)sQas#1ey?yApUCm(*&iB$3RA8AJ`CgvNqw`9$DCuFLt7b>r7D&HMv*(U>CK z(|`#oE9I*7TuRU*bMb8mg7GYa9b4U9-6%e{GA19E9>tSkV~}*-fnNA zLl*0-*P0mYq|!%`cK?NR?l++O|78iUf(8R5 zWVcM30qq(bz5Ywy4x}7NCxJFhrq>YSHHV%_u0{6#5n}ayGY076oWsW#l`WO<&VL;y z;aOr6=7iC}v|;`lY6fM)zdhHAXHqbY^*D1|{gg8j+PQse$gBz#UY6tJcW;14Sv;f( z^SI~8MhGhwFgYoATUhex@WA8CSBhDq)Q`-8duf1C|m>~8W_aKwtC@YVHv<3M2 z=$IFe%f-^xdSGVumHs51^%J^5$I^T0_WZhcKQZpx(q2hLX=TN#-9iNg8i>epU{?db z7Q998$(bj+Rv~OtsxeYf@j0!Yn(aot9GgJnWPP3XJPCoIB#Px&8FHbi^<*Eeo`?{{ z>^ETAXu8&7KILsSI4y|=#w~7=FWdRN!$slkOfCt8TWG!1id-I(Kxrm+6SSSSL0Ip{ zoo_7oh)N!Ni+6)QtFAF!4doUIu2ZmGz@{kvrb+KO0h5 z8Qn-8(1vd%uGv$Hw-0+@2(O}fub6vY&FI%}->5|o;L;z>Po>|<&t4EI_(}!3vXei9 z=*f1axTY!D#h?)NL|)=6nFazMuUy6gD$mzI3BD8?if=J$kY@0e@D!807)w+FpQ>g! zvv-NJj#N+l_p*J?(>}+ByFSt%*MNEZl31@u6)lp|4A!|8sU4g~b zDd9(oYlOP;*XDtB&@-VVZS437wFS0r5?IliEc#5^fM@03}6JtIrbI}mZHW*$~t%v#1 zHU)N^dwRz8HEhvEyz9@wK6f-O>$?k9ha4Al7Yqi>NtmIv*t+d9I|+q%7+;5QQ&&WD zlSe3IwSk<6eLNSdD-YAxHy_fa|tNo?3x8Kt@`yuc(8-*QV^oNo_Q%H+pu8 zKKd5L2hFQ4@<}v;KPlTnO**GF39aEySZ&Haqc2i5j)&3~uv5S8YCee#=tN$?;gnN> z@re8ht6bwH`Dr6N@A|pt8Jc`CTzh&13@}Fc$hRlErTqA`)Mhn4O((Crk3I)3+J5?+ z?vZ8OnqivVu&5venqn@jLfKP_m;QAdVDq>Wh>gF9@(W^LgQNF=6rLxh2ezs~szny!M2sMx#-Qdq26J)&n)L3j!J>VFk`?RB7uz zDrVx1u1cOy$ot6ism^hJ`4CNq?a@5HIl781{@(QmXaxa1X#3ZS#=R`nI5;}zGrdWs zSDV&k03J~s{}e4Yoe~a=7HgJ)A^pOHAp=<$?~6mo(yp_(RR9`5<-eAnZpE&OAJE6B z#&BZ>*@6Fe*;E|;5UC<%xv$4Ar?xqN}*uAsbJk(`cwjJ zj<2UccMl24`g=zk(23qjv}A`$H(L~b))J`*W}R(@d8Xds6?|fIHb2_3$-@SI<`&gi zcS3k6XV1-Db`+_!%Xt_3*+0#4wGYGb9nQPK^;pb{D$Pii@t*^1dRsC`pX@;@MLJo* z(_ShQViKrVBXQd`FW-cUE$ZU72qrkwFq!FFSQ5VASLEo{;74EoF7M!F!^9|#Pv(~* zDx8p*rH$1jAw!Krg?V6bm~poT6|67m$m67vMGp=`08Rn<1#V2lLB2ZW({^EssN z(_VnGzdiD&-GNF#vVO}k>R~A8CfL-8|6rQfx(D5O@eF|yOK&OiFjuOy8qchc@gD_A zQcR0`hJS^*+AlP&GVd3n>SxSWKk^*q4mhWY=w6XrF=wIp`Aa-m1 za^A&ROp{Aei7(%_SYt&JEL4xyNGxWxKwp)9NthDS1FpZmnI%kw2(DY?ZEYx3TM#)+ zjpo<4JLoTp7)vn%9N!0A0rm4I&Z3eRJieWuf4FgE8_9~@RV(Fp^K)F4+hok_EPtfz zFSe&d;>(b!ak#+?Hod{|et8zQRqL^y2?P|znQv?^`wAX3TF8f<7Yo^({Mv5Oj#Ut| z)=E<`mNGl9GR|c;Hc`A+j*9F(`h};{=fqAfK)>CVJdJ1PCz9?5JLx}rJ@YRzi=(KQ zy)=b>P#3J$}0EQF0Jd1bQU?vctH*)gYPZmc8XFpj^>xbAyf-OCwz< z&US@QBxAOSXd~eq3RZ`F4RCAFKwx~3HuL~q&_9iRj!&~-M8ll;XFKuYqnL&~!t>54 z^VvFtW`GXY#igoh0sK&HJaq-66f4X7HO=}Zu7|Yf2G-r@uG{11WU{dfU_E1ScD!n+ zpeJfo{m2nf3UTVAEc~=?U4FU?_P};JK;`Q4D=-SB)&u=hbIjo;N$LEp0iXkXPbmn& zB=cx4ZE||sEJs1)nx9%Nr%Uc_%Z7Ff>t87ODa`I#IJ&Y~)plYc1{aP4gdU z6w%R!cEAU~{IXGvd}z#!Qt1 zF%!8zo(l9!{hys$vKF?ZRA~&<)5_&|`pcQmLO z>uI!=;|k0gbDV=!sNiccG0HtWwR>FL@|NPn(1P z+s|u@s)zpqI_B+-pEf8fR(s6;!M1M6R9_q{A1}4_$n0NHr|s*Dm7vAA-uoL*eY*(Nj`8l;f3iox;><&0gq zL0cjSHUVf8cQliXUe+B%o7Q*Dq5L)wSFP`7YGE7uZK+&lRwP7IdD|<7mO;rb^BrWA zp_4A9B2?}vTydgLW`jdhEt@I3?6(p{jM*vPz>zQ_!D*T%_y)TQErCHOmoK1WE0Ob+ z0Ns)l8(^S+Ps-h5q*Vt`I8qt3Z|ML0Wk(925!J%chHdVL#~2a<`Sl>5+R4y(?B+?` z9x{L$Nn8231W*_{DoX{(q$ZGo>~(V1sqOd^mmf=#hbF)|CBh$#p*{mAXR{JFh50Ph z9sxkpK0Zr2e9}5ZhgMy1fR1!k3#m4##TZ-D--r<~M#gLC$ZbLPJy|#kV~*siTj4h5 zkDjfDT-7ywAC)@370<2whz4Pk)J>b#4N7Dza?js0U?VzZA{qL6V`H~nDzx|+ICQ1F zzXM61+mIM5JSpk_RG0tly>F*L$_`+8HFBW{P2lB#_qE=&Jh%lVY!7mq9D6J9OG9b~ z4hKZ=iH_D5uzoHwHFJFKtPqffW>J46Q`7aKq8@MkVgGmD_~h@o3ZIY?&aNU1$CtNX zSoMLP1*)0glDnmRT7tKXgxD@(fTcMgrEztW3+#LX^1 ze@k@N5!Wb|(xD@yoI#-hi5s5|;?!p)+yX}PmHNk8S&^FSz0OjEFMTxsoT0q4o$D9f zkf*7HHyHUdAAMkpmc5M*m(M0ioy0|U=+*{fox@j0wF4kX%OJxo0y-3a*OGC9J0%(R z_{dH**Jk}b~c@xi~QIjrBO z9zU@~l(o4CYDXdiU*}2nk1m+r)b8kf(z)7@mJOucaPZzI>ZEIo4A8FTZ| zLXexINJ8 z|EFIM2?5u*@5x-?VIl@KhvseVzl}b60F3Bm`uP=N)>Tcr9W$XweN*_H} znP@v{_L3>YOgQ-kwz>!WQAlX{ow2A)-JX}nWp0$S$M{-?6l(KP1?V2<9~!#9RZW~V zDBCqM6DSovwPESKP^}hYqkejug${?BWo$7lb#5tW1Zc)QA0=l#-=ctfy*32eu^W5c z$%5FX50A4(S|YAPWV8Q84uXx{(B*iVdbaR5P#*2gLUz{ZAI-UGMg@}%>su~W40rOD zH2;aS)Fd0uauXtJ5()S^IrX_Q&!aecY+;q^J76au7+jt*FPNqRqE_)p!Tx@&Ev@1T z3U~p1&UnQFTi`g?Q5^p~3Q;DmEOd6#Ka09sGaG059?l(ziGLGwd<5d83`!UK@*l2( zxpC0YThV7E7B1-FhI*E2=*SgeDu#@l60qtQ(pM})?#~WnQBiBaxLD&0$IPSjD{Qed zT|{p;g>4_c8rrvUJWx~|xFA)nbwN_{PNR#h7w5?$vv+Cq0RtiH%&w84CDP`dB3f`P zC*{*s`iAo3RkB&RLMP|=@v&neh7y(2WO3d++&NC*dNKZYR98`(+d=d9)dp}Qv)zqn z*wFxUn5inBPlZ5uaVOntFf$>?&Jv-fk=CMjMgF&mFM2boH+f;Cy7~O4Qb+?Hg;K|W zl5F|HM}@(j=Hhwk))Cyi>w1gh@vGQfrPjq5`C1Go94f_E`LgH27)gz!TJrW5t+K+b zT#q8d?XP+mMNKSB%{VXwkW4z7tL9(=YlSU$T z@^QYsaGbu#rL~|ubL3i03YlV|>lWkQ63nQN+L{1g3YxTNJyyLeiMQ^!LCMhdT8EGt zL>pW-w&<=^I=qiuT%ZKJMEg2tJ1%?nDf5I2<-YPW6x|jp(8&l|U)*Iivp6wRkyZM2 zz;|^0$41B(8_8N*jHS0e&)xR}xse&Zdh58Vv0hA$|BS4AR=Gcz0BHw}#A||WG@#X(+b$Bgx=?BQn-K;|SN~AU>xCCblJk6T&M*0Ax zN+lMYx|THzZD?j6l6`BN{RDjf=>`SO1+yU{&<-D4M&0F?iSjhJlCGNL^**!NU9pY- zs~d*>;#1mK?0#7v*`^GP@~^D|d6GA9FhG~a0JCO;0+!!0@6D@88x0N@S27QHIHFK9 z(ujuFo*&E$?VSPmzk*Nn?kQJWt)Kq&rf}kTS*`u)-UpQqPj#7S$D=H`)GGosV`plf z6zb;d7p7n8UdVLU`B9anJbA?WZH78qMdztY$2R$UC2KhfhY%tm=X=TB=n43BHj1~h z6#uxRpO-wr+p0o_VSQBi9LbE~Uyt(}V$2g;~rb2(&S1WHq$Y0bpH zwKDh|7PK5yfr!oFIsKOBO?$_p_L&vTIfL74vsxHk9rb1(OF#r|BXO0Z! z@+QfX)?lB~l8{(ngd!?qOkEnBg*jh#A_ca~JP!`9D&=ioQbA>Z`i*Y-ingg*Itot2 z$Z1y~S@cV^V@p<7N&Mlcu%+&{dPIY}(GQBSSTYrRytEe5RRqf!R^T52T#vw~f!9+N z(?{$IK~@n@*ojyZt?UgUr%J~F8%0)K!f)DZ(zCZYC!nHIjXGd<$4i>`RJ8r{c1oEe z8Wy!+53>JhWqx`Vczc4>Y!U*43i-xc%g7Ox{7k+2S-P6EKZoP;g5Fn^5DN{pQgZ79U@o)8g@f1(Z;y-jP5u#C)&(p4$GD)I2uUES7P-;GH@waRn!CEp{yF zCCfEy$YC1}dKl46;Z&p^L7*1bJuJPFBKYl|rV}ibOLht>hcU>g(q?Xu*Fg40Exvya zF7hoFqUpo{>F*&F<0^Q);~~{<%&f&hvcJ?b8#~U3od_R7R$S&*#CEvUzDXkWJ4~uz zAfcb2_5aS?HzMsVdN{Ji^}vs9%6*lao$VwLYrC%PT5b&daXxuA->%i(*1iCW(NBEz zx?WVQsqX#$Mrjr>Rvo8=$0{)2M#V@s&Si~H@6Np%5Cjr$7*Q}<5Ujjvjf!V z;PXW4y62BLg}^W?(6Nz(fWvht)Gj@!0=9H~FZ5emJcP)Fd{-;o^+@6o!u5dAzziOd zXe+%?lHH68f-Sl4u6$UCG6AmSx%B`oK%QiSeUh zIrj}wb5e|PnJiRGMkR7D|B`RK&~zCJDaR<2#^J7|x@c5-5&BU#8(p?Vx6(^?I}^s* z`BVDIG3gwkfWMu!q}%K{KsYv8u9aDlhZMbO>}wD=&s7bVzT9`1frb2R$d*f45WP+= z)Y*wZ%WgD95&FoRt_(c=hxzU#C^rCIrUyP?m zV&-+{sbAm55n5JMq3ie3KBu9Pep%KM-r=SAPRrn^eYUuJvUb$Ab7fW520-Gp$mWyz z5RauEXw$D{^n4SLTd}nh?(4bpFM#d9Jd9ZXIoQfW{@AfV!U@i*53~$Gv*J($rEo=5 zM{~>cq?w9ItE6J8{B{XX4ZHXZpj*}|^$54gg&sS~^1Ek{u2}C4ajtk@yl&Hx(9|X$pSH*|Ok6=-A!#Ztt0=ue}*LFDe zaU>?j(IvD4Rey+A35iQkx;cWg(qQ&jz9Se@yj9NPDFqX<((t7lt;L2rwhD@wmJC$c zaEo-3>4<1JJv}j_NPptUPsuMx=$^LbNQRMK7eK_w`{|)n)EUc9k2y4VrRzx3`jjh7 zc4u1{>Aoqc`uMR6aO0VWpTt>+M2s!)EEnDiiW#z`l`Vs#log_5n>g>{$V;1iBw`QN z1LZ@UW91^L*ZH1!uGc zMZ)1EcbIN2s8Q=Wu3Mh@!>CB5TPpq4H0{lC(PA%SoR!PsmMZan83yDT=ozIGOX4;h>=_had z#D_{FN03rZgUY8ZR6|QEf6##OIIm&Eg@BfJefD)TnkZRE3aKG|#e@64^3*$`bu>P@ za#rOHc(b9$vdBY!NFyK&dT!ZkT)(jYMiOpb!B-!my15^ zR8ThK2Pbn0Moff;l!sD|CWS_M!A!CaJPY5C=N3j`0V)dpe=~kxnt8=5XqSPGkYAZH zYxU%9Z<>)9>S4NY%5dZq>)2K$5+%y;AFYa3`REtb_0yLrNhG#)}SiH z53}jmefPd`p(LnKvC!A*<+byUm}}MCEN+5!km2S@bmi+|Evp<%WoZl~1s^_J9Q}kU zy|-&yUN58*E)6>>OPZ`NKpI8EZuCf+dx;{JS81%;O0`Bp>cW*PALVL7$+cE z- zt6FzH#6PHM`_GcXN~!Azr<=iKd06JVI=K#JDWp~ntc2s2l!YltOvU0!;ZAT=K^ zVE-j^dT_kQmMx@tju@!Sb%~1p&*?l7{CCL%H9`f>zyaO=4(0c1v+3WKk-!i(UH+^s z-72bYHb{oUUZq_9H>vhtBQgTD$8ohfRLz16{CteLM1wa8YKp9hMvVJqY~_x*5qe|; zH=P$M(3kTDs6S}&s0+w3LJZN1u+MHVRHB5x5E|fNZP)SgAU>o3F`lqCCM2L320z2f ztQSr8AcPJs`ojH^2^x2=P5ss#VCPI$krxx6n(p{@H8tE1WehtY39o5e^qB{-ngNx=Ig8E)Dz>ECT}%e; zIs>a?F-#jCi^>N?`pM25Sw*KP2zy3Hh+~?fgsoIp;12$cnd47_Wm*#G+{B}2U)nZs z4uYp9+e%q8sd>mjwU%!t*29-^P2Bx@mtSZRthW3FAr4zqih<#mGpq|!t=m#Va;|&_ z3dB?BU17ikcj=4oG0e}*jhcOkV9@{{zb@iwM!zf(@GiYgFMN~9_Q z-f=)*JfTOhKO)JMuuO28*~dsyR~*;oL&7;SR$G-L^;^dC37)~#jeS&z$+stca3N-# zAZ;g_&<-ra;iQW0x=CbY4K9oHm1z#tz~gy=d7cZBf-BLdmp0Sp{PJOcEZT0j9bjH3 zsWpmtlaf2}^-Y4Ird_w%Ix6!ecH)B5K$q|#ahYR>mmlO zDXL>fpv7T0-({8+`;RD~2eQh~@9HzV2pH8b>P_Tv>OEnrRD0X%$WI6RRDiLr;h)HdC&Mmc9lae9ZquLTUKLA_O!M;?#x=nCJw`? zd3_B<$*55s>IVv`IM>3ld9Pb@p#y4ZN2{kIX?aH_uX-crt;lO4Zcp(sb?hWAvq$Gu zS}UE=+;FZ_T7?2j4kv$u-PvLHp49QEcR5pm@YaiA$@nT4P@L({b0@E(jh3UAcP5vxno>>lcAdg0 zjL2rF*gAjde)UY4Ib?5{m_a|8eM7h)t5I2KPcwkj@F}tajk4@l*72X+o2Uu(BouP^ zdkWIqQ8YV#27Q7G{^gQbm1rOp3T^xPe?Ywk`f_>}3|cE6v{W?8VOF#uPLy64-v3<3 zpsc6tTKR^6ZLm$*H07|EPc{#=ls(Lr^TKsk;H>Ui8}}~?tq#H4wp`T&^$wd68n{Z~ zos0WjibRodxAKe>HybqE;QhZs{3BVl!dtNlm5^Ut4w(3I9qSMec(n$=ozbY-IZ$h` zfg^?8sdcN?O6}-Bq^A61#fK#uKhVf;1blKoc-pO3mt^lz8@hS`J67vsQQ=bwluG@V z)r(km@F{9qT-x_`>O(7)PZHz$n3HMA6xXkJe^E?soFd-B3i3V7%}2KI7h(TGPVJCk zAFflmYGNed(7q_5xEE=vGRh6jSkC}J{LRKXi@%d)er*GY-~M7;q*0j}IA)}+e<8R5 z{ZKIVp~kMXb}yjsRuV{1M;4_L+$GClbZYA@eAVvrmV_T@E|UmMe{ej+&{NsFeoQJK zddkFzq{^hQAv|X1z$aRz_@eNspX5DVF14W9nEZtr!WEs`wQ!))G3>R%vlG{GhlP#I zOqtjCZL)0TCqD{mexTp8Re7LR8xR73H8CBhSWm_T6< zpRhiriglMSQdyzxQ@Q@q+KXu51CqC6wQHg``VitTuOA|5`X#F7PUE3HLu3!R`uN$FWW|(bJG}`1*c)(W-o9Za zD+Z{9lsQaR`#cp;eJS|H#^HoGbOo}33*xIeGuTr%i)M)Sb>k0Dn4x7JmooYu$Gk^3 zIW(c^wmTjUk*qH8>?RkprOjzgw}x!#`PxaAF;GKurm{kP6#p30s^%G42whh8W|v{$U3E^I z7hF^q=P1gg+gaBMYdph{0EW>s%3~wFmp2N|feg4#7yn$_ny}6$1`RatKW^SFU_%Nd zh*^<1uu`TKOh4$|`Tm-DrmI5h`{pKU&HwP3kKcuHq@@^7;wq!Nu@`MdE29mM#fNRw zw>F!f+VhI`YZr+F>kiRtAxo(oO#if*n#$>YVY?wBHF2>`okPU=7XHHCVm0)Npm5^& zU=HKE`zkHr@*kz@dtOeNLf?-etZY9!!i#wF4raiBy)9!O9uM2cB)$Y=}xyS7G`r> zC~8L4|F#!vu3VbRw6*Xu_Yi?GR>aRXA}Huc;K4d=Yk2ya<1V1sMcI84&${5J=`PIdfkM8 z#WLnj3?dyMx7jIh?MkvsqK8q>Rj(^@MaG1c6%_$1ucdipOQB?vxanCtnbo_vfp6H4 zBgM|2UE<^WalOG$jR;?@HwH~enTyJ-fnY;@Ffw5mS`h0-whB~vuBLM$m*!wFq@5^i zTfO2!rYQ{=KM&oTl4kw7mtI(N#>T7`hGp@G$;*x>dh3#(m>72vd)iwNuZvL zVL_Inc6`Xn$gnC$git90tpgrVj~6{syWF<(RtLik$ei}8rKC}SDMW}$j6DbC;OC_p z?W=rfrG0s0*;BmRNmpanPDw)-TWWEQk(4 zzKew9(6jhAl1b0b0q#dco5Z{Qt#!lVqV&u}H_4s68!{w{CUq(7)-pjH&bxg@C;n-$ zN4&PZm{a&%vy=*rv?BWll3brU`PtnU^CU4mLQQ}rHOBZG73}(B2>CVUV*I1z&qsQ6 zZAEhK2(=QghTm7iegHaBc;Yl+f-7upT-|O~###M_<68V#F%$gN)VO5O6aJ5Mkwr%7 zJ^9My#r^oY^GU&#Hcd44c!6$>fKODKgKW3n`+1B?A0=&ys*yn}7CeHM+_w!_1hr5d ztcw*Q`rY#a5?0Y-H*wD+JYm5HJDp|&EY*92iZB7CZ>2ZjgVv~}FKf?IQ`P`Xp^aAN z>293Plsp~;94UtdLuUI|+$ebpoh_z7i9_Ov^aA4^7qjJK z_v<08kxjJ^NpylZZ&Ce4h9T$dFx8MPF!ovBbRDOl>SxL-my$dU0zNz##@6?}9Qf8a z%6x`S7JEJ@bcwC_=cikXijL{p>^WDxZtJ&(q$2ypBogaWThd!^)$znY7cLE8Nm{2@ z9A;6sY2nhR9FG#Ep0QXm8cwr<|yFYj;*Z*FS9NhrN+S(D1D=rtMp3n%PJH*XGYuvZxqZR3DA^Vy?E3RcQ8JL2_m{or5w42;{>bMpV8 z0ul#P)P!k%(t>C|-=yaQB}tpV)eZKOyVonM4N^MGzIe13c-xvTB5DH5z^0ZKA7;!e0IigwJ*&4i;yRi<4CM&#m;QB(Wgtq;QdY8{XeHI>Vi&dS$1t7 zGxr?kk+!ZMS-y(sX_VVg%CXL+%_4u@3sD7t7&F!3!s4xXq;>RoC8?f@Y!-c4)Le=J zirriiw*f}e?x@lfJJB8Yl{2umVO-a}@Jj_kA!}PIXmjr;C*^rnrca@T^;twv}A>kPaa}rF`vH}8CH5>N^t`m%06z}-Q+#lW+p!>Hj zQPo{UNn3*J&MY#QA0)Zi_}4wD?&@}%6`TMCDq~277|{|1#Ct*{^`QDohZ3-jcu=ty zF&dW3a}OauBD@JPPVutRT#Aa8!-51oRkSL@=^QB!$@uNM_?0{9)aseajU!py0u-Mx zMCP{8D6H@iV~P_pmegj`g(h*)U!QQF%S`WoTB9ct6d>7)FM z^HMSAlLJ5CvNW)%xv#`d!qP(OmCzJPGlp+vq2zaoygxk1=J)WU-OLSw{^O%?3%O@^QDhY`)HC}WWovy^2C+j;teI8)Y z3ytMuh|O{E%fh%5pXhzFy`6}MYS$=PQr@;Kgc{+l04`b`f$JXWCOTRe?{WVkC{~X< za|(RXLJZS{k>xXdT%1QW-No7-X(g{z16l|jAe0WDF?R7+btS}sJ4Yy!Qw1tt(C6fN zerw^g@y!Bf3VhzvH{}bOVRrNSVa6pfh;ky%Po@*tAylI(LDwW6h&410@G6_RmgL!)DI5T`sNL!}m9-!U97}(*(=aNB z*@%uP+M2ixMp*eg^FwBo1}||81^nJd1_EnFzX{D8685Ur8-6P~$?kQbI*ra5_A5z! zS9D`4YyV#G=q}WWn*IAs1-<8xEUa6;m%?)Upz`*{Fn@XH#i~ zLglAUOpvf%>x0ES1e=pv8S|mC^MJRIGz$QZhgSei1a685Qn!eFrApQ-;D~Y~>FnIs zU3mCgM3o8Evcn**O?$)b$q>;5r8utzRXL9DxEiUIF&T0J{$##bMiQS}>dsfjdZQAd z)D_IO-{k}lSvSMw&M!_FFjda@k~z>C;Mm%UA-b2d0^(en&Uefa*1;@`G_sF!IopHN zpW?d;yn~5bxs&X5{e}=4~Px|4VJ*GqIh(_#Yjk zJFe~aUO$$$9H+{69*}wgW_v^A^X4)4_tjX%t$JK5?hJ-`lB1IJHLGLj5j}4B9^wtG z`odug${g*k!r#Q+#_Hg`Kbd2Nv0vY-Y?)odjRnVaw##+}(eAVyK*A0#aH^t)lkF6- zvV_>jYPk1e?W~B>X$si7vlN$G=P%Y={PHsNLf1jZJJz~@&>m`$Rlh}LcUIX zWMs)#GtPFMScHvZY)EppubT-A9Xw-#Fh_cLGgYQ+TmH`bHok=AZA}?HH`9*Gppakd%lMUW(u1hGdSaw9Vqlv={5z;$;{Dg(}862 zh$}`=QNp_nT*T=kfqa-OcoSL3!WKt;g7EY?tGJj&94$63Mbb0`m(P5}0B<|KLf=+A zBWwO2kiTE`^%&B9=3T41dvYUp^GG9gHVFmNVf&R)hDC<5j*`EQAkPP+*{Lf*$RCiB zih5jQK`gn+MruED9-W|l(|xUo;(0|pLH3doEE<=A+h0AlsGnLY=T%PFRvo}l*hJ_H zfVVjlR4m4>2LiBRG1E;~k4C9?yjb|uaHAL_Av_vLgPw1{YCve&CoJIlGPi6Tv;dH# zm5N0_xO+bb!5>mj9E2-c|knZ4JwACbnq6!o~6m=8Y(tL!kJ8BBmY2=!6GQZ z%ZZ)4qkOS>?9T)K)4eTvh5Xxf-bdCyCEW;&0%{`wMO2MK8er zrQ;VeJ}EZlWLnmsfoR`PGSrKuQ4_6&ulgmhrtgys=-->K%bS*thD9g22UF8z^+Ybs zsot%ck{Ld5F0=dbn9RTw)52SK3)RL91S(vY)^QkI6=#Q5|O_@1;&%?)uz zOS#sfJUd}eheOivWGOeLMEJ%dU-RoW)g`~K z!83ntSzm1#^7s!hiLGYI#kL5LivOXY$^71j{;>}{`ln(VU24+k`T^ClcecHdi}p3{ z7173wfcqS55t^)xe2@)wB8;)neQ;wa!3-S`SS6?}8Ux$XDXsz#N`m)m!FA2-ZR$rr z&D5Sf<*M?h%-IX_+xS@15nk~^PW{zSXyevEbm&!Bzy|zZ|7z7$0K-gkK_|c2&lv~< zWq((*?6Un)@l4mH20qOZFQ`IeLM~*lq%fYq456^V-yf|CEKHY%6bL=iO1KDDkUOTN zsX9PKu)jIFa05{suN?RqE6Ev(P&ihKt!1bdW?V z_N&k~_!0+zJj+qZ2*6GycXpo}HYFM6F)~a#Gqwedhc~UtvMf6j^@}ioU`B&eFNs{M zq+i<$q_N@8gy=Ihg*`K>>pK(u63l*Mhf$P_IHSpVCCv$m7*szpOX6pm8gv8w4*hn> zY2>_|UsLU)i`g0Wyqg)D%AgbRz0&=L0`BV#HX1}AW+UJ9{*v3hzBuUq=P`RZV&_+7 zaSB)nqO}K96|gE7AglhDALatM|0PbJ2N|li1W^`-!^~FwhgQn|6gxG^rB17Ff>n^@ z4jsBk2!{MxXSPNl#mvmS5yaH`_a?ALt>sTV7a z5L;ZVozZn}4}uk9r`qUfCeUeFq7z8j1l~B;+SPWO-{%P2P2O-czWsSXT>(3qKv5@X z@QAJD)#cyzvRXzCQnV#Q{`Biw2QJm&b{tN?DU*EdzrRi4T!3ZNb+O}XeHXUFZzo@t z3Lr^NoG0IM|{gDV1HazgPaARIe_dX5Z; zF6&evTA3I7?Oi~a(OZx?Cp6(T^2?b|O~I_%H6zY;bF9oI9uB^CTrc)K0e0kE*g7ol zDHA+YJO)G2OXC3_J4(#c_QRu0Ms45!taI$x8KlaFH_T~dfUKxfgA2!q1rPZ$hhYF(tSFFOH{TU z9}X2M9+zs&fv_{0s9Q~}j-}|dx5W<&x}6K2Jo>9bsa81+Q*Gn3<8|m(jhE4AT@k`|ZsvfG*9e%2{x(yEeuoB-b-l=CZYAiKdeJmV2bj#k_}%Hfx=3buN}( zre}xGhh4Lb7O%Ty0}*8}a*mu_`+nnu1QSh+SeOL@s7W=b+&0_yR#o6ABIv{Eb@1}oP~%qWw=?jhY_Yd$ zS)T%2(Kr3!){BYgqmnaVf+;H39KrVk!Er-E=T2tT^T*T_(byJUOsPe z#JnUpepQhPDP7jm(^&HIEt+zC;e@Tk&ug+;{bYE|6qK+cvOaMAi)ZXHzY~4}N6ds( zPvaU8SCZRvpD;v=Q%BOQaa^_>-+M2uw(+$<-x8DqXR5U57L3re-aC$4FuSwgQrH7U zI_+S491&`br*OJ7`M+nRzsQ{1{uN!DKh-y4Z*uP>zt-XR^pzQDJ9KoU{JQ6~T?L)J z`puzz{X4R-WpLP{E(?Y3S(agROiLt~t2RpOWig8lXn?nIGllHRDP$}iL)%coLF|e9 z;!&^e7fL#|W%~Ny?geV#>+SEtiMo(GN_<|=>osJTt;>Oa0&jQkzlrY1=Xk}Vvr@kv z`t-9<(!ex=;uW6^ilXp_IH?IA=f_MZ=`t~g;}M0@Zqs6W$8Hxo_dZ`2uRvnW65oLyRuhOs!tA4x!s<9BTpw(*%~{hK*Hw;(@{aJ2)}QSPh6lZpjseANPHl_ynv6xh$!L2Zw&e;-?PfG0cj3rO4@vDEN6 zl%~qinlk;ESIwzpO+n-8rz7`MLl~Xqq=A{g700r+;;KgE-&%m$`LW}BnB|camZZCg z?)euTV^9Z{_w8WzWR17xAUqitbNAREuhU0C~t0f#<|$)gyGr*Fb=SWidV>uv zbvjVFe1al}6Pm5bsfx_ZiE8Gyf3IY2n!cNK=W5YjzAEUC2-U*t#_g82A6U%hA_$FP z$ZlH}Tr1P)T+(Yu;dNMIfl!xt_&txLDQpF14*}RJEArB zDjwfe3?+w@w=gf`y43)NX0fGYx)_Z=j`4=b^;4Ict6z@1$q+8EUIVaExN;2KssAqY z=3vq&q{(q&$L1l84Dq92ma|PC5r2DFAVuXK)H#dBq_!LWFbH5!XlCJCOI;gu3=S)1 zVaNz@CXPFIdZo|gNp0bD-OKRmYjHKVK0*{Aa2`SsMj8Zq=BfKqaLw!c%`CLS5ig}J zwdE{Ix`j4FukpYS2_1zg`o%|}4b5+ssFPNd-Y;n6WIwEG%Li;y)8y}+go-1zM6p>> z@ifXwh-3~MFxj3?9Uq*>5(d*ERq987p{TKYAFxIPiv?wk92m-1rGuv^sqwBA>KD%z zp_*H}F$5leNdQ&lBSdfI0Sm+)gFYliC+GQ*l@-An9e+ttpxvIK8!)%iAeGfrx>E%~ z)I6n4z_CByas|<6m=b1Vf>Lm8p0pF)v{Br=pu;j6J;x;LQ^gi0RSMmUf|m0g4MMwOQ>|N`csH@ zY=G3b?vEQUllo}goT$~Ykn7asmtevhLl>Dh<#dz-WMf;TqtkDEm7 zO~XbR#8&BI);T$*3Y(Xlg%*0N+-iW^DVIPPH458xpWpt#>NV&V(fV zR5$j^>V6K{e^9HcN_f{LaBm}OV_>)~uu;q(Fw1#%Dd&^nmAQ(npJPPk zQt;C)Z&*qrh}td<6EP>PNp9Oc1sF8Cg3u28^An)N8b`mhwd(Mq3o9j+i0D zTn)}24d~Yu@^PS=T?CY+3m*VhMz$&FAujXF5T`y=P;*h7(z38fahiqx{%?{)<%;41r*MUW0X1ybD3zVpU&FdHuX>OKn7WxsB2mcdXa!&-vz;kp3E z9xtY(H!m2Iray|~L#JD+=)rCfEkNQJ0)a2^gZPj{vH`o!u3ax|ZK?2G;l;zgBku-^ zh}j9wW4@((nx*1#?`y}-C1yqxt*Yp*jS(LmXX;}=V`LQzn|GT`EK1S}8!N;(Y|_&P z9X8LjR2}VeatD%Ej_>cK`C5(W3S@=k2t8c8A)f_|(e$?C_om&}nx!y^%M*_Mt4`pn zNxWl>Y%?hmev26ti)Ohuqvu}vZSW?c*v}9;_G#6ovV$?o*CkaxLqR%*>axN~{(-ot zoKBN;l~opKYTqY-!U1wt5y}pab~P0dUVn`J4PbOGGdsL_U4SnxQN0bKKPAbsbg0=d zfA3jG5Pg<5n+0U~8LJxG6lS%}P10998Sg%vLG^S{wDlWsb+Sss)Lzl!1jXW8u_x)v zxw$odJ0k7Lwf06OENa6IvY97J_hHI+VTX+=RQZnaD-M;NNNa_GKKs}eFnXOoebuz< zJf!S*^G`b(1IGAy)DZxuBP~Z z*&kfNnL)N2P(T!g;cN&)4l((xQ<#LT{9n(-$utRDG!`>nj}i05emq3aqbR%;!n{G2 z9lum!x$h$w=JLBO^}aFmj3vKx=qJ)iOjs&XUEX-HxiFcrAFN& z0Y@KmK|E8HK(`cQ`~)Nr3A1ZbTfp;^K%cYFl}=ZFP5q zxo|Z3z0*CIYmxO#tCqBR#n)JKzPT6sfE`;iI>$4y+2kC3gY5gG>VRo;Pbqy^uv3j| zLXpJfn{74~YfNJ|QtsFQ9F3h^u<-hYtFWEAx>SZ?J)8qktm=%_@q2=`u|cf}Ti9l^ zMJ_82Nynm|WNBWDcKx@<>L#3{yz@vD&xE{4@a)DsLrO{5a$}g6_HCc;L0j!XGlB6Ghy#}_D4zb)|n!F!SJw$&2O%u_rL#&I*=nmkwf%lt*Em#@4 zN`Oj_v;kKLks|MgjucMe#RFZfKiA-BmLa?VJ)fdA9qAU*5bWnihuz z=thWdeR8TUMUz)P*8tT0bzaAu`zavjaV4;b<8z)U(=%;C%Ug44G)cm)rhvMO)*uG8 zng`CXq|wG!qb!OJDnz)%y}F|3bFvYdX)AW)#RYOccMj#@e)$yd+L#7v3In!-tr~)HQzYxRvtgtnLz@ z>rqAB1{^hpytoMgmfP-@b~M}V)QcB}-UgEz@4wprF>?6x!5#+-*w^0DUKMQ1B?t9J zEX}vG(&Q(4QFSx-raO(U;%ijFM@d0F*XH}j0P*sxLwO8T3&sHki@P53=2`y|O zj`FJQrAQ*DT8HEemD0Mc`vk8iO0|s#gcIFt$d^{^sjVZ_NMy-bEzUTL)8F**n-pZM z|G?#4H#U%WAGLa?iRjVAA!if^9AQo=Qj=qiH8-07sb}*m!=0cee|=Za(m~Z?m&b_$ z+`*Mp31v6Q!sSe;bKC)c>_wY*w%FkU5NED@F5Qz5(DdPa5iCU5z?55E26! zxx!%G&vKSj=s+<8&G%2Ks<@^>j5V5P{Hrf2GUQQ+z*kn3%#a_1 zYvSwJFtQnsq^@~!?|dza9|a6^#^y@peNA)1QM6rWOu$qgx{j5)K*tx@N`c1exmbVL z{rz$%V(!-v@cR_19B-5nQ@`Nj+FEsDOPN4cYI=bKGbE$AWIOBzrdlT0Gq#WfFL=fq4Eseu=cwznl%i0L>h&H>TY`B|$j>A|BNWI0P6F(<5#q>S ze951g1;3NbWW1Tdx8?TFPIYya*W9;ybSW(u+mV*N4*r+Kj= zGLTNRzq`E~M7@o;E{Ijw)=e&DaG{?-9E9fN{*EWx4P~hkd1L8_ELO%-J6eH5CmVmJ zn_cO;2IbImt@=Ggr$jT@%9Y>O&wQz=1_3=GUQPt;gBH3yje(2PJG}dm9AOzueu;B_ ziHHaD8B5%=Z8%(vi7S;Pvr}MEe=h`B1G?j^u9WgJ*9N^O7sr1?dA$aJnd@s`=K}KY z(8~}ZvDo;lAObY=iV8|QpDvU`V>t%WznusKc7bD&OSce$@^@^g`Z!!Wj;>`9P09|U z5;?B&g8%#|jTb_2*23Rcgdu^lVJy_$-f(JwlW}AwQ;{zQEyB3Tpeg_Jz=npjsyE>0 zuihqwY>aFWber);-6r9--nT=d{`0Rm3Yt!Zh{L#ergeneLvtOhq`?6bk08Iy0Ph{6 zQpUJu|7~UMl~57t=Xlk+39}b6{Q2zmLnfF z@|?AE?g0I0$X644Ao!k^cVJw_?1!zjOQX++7{L*&Ik|dmn;DKI95gLvq?nalOBT>l z{;K;r^CrpyxNN^ZIPLWu`}9MjVI<6Ur!9-A>#EN%%a!iUDyBb?!CXvF!^n@Iti=K~ zfzFf1;h`rEKd-dC9>LteCLaU0s{Wa}k~>2M5Fy9#m+6*HV<-@%5)15F=*cBkH}v)iNH{$xs%$t8UP#yb?xtEl80zhO}$W?L_i9 zYoJ;<&xP)a0+7XI0^8G$<;I9ajEnAh%1HzR7m87CaU+_5*$-ZYq0?0Gx=?M*;DU3w zIrAP}qMzi}+bxcqMp);yz4@uHcIGD<#7{&YKdsZ79NuyM6s3_)bKBDdg04mDD1&%) zNr6Ip6GZMv6qEIu!7pySg}wMHQ=dE9m#=He1BnC9&FX@HyTLGP?jd1Gw6K^^O#+NA z%t@v`7Hf%tLxCt&qb|0l5$0ga9vw*3Lz${1EY!;FN@gpID8`U{Qq;RI%4R@_s$!Ba z;;y6oeqTITcq@MKcN6HZ)q)MloTPL-+lphCtS&Z`ijfLe=EGR`8YU*?5Q$`Ujk%w_ z9lSnB;2khq0xaOIX?rLL@qSp4T{dc-2#{o)eX^l(&~+yP{jHSP_U7j?dSXz9LlG7$ovq8#hPulsABBxE}o6>;dJY5Yu4% zp*#|CVWm(EHq8hbREj6sSEPe8smU?I@2humd<3K~W^kM{YZy_A6l1Qi`+2k)h&`aF zjx>cBGmQ1(L%g#qdRYrRWR6yIlD=Uo*m1|%#6LiZ$O|ve2n2^R!C_t|3+wh;j7(xO zP?8y=bufhb9N=OMTxf(2YhTviF`!>3aw>LsA-)%RT2xX-Ew|j_uwG`eZdyI(|w~s*uogp9cxc zFLp$h!W_|>)hK%?-SpgZi zjLrRQ&|1dQ3;k(;E`}t>i)0c@1p$WjHI*V2lq<7=b<3#>id+pOaZ88sBf~S*~ zeM$;kK_9i{jE-f#wu{V#g<#J}3*LHZlMnx3|3*ctqW)km<|IKqamD@9AYPXK$a{8| z&E{`jzX*n5t>yBr>s%iAii{uIP7VYn{zqXpkP&9ObU8|XN2x}4JGq@YPT;SsF4+ZY z5qj^MY)9uCT;t$une|jmzza@sRUR5i9+#2obV2lE35Gz@A78A1U^v17wW4SG*vueG zv+GpfBO5JzKFezv&GW&& zP85@%E10EWU47(ajTENzp#fUz8#Bn_N-$$FJ_L*{hpta5Xp)l8xao}uWP){_??7=6@^-|>WLOLN-LBuulK{zGfCJ^Ua?Pn4nfD-sT+sbN~5 zW2S$IANM*=j_o)Z7o>MR1O{x<#?T+SdbopoT`J3#`s%I-`}~@O6`KHm;E~sEKR`!W zSLo1Nip6;7VVCJSs!GX8)}51ONhcVQLCjs4)sz%sgl2eRlu+XwKI_QwiT|ZS0^g(LB-;z?X=$>D- zh|tte6^ZZRW>u1rNuUPcp7rNoB)Sh zK=Yrb3rxf@6$6-KrUNjZgiU#}E2V3$56#kAI&&jBtep=gxCmInlwglib)Pkfa1+0V zkC7O6$mEYNyu`Q6DbRX{_A0Ev-s@`2u{Neo4A8NpTmmLYV5b!(Q4j5mwA zm+amc%6}qG$JdTt79luji&S8iwve$yX=l&GJC=#|L>V;-p(Ce-ob~z`UwO3>E)DZr zsky$bT7>RWy&|`bd7e3lKK~tX;1+t?>1kCt_U-ZX=-bSm5_})(GSmwH2#2)!L5L6e zp|UFlWbfU{N~>86eSF8eXM{qXgh1oy3pW=aB|^3qFRX~tlkJ8O9b^W+YDsf2!j%kP&D50$JY_|x~XF^PXJHJ-u?+G1F?#QmyQj8Ob7Ddys zvJL^sTe1qEgbic&*V~Q3TTgh^azd6yS^JJ?R>0J1YA)^(EyytjkgqUyN4PU9hR0J% zj!Q@LaYO$hN@)+ZgdBbJcL@~j;Skk7C(nOL{92%f9q=0*F)*srXBGS75|lumee6j~ z$0!1I#hcgl4RzdGF8i{Cb5%dy-oNzLIY7!oDKM^DDprxhO`EPu2v#qz11o~u#V`UT zBCAdO(DI83mjA04g=g}9=VxB_v%J1hJ4g`A3A)B`samn zuV|Q^ov6f_)Bz&PA)CL{`)!)GPY?u1h_EjvDLQkio}(AkFi!Q^<)Bq;cG4M;&<85B zlmk;Ogd8Z4*lOalmIz($e!A@Z-?%X*jlKD^i0q-4FzSL^ED?_zbe}(mAHTIsH_6|y zOJ3nPR6YFLW`hrwXQ>fdovF7w>oY716)Cm#^ajP>c@KHInTs*r8r>IHJO{=Z zP(D$>FF`u4%kxC~<`sZIAc2a3fUz)I@&RF4P7qVuRNm@BbZPs(Nh-evwrU)sm#VI- z_KxVa$Y)M&&~LBv%~?|iVH|FGzTYUxqDroqIC9&4hEilfM42xi_V%m{e?~(kWY$95 zyE(OB3^Hl&e$uIE{IcoD&@ewzyH})Wv*l$}QGa(0+Bh7L3cB27?uWBnVE0XI--bV} z1mACb^^COVJapn0-6*bzJtR*9v3QTmk{Y_V#G*podM1{Z)l6FD&U-wtz{wWg7^uZ) zAoxnt9i!z%+JWDXR>%Z#*UtaKusijLA6{VVW~$~+OI9A235+%q4>oO%`SV%CS4g7Q zb@Ueq-on}&TZgsoZ&NCjJJ_b1TO5|nc@tPpF*nMkWEn(cpNK?i*Z||C>rL0Y6SKrv zzj2OejAYhf#0b2Hts5Gp)Zcd9&_LWBY>65C3~nADJsrwS&*FxjZHbF*U%T#geBFTu zIrO^zdciic8LjABkAq7)Y!!rZrOV88ClONKUgw4Oo|LwluTF<1$Y|v1U+cfgl|gUe zkRc~A1DSQ^)l$XjTi#m@j1jl%eSW&eO;1i9f&Run(A6bTjnf5f4?b$&V$OIWqz@ME z2yt&F(-DYG2l1h$x`28NYcS<}=)_qopTw&fNm`^ZHW-? za#t&4g6`?krOF362dYGxobD zC~!97_nyHB$&1tT4lt!}qiSd%4sOAXW}oOTCVKVqGR}ksrj0M}Je9yLF&t}w|5wOLW1YrLkw1Fvc>UEx!9&ctYQ{yd|9)z5o$N>w zsOqZj$uINa@QmRsF_KEb|7S!`+u24lh|rk%PBgyXQQ67<_~i=MwbGnUYl8uH;;2UV zu*?SY2B+7FY&k7Hu&XD+X=9tDJ$}%yG+0_-mMhtL@zrKOul>GoCmT4x_R=!BOA!T4 zPt_a9YmLqK&mYjr$mhx`sfCNMg9`SaGkA3yOA*$*dVa(_J9{q~5MX^7BuFN`W$2^m zUf|;Hl|BTYw{f-OuMU}QN$d03mbi}id}n4{y@hAn;&BgA@L(QDoX9qoa9^|}9q!Z}3Dog!IEnMtJg9vjtR?n00b-$h8!I>GSfpF7#w(rjr&X3B3 zU15f~C^UecXm+EO58c;_CVr$YPU?CGEQ(`tvS01jAm?Rsrwi$6WYPC7aCU%caUv#$ za$R6yGu7RCb~Cd&M+BoLVlyneDz9EvmKh&At2qPLwrObNXU2d>C4qPdfHcW0N_g4j z&`ReVw27%i^T9S2k6)P3LQik}eG%z!I?F`0*HY;Xq#`*Ot0rB`jHisM6|UnqH(LB9 zI<18gzTK&rht%_9OrY~ZV{g@C4s||p0c&)WqGoik^?E%A{(RP7OTTJ;C!xy5XY;Mk|Y{tY5Smq^9x!5J{ z9LzYT%D6(8KqM=ZL3CLm;a86km-FER>*J4L^DtEVua7aG;;aV8{2Spb6}FsHRNz9P zMD&q{Glin}^_ZoBzz-d+FS$HOS+9AsVQ2OObV{F3tPEp*LzDe+Agn;&s zhKRQm^8KQ}j^-QgbB*`66~CPnTPa7 zNVc!-=%^jEn$VE^{Nv`-yJ0M&6k57vEZl&jw9Luv6{qh<_>Rlbz9UfE)Ab!mux7Ne zpbo6Z#dJ`rTx;qN*~83qK=`C5jb~FbwBtVT{Gynp;jd}s6j+dogvEGjoW|iUe_AMQ@B=1NITlK)>okyQ zrZL@DnGk|bG*p;^PUfc+nXY{GUAwrn-o9MT>(O4)$y7FY5#FTfATcuPAL9$LuZs<{ z-f^ADu{aZnp4V9tg2Qt6Oc#~P07K*#!iz;18ydt9%f+z6SLy(Xz9V$XqA#{j_9 zj8jHxc5c{oqpWg3&C?B0(%%rbHU85%iAA4*iQpg6XQK}fH1p38*WgNAYTORo;vGlN z*+iZCl}t+@tWmCxj$Bzg0Bbpp-{r7L){Eg~pj5xE5Zi&GrC_McJUt@Y2LD2|Zm9zs zdTBmB1r5$ybFtM*z;75#sJ~>|8cBVymmsV!{C+MEZF=D!X~~v6IGWXgzx!Z9m1`J= zNIX6F%$Wy}B&DB!Y8;QgU{VyQgp}5utWNHGdHdo_mdDYc2yKCYQzFY){ZMTkv7tRk z+ILTeqzb;YWJPG|!Ktld;DC&jrJl*65bfnIIo7vCjD9Pl)J@QYV|Sano!BhtgD;U- zSWHB;P`n<>Snm#e`2GZ1Y9dNBCm@7m6J;)V zPbm)o)y`xhO>J!Y%VEgH1@gpOHIb?9y2VmM@O}+(3bJFeIR9c0_yWXZl)p=HR9!Ti z14aw~`9{q$uJVJZjFGSQ&K#P9iIkW%0r@?P0JmTTR_B7Y9pRW+`E+BJI!?99-_>(^fWDHWMJRbGC}g=EU-BI>A{pTd-cta*%7BvRwv z2sH~Pdx!#P#mtiu0zRXvda_1(pV@riC;G5UTg?Y0I!w{w$57$ZjcslrSNMQrj>Mpm zIe1mkFmdoe%%?bC2nO3wdtC(CAkpKI93C=5HYkv-lx&8)U7?6{9iP@ec zmO8MO6Z4QWFqqy0;Dg@Mqc$N+Qod_q0M3xnv$#YbXf5}qcUgRJ$e{3#Q}&d_0tcT5 zjf|||Oa=6>LHn189!1%(GznZu*9O`TrG?4M9n=^eJJKx`>cVh0F5D*M9sS7ZgjY;K zgcN91Dg5z6Yi&ZklK#RIVz#;0<7No*lSy=uc0Eadz$#$mjs<7y;6PzAatzY;ML-vJ0_&N00P+hWA96t`t|@jqL9S`?GL z$2tR#P3W&U`3?$KXupOZ<`0!)9sRvuX-E7sgxveNL8Q6Jb|0Br zhDGK`Y>(yUOg7@mj#Fqb%NzM!)t0?@uT~0J$6?JZlPO;|-2#=heUJ?N#=pQ3yrAii ztvEd0NKCBp<)=Y%P$HQnH0NZiJi{mT%oqK8mJFGkCb)CtUw@jx?x7z`!Z!E#4YH;S(MZlyj;ZlAPP!+)f^d z&&3{Elm34!yE96by$ae}yu0wzl$gQV__H*-304wfP95Tu2ja)VNy zdlOOglOTN7r4U#5jOME9ywxK5R{SPyQ+7nGh{THbn1Jxd#f4lxe{CGGL?Y6Zn<}9Z zFHZUupsyN47N*X;NQAP1K;3Fo&`|ZrvzJpmE@fukBKPxZIPte?2Dc=aFw$~??N<6U zd&t{{JMPjd7gJVb2#g!;?d%;F<5VxM8%L6f{KRIu%tj#Rax=%R?4^7;=;R9<6u))S zG#O)b;FQ<0I8~@WqdJ(&ZrWMU5EYs5K3XoGtuN0nuyX)N zHG8lGURModbU`&cX;xBI0V~_Lc?drQhr4)WD?uDX+s=%yS0YQ6=d$T(>`EEvd=RC_ z_*K`Ub1y_`43nMVRrBUNh$bq)m(13)g{X0n&m2zKR%~z$yThkG!nS}{wc~hlKbBa3I-oj|Rt>Y2TSmQ>kax7M%Lh1gs}EsZ(qatHI

;t#TAB>(#=5EEy z?A7H+)Op6&cy)-GQMZi|YjJf`=B+x%9ZBDxtCP+H0=z1Q{G@d&%DW2N{9sMrI#w-# zb=L+Uf%p+~M~8H47|n8+;uie1#8z?iNN3kghmcY6F_y zDD;Q0;MlsXp*uJSND6)7HN<082)7Z?b-Q2)u@T0i4io^=e`y%RpGk4i#C$oc8P>>p zF!`5R-Ggam2F2f@z4Ylx1v3E@pDyh#l}1vP*dKgEYZM*TBImFi4mR&_ngp|w$JryP z0abVvt;@Ez@w$F4mz%TmDOHxU58JN&8fWg3TTZ{eqN#KnmR7I<*R<+c_)`*3ksc*r z6h%0Fu0{ET+`Sp`4IXX&M1T@7)B5T0Yyb1{i1YdjL8(U_pgs`Zy%72nR?d3@Mo+2H zHjfBTXEF6ODUH=vZ29xy7-Wq7r*Q)GzJXud`v=CR>MR`yzYs}vLF@&8N}=kUARwM7c8qeuB6Rjp<^ zFEgdHK*J0C&j1PBus~AQTw+5qavz?S`_4%*CV|)4 zOl{;I6HRfdF$`b{=L zH3$K%Fp0xXji`QWhZ`s4gmUk#imD>5Jp}Qra1`fFIDe?njYl}S{ezL+Ia4~DI7&*- zi)Xv7!6|$}QRan+Tg}yq=JA`50JZ2RN*J981MRm4izayrX*9$=7a30XdoD2OaB*DRe*xQ{BKbQ_*M+493t&cteqKp+z559A-D z`)mlWiAVJ$!`uQQIEnq%WTy$CZD4|cXoi(92q&y*q!YV)e#3nv`3z{DA5*_>aWo!~ z!Q>=CKv4hy03<***i|M%LL=_@yQB^T0Kk9ef^te6`eu#}Iu4Fb`n1kU(LFMK^aw&% zpJ5z+C`h9~ji*o#^BTW_c2E1)jFpzK-Ed7m@wh4jnk$&w?u^fidSnJUZq3A0trn|g z>88qAI+q=qm6wA%2h*R}QT%s*N!+AsT1m}qd+CB{4KnZjw7w0%mjMw8g^F^w$(N=E z5}hpGl#zhW6vPr^N)hr*-L@@&44+*4jF72fm+0@TloUN1q10GE9qW63G=ig1Un7`T~D#SV4f%rY#zmr#@O zY1o89s7P7n`Zvh04J=LP|2Im{D0TnMw=tr>je_*QjbdtOX%!_e*+-8OxOGQ$neRuV zY-l+#S0W4zHuU?NhS=(y7Dim$+VJDa*sFx<**X5|Iy2r#uEp+_Jp(dq!#SRZ2TkKy z!2j_U5P4z0j14kmLC`G^apCQl&E!8S$;Yp_#A3p5{4%=5r!DmMxcWPfoOSA@(~84j z6=@c9VaK7EUX7mK$Nvu0C59G|-2h6G6DZtmGMjqW_0>_&350$UO>E2e_d!z0U1BRU z#!+;}0ulwa`Dy`0d|zHz!m&;Ob0ss-KG{%Z5|f6eE0kI_q7K~vOPRD(lxw|cF>jvj zDXC`F4`^6)B6SvI^~`ee50IQB5HJAnKkY^YE2hW!+dQEl006|diT;uABB06lpEE-P zTUu8u%YQ?-)af>BVn6^-DntP6KlQ%lzo7K*?7y2Ht&ySeze!|oodLz~+F{@6$3NJ& z{1=%c0rWqlnYDraztIUihX%p#x{crIzm@)jH~Hd8{s(GrsP}IYmyu4e8XEw>Q3e3u z{z3k)H2H>0{Rin_s%LBTZ?>y5{`>I)0GZz^|6A*SU9hp~f3Pn9`&MP*0#2VER9sA$#znlF3z_e5U3;yl^a*|-+ z|I~r{J`lcJhU_#jfEFOkWaDCIwj=l=j?M3|!h diff --git a/lab2CA.runs/synth_1/CPU9bits_tb.tcl b/lab2CA.runs/synth_1/CPU9bits.tcl similarity index 98% rename from lab2CA.runs/synth_1/CPU9bits_tb.tcl rename to lab2CA.runs/synth_1/CPU9bits.tcl index 0ff5867..9896a6c 100644 --- a/lab2CA.runs/synth_1/CPU9bits_tb.tcl +++ b/lab2CA.runs/synth_1/CPU9bits.tcl @@ -17,7 +17,7 @@ proc create_report { reportName command } { send_msg_id runtcl-5 warning "$msg" } } -set_param synth.incrementalSynthesisCache C:/Users/willi/AppData/Roaming/Xilinx/Vivado/.Xil/Vivado-18452-WM-G75VW/incrSyn +set_param synth.incrementalSynthesisCache C:/Users/willi/AppData/Roaming/Xilinx/Vivado/.Xil/Vivado-28060-WM-G75VW/incrSyn set_msg_config -id {Synth 8-256} -limit 10000 set_msg_config -id {Synth 8-638} -limit 10000 create_project -in_memory -part xc7k160tifbg484-2L diff --git a/lab2CA.runs/synth_1/CPU9bits.vds b/lab2CA.runs/synth_1/CPU9bits.vds index 8dd8172..7b930ab 100644 --- a/lab2CA.runs/synth_1/CPU9bits.vds +++ b/lab2CA.runs/synth_1/CPU9bits.vds @@ -2,8 +2,8 @@ # Vivado v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sun Mar 24 16:57:48 2019 -# Process ID: 9320 +# Start of session at: Fri Mar 29 17:10:12 2019 +# Process ID: 21792 # Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1 # Command line: vivado.exe -log CPU9bits.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source CPU9bits.tcl # Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.vds @@ -15,17 +15,14 @@ Starting synth_design Attempting to get a license for feature 'Synthesis' and/or device 'xc7k160ti' INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7k160ti' INFO: Launching helper process for spawning children vivado processes -INFO: Helper process launched with PID 4932 -WARNING: [Synth 8-1958] event expressions must result in a singular type [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:81] +INFO: Helper process launched with PID 15728 --------------------------------------------------------------------------------- -Starting Synthesize : Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 376.207 ; gain = 113.672 +Starting Synthesize : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 364.047 ; gain = 101.191 --------------------------------------------------------------------------------- INFO: [Synth 8-6157] synthesizing module 'CPU9bits' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3] INFO: [Synth 8-6157] synthesizing module 'instructionMemory' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v:3] INFO: [Synth 8-6155] done synthesizing module 'instructionMemory' (1#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v:3] INFO: [Synth 8-6157] synthesizing module 'dataMemory' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:3] -WARNING: [Synth 8-567] referenced signal 'writeEnable' should be on the sensitivity list [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:81] -WARNING: [Synth 8-567] referenced signal 'writeData' should be on the sensitivity list [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:81] INFO: [Synth 8-6155] done synthesizing module 'dataMemory' (2#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:3] INFO: [Synth 8-6157] synthesizing module 'RegFile' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v:3] INFO: [Synth 8-6157] synthesizing module 'decoder' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:261] @@ -61,10 +58,10 @@ INFO: [Synth 8-6157] synthesizing module 'and_9bit' [C:/REPOSITORIES/Educational INFO: [Synth 8-6155] done synthesizing module 'and_9bit' (16#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:175] INFO: [Synth 8-6157] synthesizing module 'shift_left' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:853] INFO: [Synth 8-6155] done synthesizing module 'shift_left' (17#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:853] -INFO: [Synth 8-6157] synthesizing module 'shift_right_logical' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:890] -INFO: [Synth 8-6155] done synthesizing module 'shift_right_logical' (18#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:890] -INFO: [Synth 8-6157] synthesizing module 'shift_right_arithmetic' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:927] -INFO: [Synth 8-6155] done synthesizing module 'shift_right_arithmetic' (19#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:927] +INFO: [Synth 8-6157] synthesizing module 'shift_right_logical' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:927] +INFO: [Synth 8-6155] done synthesizing module 'shift_right_logical' (18#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:927] +INFO: [Synth 8-6157] synthesizing module 'shift_right_arithmetic' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:890] +INFO: [Synth 8-6155] done synthesizing module 'shift_right_arithmetic' (19#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:890] INFO: [Synth 8-6157] synthesizing module 'less_than' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:320] INFO: [Synth 8-6155] done synthesizing module 'less_than' (20#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:320] INFO: [Synth 8-6157] synthesizing module 'BEQ' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1425] @@ -72,13 +69,9 @@ INFO: [Synth 8-6155] done synthesizing module 'BEQ' (21#1) [C:/REPOSITORIES/Educ INFO: [Synth 8-6157] synthesizing module 'mux_16_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:535] INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:541] INFO: [Synth 8-6155] done synthesizing module 'mux_16_1' (22#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:535] -WARNING: [Synth 8-3848] Net result_M in module/entity ALU does not have driver. [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:11] -WARNING: [Synth 8-3848] Net result_N in module/entity ALU does not have driver. [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:11] -WARNING: [Synth 8-3848] Net result_O in module/entity ALU does not have driver. [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:11] -WARNING: [Synth 8-3848] Net result_P in module/entity ALU does not have driver. [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:11] INFO: [Synth 8-6155] done synthesizing module 'ALU' (23#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:3] INFO: [Synth 8-6157] synthesizing module 'ControlUnit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3] -INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:17] +INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:12] INFO: [Synth 8-6155] done synthesizing module 'ControlUnit' (24#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3] INFO: [Synth 8-6157] synthesizing module 'sign_extend_3bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:996] INFO: [Synth 8-6155] done synthesizing module 'sign_extend_3bit' (25#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:996] @@ -86,44 +79,23 @@ INFO: [Synth 8-6157] synthesizing module 'bit1_mux_2_1' [C:/REPOSITORIES/Educati INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:356] INFO: [Synth 8-6155] done synthesizing module 'bit1_mux_2_1' (26#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:350] INFO: [Synth 8-6155] done synthesizing module 'CPU9bits' (27#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3] -WARNING: [Synth 8-3331] design shift_right_arithmetic has unconnected port A[0] -WARNING: [Synth 8-3331] design shift_right_logical has unconnected port A[0] -WARNING: [Synth 8-3331] design shift_left has unconnected port A[8] -WARNING: [Synth 8-3331] design instructionMemory has unconnected port clk --------------------------------------------------------------------------------- -Finished Synthesize : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 414.008 ; gain = 151.473 +Finished Synthesize : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 420.727 ; gain = 157.871 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 414.008 ; gain = 151.473 +Finished Constraint Validation : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 420.727 ; gain = 157.871 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xc7k160tifbg484-2L --------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 420.727 ; gain = 157.871 INFO: [Device 21-403] Loading part xc7k160tifbg484-2L -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 414.008 ; gain = 151.473 --------------------------------------------------------------------------------- -WARNING: [Synth 8-327] inferring latch for variable 'readData_reg' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[15]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[14]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[13]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[12]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[11]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[10]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[9]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[8]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[7]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[6]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[5]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[4]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[3]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[2]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[1]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[0]' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:83] -WARNING: [Synth 8-327] inferring latch for variable 'dataMemEn_reg' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:26] +INFO: [Synth 8-5544] ROM "memory" won't be mapped to Block RAM because address size (3) smaller than threshold (5) --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 461.227 ; gain = 198.691 +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 420.727 ; gain = 157.871 --------------------------------------------------------------------------------- INFO: [Synth 8-223] decloning instance 'SE1' (sign_extend_3bit) to 'SE3' @@ -140,18 +112,21 @@ Detailed RTL Component Info : +---XORs : 2 Input 1 Bit XORs := 162 +---Registers : - 9 Bit Registers := 9 + 9 Bit Registers := 10 ++---RAMs : + 4K Bit RAMs := 1 +---Muxes : - 2 Input 9 Bit Muxes := 28 + 7 Input 9 Bit Muxes := 1 4 Input 9 Bit Muxes := 4 + 2 Input 9 Bit Muxes := 8 2 Input 4 Bit Muxes := 2 4 Input 4 Bit Muxes := 2 16 Input 4 Bit Muxes := 1 2 Input 3 Bit Muxes := 2 16 Input 3 Bit Muxes := 1 16 Input 2 Bit Muxes := 1 - 16 Input 1 Bit Muxes := 24 - 2 Input 1 Bit Muxes := 33 + 16 Input 1 Bit Muxes := 7 + 2 Input 1 Bit Muxes := 1 --------------------------------------------------------------------------------- Finished RTL Component Statistics --------------------------------------------------------------------------------- @@ -159,12 +134,16 @@ Finished RTL Component Statistics Start RTL Hierarchical Component Statistics --------------------------------------------------------------------------------- Hierarchical RTL Component report -Module dataMemory +Module instructionMemory Detailed RTL Component Info : +---Muxes : - 2 Input 9 Bit Muxes := 20 - 16 Input 1 Bit Muxes := 16 - 2 Input 1 Bit Muxes := 32 + 7 Input 9 Bit Muxes := 1 +Module dataMemory +Detailed RTL Component Info : ++---Registers : + 9 Bit Registers := 1 ++---RAMs : + 4K Bit RAMs := 1 Module decoder Detailed RTL Component Info : +---Muxes : @@ -193,7 +172,7 @@ Detailed RTL Component Info : 2 Input 3 Bit Muxes := 2 16 Input 3 Bit Muxes := 1 16 Input 2 Bit Muxes := 1 - 16 Input 1 Bit Muxes := 8 + 16 Input 1 Bit Muxes := 7 Module bit1_mux_2_1 Detailed RTL Component Info : +---Muxes : @@ -216,22 +195,7 @@ Start Cross Boundary and Area Optimization --------------------------------------------------------------------------------- Warning: Parallel synthesis criteria is not met --------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:20 ; elapsed = 00:00:21 . Memory (MB): peak = 723.004 ; gain = 460.469 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start ROM, RAM, DSP and Shift Register Reporting ---------------------------------------------------------------------------------- - -ROM: -+------------------+------------+---------------+----------------+ -|Module Name | RTL Object | Depth x Width | Implemented As | -+------------------+------------+---------------+----------------+ -|instructionMemory | p_0_out | 64x9 | LUT | -|CPU9bits | p_0_out | 64x9 | LUT | -+------------------+------------+---------------+----------------+ - ---------------------------------------------------------------------------------- -Finished ROM, RAM, DSP and Shift Register Reporting +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:10 ; elapsed = 00:00:11 . Memory (MB): peak = 599.770 ; gain = 336.914 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -244,7 +208,7 @@ No constraint files found. Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:20 ; elapsed = 00:00:22 . Memory (MB): peak = 723.004 ; gain = 460.469 +Finished Timing Optimization : Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 599.770 ; gain = 336.914 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -256,7 +220,7 @@ Report RTL Partitions: Start Technology Mapping --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:21 ; elapsed = 00:00:22 . Memory (MB): peak = 723.004 ; gain = 460.469 +Finished Technology Mapping : Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 599.770 ; gain = 336.914 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -280,7 +244,7 @@ Start Final Netlist Cleanup Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 723.004 ; gain = 460.469 +Finished IO Insertion : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 599.770 ; gain = 336.914 --------------------------------------------------------------------------------- Report Check Netlist: @@ -293,7 +257,7 @@ Report Check Netlist: Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 723.004 ; gain = 460.469 +Finished Renaming Generated Instances : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 599.770 ; gain = 336.914 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -305,25 +269,25 @@ Report RTL Partitions: Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 723.004 ; gain = 460.469 +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 599.770 ; gain = 336.914 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 723.004 ; gain = 460.469 +Finished Renaming Generated Ports : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 599.770 ; gain = 336.914 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 723.004 ; gain = 460.469 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 599.770 ; gain = 336.914 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 723.004 ; gain = 460.469 +Finished Renaming Generated Nets : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 599.770 ; gain = 336.914 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report @@ -336,65 +300,46 @@ Report BlackBoxes: +-+--------------+----------+ Report Cell Usage: -+------+------+------+ -| |Cell |Count | -+------+------+------+ -|1 |BUFG | 2| -|2 |LUT2 | 45| -|3 |LUT3 | 50| -|4 |LUT4 | 51| -|5 |LUT5 | 69| -|6 |LUT6 | 439| -|7 |MUXF7 | 6| -|8 |FDRE | 81| -|9 |LD | 154| -|10 |IBUF | 2| -|11 |OBUF | 1| -+------+------+------+ ++------+-----+------+ +| |Cell |Count | ++------+-----+------+ +|1 |BUFG | 1| +|2 |LUT2 | 1| +|3 |LUT3 | 2| +|4 |LUT4 | 1| +|5 |FDRE | 3| +|6 |IBUF | 2| +|7 |OBUF | 1| ++------+-----+------+ Report Instance Areas: -+------+---------+------------+------+ -| |Instance |Module |Cells | -+------+---------+------------+------+ -|1 |top | | 900| -|2 | Bank |RegFile | 45| -|3 | r0 |register_5 | 16| -|4 | r1 |register_6 | 9| -|5 | r2 |register_7 | 10| -|6 | r3 |register_8 | 10| -|7 | CU |ControlUnit | 14| -|8 | FetchU |FetchUnit | 126| -|9 | PC |register_4 | 126| -|10 | RF |RegFile_0 | 345| -|11 | r0 |register | 216| -|12 | r1 |register_1 | 14| -|13 | r2 |register_2 | 100| -|14 | r3 |register_3 | 15| -|15 | dM |dataMemory | 365| -+------+---------+------------+------+ ++------+---------+----------+------+ +| |Instance |Module |Cells | ++------+---------+----------+------+ +|1 |top | | 11| +|2 | FetchU |FetchUnit | 7| +|3 | PC |register | 7| ++------+---------+----------+------+ --------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 723.004 ; gain = 460.469 +Finished Writing Synthesis Report : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 599.770 ; gain = 336.914 --------------------------------------------------------------------------------- -Synthesis finished with 0 errors, 0 critical warnings and 29 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 723.004 ; gain = 460.469 -Synthesis Optimization Complete : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 723.004 ; gain = 460.469 +Synthesis finished with 0 errors, 0 critical warnings and 0 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 599.770 ; gain = 336.914 +Synthesis Optimization Complete : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 599.770 ; gain = 336.914 INFO: [Project 1-571] Translating synthesized netlist -INFO: [Netlist 29-17] Analyzing 160 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 723.004 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 683.395 ; gain = 0.000 INFO: [Project 1-111] Unisim Transformation Summary: - A total of 154 instances were transformed. - LD => LDCE: 154 instances +No Unisim elements were transformed. INFO: [Common 17-83] Releasing license: Synthesis -70 Infos, 29 Warnings, 0 Critical Warnings and 0 Errors encountered. +69 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. synth_design completed successfully -synth_design: Time (s): cpu = 00:00:29 ; elapsed = 00:00:32 . Memory (MB): peak = 723.004 ; gain = 473.363 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 723.004 ; gain = 0.000 +synth_design: Time (s): cpu = 00:00:17 ; elapsed = 00:00:21 . Memory (MB): peak = 683.395 ; gain = 433.676 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 683.395 ; gain = 0.000 WARNING: [Constraints 18-5210] No constraints selected for write. Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. INFO: [Common 17-1381] The checkpoint 'C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.dcp' has been generated. INFO: [runtcl-4] Executing : report_utilization -file CPU9bits_utilization_synth.rpt -pb CPU9bits_utilization_synth.pb -INFO: [Common 17-206] Exiting Vivado at Sun Mar 24 16:58:31 2019... +INFO: [Common 17-206] Exiting Vivado at Fri Mar 29 17:10:43 2019... diff --git a/lab2CA.runs/synth_1/CPU9bits_tb.dcp b/lab2CA.runs/synth_1/CPU9bits_tb.dcp deleted file mode 100644 index 21db77ff83f319e010eaebcfbbfafa65f34fbc45..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3103 zcmZ{m2{fB&7ssRaJ(Ovc+6@{)Y>C#^SR+X6S`{SreHUA6S4C>5R_#mZSZk+BsoII@ z)KW@NI@Q)r%e1yHzL|4oLI2U-Atk@D3E=Lg1nd!=Rm?h`A|llXMSJkPJ$E|o^~ODq^3oKbXFqdX%ShxpB<+a)|D~dA*pDN->u6H<;5Ok z(IS3HtO1R)T)xn^>*tadl`Uzjmab&?`RhStQ0SOs@oK+Ny1^rqgC%iL%b{C}Zj}Xy zp}APpygAz$QN!Bk49F?j1Lq`c7Qg0*7ZXhq$N9ll0{Cm$k5nWM^@+Vc^13Oa?^QvqnxLPF-N24@6G_|$BjSSH5Nre`=6XX*BQoE_7TR9v zamcNMTk!83!1KjhrAf{2UdiGl-TH0!Qd}gFR9Pw=aN>^3=Ox`5o7?kLQYfx!c>Geo z^y>A;5~MGM=yeR{WI82m|0p!EX6hRHOjI)Z@TyyssH9P%!bypWHri4Z>mC$nAA|)v zJGn%e%@RtqfKmIPD;;+^#h3`Kt(TobXM|07BzN@ytZRd^tSLme)d<2=J8VJ6!>$7 ziz-q^)COYXb^=8C7=PJ3NIEYAVaSel1dq=2WcPTij+i#S*paia&_21u94NQzK~U@H zK@Btq1HVd3o1v={T5z$ki4Ye@;><&D4b(SD>**e7wUD+RuDpIn2j}$C0JK#?{wh$w z{~`{fI4{A)?#>RcDBTj0$l`X4lp3x!@c)RZ3HPUNsb zJ!09$TTw*t<;cDvpZgW{+0gu&1|e!Ijah z`lrXD2^AW*1^HwV$s4!f?@;fd*}{Erwr%7VC)2|@=lpKp48e4Nw+VF4&=)~IL`oN- zakg-&wTfQZvoFiP!gpZFB>a!sIA}y;@Dyfo-AZonW_*ijYC1hK|VH+aE=O4N1l%5MtDSWJpd9DXTD{2vsnXGLaoN8Xc-Olo=ZRt+ByS z#&B#<25Bj;WGRo9r@;#MFr=B{FTOEIXQetow=d740Y#n9D3e;90Zxv__l;3KTEIja zsbdcpboc!b$ zmCx9#ga`B9zjvsy7wd;BqT6(NZx?odcX|;{5}$d{AP=asSI$B%IlWropd;n7G&TG> zLmzaZ_U9WStEq`=Y$ng7WjyOaOd5u9x29tnrECr!)4$I5y0z@x^}`8e5T`mM+hFzQ zc+Bz1$0oRfLhBlLc*~NZY+6J0E({CpuI_r9F-(7x7-~1zVS4y6NY(cNw3pqJlQXwW z=e&O7s{!Gy*N_GMjwwP8n&~Ld)<{X$q(TR^op~*ILe1{yls=dScmb~IKUt|_V}Nu+ zk2Z@$xb4X@n<-u%QNOp`4GCd<+wzqzd?lBI010av^lX@JOGtVS9?(wi z+yO?c`6-2Hetz|~sXv?rVv+Z&3#(N{_zAnNav!A5F?C*Igw9UpUC~91edbm&m>nAp zk~bokEdd!1orf754;oC4UX!nRT6m-w1-7dksUu{;3FPM3i!RC%l zwFv!coRXDwWg1;==2&;9ROF?*bUPr;r(h6U7575Uqq4#7###%vL;ZS>9QRUk*4Fd8 zUc_kH8E=9tPT7Z6I74BoZr(JdqGnC#r_BItiFKJeSN_`MHyuIOi>rDQHOH9U&s+OUwtbOz$=qT3T|%_|pih%w#Rixt`G^Uk%qE3(52NJvHd9()Lu3tz`NLd7 z+ZwS5jWR>*Km&0;g`4gB#&S2h0`7#Kc);4K9H?&*!Yu;#nqsy(#eClXX}+72mmT4y z2gL(An?LRyMZcRUju*d5|CT4NSi-v)Dl%j1nw*~6#In7hKU56slvVT%DVHkR8y9d> zQ?QsNwcDs$^ZzM=+}NS3Sw&9UvL^8Z-$!%3;!85KQMjJO_paQXXu!2;{r&s5%J%)% z7Awo<+tT}Xk_lP^y^0e;3%1$FqY z@Ex;$dk4n;h?I9j^gFIq2u=^tNA=mpP;kY7{<9rSXIzphPmDHPnvL+mXSuBH92!Y; z4gmQ7Js-sn0E#~VEitEWe|Lb?5Oqs(`s-iNL&`$cpislqCF>u!k<#J(8$K;y)HHRy zIi*iWON6h0j-F)LIso^sF5dYSsBopOI| zyhUlW|7G*v_l}yUmg+zJCe#1oDZ)h~=^0LcW1>8tDSPcO0{~!vuA(;DEd*g^rR3&j lZt81l84L?_1A%aImRPJd4DN(g4nfHqBYeEC>nX{r{|78k{?7ma diff --git a/lab2CA.runs/synth_1/CPU9bits_tb.vds b/lab2CA.runs/synth_1/CPU9bits_tb.vds deleted file mode 100644 index db1748c..0000000 --- a/lab2CA.runs/synth_1/CPU9bits_tb.vds +++ /dev/null @@ -1,951 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sun Mar 24 18:28:31 2019 -# Process ID: 5228 -# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1 -# Command line: vivado.exe -log CPU9bits_tb.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source CPU9bits_tb.tcl -# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits_tb.vds -# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1\vivado.jou -#----------------------------------------------------------- -source CPU9bits_tb.tcl -notrace -Command: synth_design -top CPU9bits_tb -part xc7k160tifbg484-2L -Starting synth_design -Attempting to get a license for feature 'Synthesis' and/or device 'xc7k160ti' -INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7k160ti' -INFO: Launching helper process for spawning children vivado processes -INFO: Helper process launched with PID 14244 -WARNING: [Synth 8-1958] event expressions must result in a singular type [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:85] ---------------------------------------------------------------------------------- -Starting Synthesize : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 372.199 ; gain = 114.445 ---------------------------------------------------------------------------------- -INFO: [Synth 8-6157] synthesizing module 'CPU9bits_tb' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:172] -WARNING: [Synth 8-85] always block has no event control specified [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:179] -INFO: [Synth 8-6157] synthesizing module 'CPU9bits' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3] -INFO: [Synth 8-6157] synthesizing module 'instructionMemory' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v:3] -INFO: [Synth 8-6155] done synthesizing module 'instructionMemory' (1#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v:3] -INFO: [Synth 8-6157] synthesizing module 'dataMemory' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:3] -WARNING: [Synth 8-567] referenced signal 'writeEnable' should be on the sensitivity list [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:85] -WARNING: [Synth 8-567] referenced signal 'writeData' should be on the sensitivity list [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:85] -INFO: [Synth 8-6155] done synthesizing module 'dataMemory' (2#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:3] -INFO: [Synth 8-6157] synthesizing module 'RegFile' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v:3] -INFO: [Synth 8-6157] synthesizing module 'decoder' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:261] -INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:268] -INFO: [Synth 8-6155] done synthesizing module 'decoder' (3#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:261] -INFO: [Synth 8-6157] synthesizing module 'register' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:777] -INFO: [Synth 8-6155] done synthesizing module 'register' (4#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:777] -INFO: [Synth 8-6157] synthesizing module 'mux_4_1' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:407] -INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:412] -INFO: [Synth 8-6155] done synthesizing module 'mux_4_1' (5#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:407] -INFO: [Synth 8-6155] done synthesizing module 'RegFile' (6#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v:3] -INFO: [Synth 8-6157] synthesizing module 'FetchUnit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3] -INFO: [Synth 8-6157] synthesizing module 'add_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:56] -INFO: [Synth 8-6157] synthesizing module 'add_1bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3] -INFO: [Synth 8-6155] done synthesizing module 'add_1bit' (7#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3] -INFO: [Synth 8-6155] done synthesizing module 'add_9bit' (8#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:56] -INFO: [Synth 8-6157] synthesizing module 'mux_2_1' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:336] -INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:342] -INFO: [Synth 8-6155] done synthesizing module 'mux_2_1' (9#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:336] -INFO: [Synth 8-6155] done synthesizing module 'FetchUnit' (10#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3] -INFO: [Synth 8-6157] synthesizing module 'ALU' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:3] -INFO: [Synth 8-6157] synthesizing module 'sub_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1311] -INFO: [Synth 8-6157] synthesizing module 'twos_compliment_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1376] -INFO: [Synth 8-6157] synthesizing module 'not_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:687] -INFO: [Synth 8-6155] done synthesizing module 'not_9bit' (11#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:687] -INFO: [Synth 8-6155] done synthesizing module 'twos_compliment_9bit' (12#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1376] -INFO: [Synth 8-6155] done synthesizing module 'sub_9bit' (13#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1311] -INFO: [Synth 8-6157] synthesizing module 'or_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:724] -INFO: [Synth 8-6155] done synthesizing module 'or_9bit' (14#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:724] -INFO: [Synth 8-6157] synthesizing module 'nor_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:643] -INFO: [Synth 8-6155] done synthesizing module 'nor_9bit' (15#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:643] -INFO: [Synth 8-6157] synthesizing module 'and_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:175] -INFO: [Synth 8-6155] done synthesizing module 'and_9bit' (16#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:175] -INFO: [Synth 8-6157] synthesizing module 'shift_left' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:853] -INFO: [Synth 8-6155] done synthesizing module 'shift_left' (17#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:853] -INFO: [Synth 8-6157] synthesizing module 'shift_right_logical' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:890] -INFO: [Synth 8-6155] done synthesizing module 'shift_right_logical' (18#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:890] -INFO: [Synth 8-6157] synthesizing module 'shift_right_arithmetic' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:927] -INFO: [Synth 8-6155] done synthesizing module 'shift_right_arithmetic' (19#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:927] -INFO: [Synth 8-6157] synthesizing module 'less_than' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:320] -INFO: [Synth 8-6155] done synthesizing module 'less_than' (20#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:320] -INFO: [Synth 8-6157] synthesizing module 'BEQ' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1425] -INFO: [Synth 8-6155] done synthesizing module 'BEQ' (21#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1425] -INFO: [Synth 8-6157] synthesizing module 'mux_16_1' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:535] -INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:541] -INFO: [Synth 8-6155] done synthesizing module 'mux_16_1' (22#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:535] -WARNING: [Synth 8-3848] Net result_M in module/entity ALU does not have driver. [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:11] -WARNING: [Synth 8-3848] Net result_N in module/entity ALU does not have driver. [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:11] -WARNING: [Synth 8-3848] Net result_O in module/entity ALU does not have driver. [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:11] -WARNING: [Synth 8-3848] Net result_P in module/entity ALU does not have driver. [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:11] -INFO: [Synth 8-6155] done synthesizing module 'ALU' (23#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:3] -INFO: [Synth 8-6157] synthesizing module 'ControlUnit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3] -INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:17] -INFO: [Synth 8-6155] done synthesizing module 'ControlUnit' (24#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3] -INFO: [Synth 8-6157] synthesizing module 'sign_extend_3bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:996] -INFO: [Synth 8-6155] done synthesizing module 'sign_extend_3bit' (25#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:996] -INFO: [Synth 8-6157] synthesizing module 'bit1_mux_2_1' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:350] -INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:356] -INFO: [Synth 8-6155] done synthesizing module 'bit1_mux_2_1' (26#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:350] -INFO: [Synth 8-6155] done synthesizing module 'CPU9bits' (27#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3] -INFO: [Synth 8-6155] done synthesizing module 'CPU9bits_tb' (28#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:172] -WARNING: [Synth 8-3331] design shift_right_arithmetic has unconnected port A[0] -WARNING: [Synth 8-3331] design shift_right_logical has unconnected port A[0] -WARNING: [Synth 8-3331] design shift_left has unconnected port A[8] ---------------------------------------------------------------------------------- -Finished Synthesize : Time (s): cpu = 00:01:51 ; elapsed = 00:01:54 . Memory (MB): peak = 2338.125 ; gain = 2080.371 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:02:04 ; elapsed = 00:02:09 . Memory (MB): peak = 2338.125 ; gain = 2080.371 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Loading Part and Timing Information ---------------------------------------------------------------------------------- -Loading part: xc7k160tifbg484-2L ---------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:02:04 ; elapsed = 00:02:09 . Memory (MB): peak = 2338.125 ; gain = 2080.371 ---------------------------------------------------------------------------------- -INFO: [Device 21-403] Loading part xc7k160tifbg484-2L -INFO: [Synth 8-5544] ROM "memory" won't be mapped to Block RAM because address size (3) smaller than threshold (5) -INFO: [Synth 8-5546] ROM "memory_reg[511]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[510]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[509]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[508]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[507]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[506]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[505]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[504]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[503]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[502]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[501]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[500]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[499]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[498]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[497]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[496]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[495]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[494]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[493]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[492]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[491]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[490]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[489]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[488]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[487]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[486]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[485]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[484]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[483]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[482]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[481]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[480]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[479]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[478]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[477]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[476]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[475]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[474]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[473]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[472]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[471]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[470]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[469]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[468]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[467]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[466]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[465]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[464]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[463]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[462]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[461]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[460]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[459]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[458]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[457]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[456]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[455]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[454]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[453]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[452]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[451]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[450]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[449]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[448]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[447]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[446]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[445]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[444]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[443]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[442]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[441]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[440]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[439]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[438]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[437]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[436]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[435]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[434]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[433]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[432]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[431]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[430]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[429]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[428]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[427]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[426]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[425]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[424]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[423]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[422]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[421]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[420]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[419]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[418]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[417]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[416]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[415]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[414]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[413]" won't be mapped to RAM because it is too sparse -INFO: [Synth 8-5546] ROM "memory_reg[412]" won't be mapped to RAM because it is too sparse -INFO: [Common 17-14] Message 'Synth 8-5546' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. -WARNING: [Synth 8-327] inferring latch for variable 'readData_reg' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v:202] -WARNING: [Synth 8-327] inferring latch for variable 'readData_reg' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[511]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[510]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[509]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[508]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[507]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[506]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[505]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[504]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[503]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[502]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[501]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[500]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[499]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[498]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[497]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[496]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[495]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[494]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[493]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[492]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[491]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[490]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[489]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[488]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[487]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[486]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[485]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[484]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[483]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[482]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[481]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[480]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[479]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[478]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[477]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[476]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[475]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[474]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[473]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[472]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[471]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[470]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[469]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[468]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[467]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[466]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[465]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[464]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[463]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[462]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[461]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[460]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[459]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[458]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[457]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[456]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[455]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[454]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[453]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[452]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[451]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[450]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[449]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[448]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[447]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[446]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[445]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[444]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[443]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[442]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[441]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[440]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[439]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[438]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[437]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[436]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[435]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[434]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[433]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[432]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[431]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[430]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[429]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[428]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[427]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[426]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[425]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[424]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[423]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[422]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[421]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[420]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[419]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[418]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[417]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[416]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[415]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -WARNING: [Synth 8-327] inferring latch for variable 'memory_reg[414]' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:87] -INFO: [Common 17-14] Message 'Synth 8-327' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. ---------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:04:25 ; elapsed = 00:04:33 . Memory (MB): peak = 2906.012 ; gain = 2648.258 ---------------------------------------------------------------------------------- -INFO: [Synth 8-223] decloning instance 'CPU9bits0/SE1' (sign_extend_3bit) to 'CPU9bits0/SE3' - -Report RTL Partitions: -+------+----------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+------+----------------+------------+----------+ -|1 |dataMemory__GB0 | 1| 2378380| -|2 |CPU9bits__GC0 | 1| 1169| -+------+----------------+------------+----------+ -No constraint files found. ---------------------------------------------------------------------------------- -Start RTL Component Statistics ---------------------------------------------------------------------------------- -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 162 -+---Registers : - 9 Bit Registers := 9 -+---Muxes : - 2 Input 9 Bit Muxes := 520 - 8 Input 9 Bit Muxes := 1 - 4 Input 9 Bit Muxes := 4 - 2 Input 4 Bit Muxes := 2 - 4 Input 4 Bit Muxes := 2 - 16 Input 4 Bit Muxes := 1 - 2 Input 3 Bit Muxes := 2 - 16 Input 3 Bit Muxes := 1 - 16 Input 2 Bit Muxes := 1 - 2 Input 1 Bit Muxes := 513 - 16 Input 1 Bit Muxes := 8 ---------------------------------------------------------------------------------- -Finished RTL Component Statistics ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start RTL Hierarchical Component Statistics ---------------------------------------------------------------------------------- -Hierarchical RTL Component report -Module dataMemory -Detailed RTL Component Info : -+---Muxes : - 2 Input 9 Bit Muxes := 512 - 2 Input 1 Bit Muxes := 512 -Module instructionMemory -Detailed RTL Component Info : -+---Muxes : - 8 Input 9 Bit Muxes := 1 -Module decoder__1 -Detailed RTL Component Info : -+---Muxes : - 2 Input 4 Bit Muxes := 1 - 4 Input 4 Bit Muxes := 1 -Module register__8 -Detailed RTL Component Info : -+---Registers : - 9 Bit Registers := 1 -Module register__7 -Detailed RTL Component Info : -+---Registers : - 9 Bit Registers := 1 -Module register__6 -Detailed RTL Component Info : -+---Registers : - 9 Bit Registers := 1 -Module register__5 -Detailed RTL Component Info : -+---Registers : - 9 Bit Registers := 1 -Module mux_4_1__3 -Detailed RTL Component Info : -+---Muxes : - 4 Input 9 Bit Muxes := 1 -Module mux_4_1__2 -Detailed RTL Component Info : -+---Muxes : - 4 Input 9 Bit Muxes := 1 -Module decoder -Detailed RTL Component Info : -+---Muxes : - 2 Input 4 Bit Muxes := 1 - 4 Input 4 Bit Muxes := 1 -Module register__2 -Detailed RTL Component Info : -+---Registers : - 9 Bit Registers := 1 -Module register__3 -Detailed RTL Component Info : -+---Registers : - 9 Bit Registers := 1 -Module register__4 -Detailed RTL Component Info : -+---Registers : - 9 Bit Registers := 1 -Module register -Detailed RTL Component Info : -+---Registers : - 9 Bit Registers := 1 -Module mux_4_1__1 -Detailed RTL Component Info : -+---Muxes : - 4 Input 9 Bit Muxes := 1 -Module mux_4_1 -Detailed RTL Component Info : -+---Muxes : - 4 Input 9 Bit Muxes := 1 -Module register__1 -Detailed RTL Component Info : -+---Registers : - 9 Bit Registers := 1 -Module add_1bit__44 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__43 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__42 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__41 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__40 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__39 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__38 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__37 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__36 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module mux_2_1__1 -Detailed RTL Component Info : -+---Muxes : - 2 Input 9 Bit Muxes := 1 -Module add_1bit__35 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__34 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__33 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__32 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__31 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__30 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__29 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__28 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__27 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__62 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__61 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__60 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__59 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__58 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__57 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__56 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__55 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__54 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__26 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__25 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__24 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__23 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__22 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__21 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__20 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__19 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__18 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__80 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__79 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__78 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__77 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__76 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__75 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__74 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__73 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__72 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__71 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__70 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__69 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__68 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__67 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__66 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__65 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__64 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__63 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module ControlUnit -Detailed RTL Component Info : -+---Muxes : - 16 Input 4 Bit Muxes := 1 - 2 Input 3 Bit Muxes := 2 - 16 Input 3 Bit Muxes := 1 - 16 Input 2 Bit Muxes := 1 - 16 Input 1 Bit Muxes := 8 -Module add_1bit__53 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__52 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__51 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__50 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__49 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__48 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__47 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__46 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__45 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module mux_2_1__2 -Detailed RTL Component Info : -+---Muxes : - 2 Input 9 Bit Muxes := 1 -Module add_1bit__17 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__16 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__15 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__14 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__13 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__12 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__11 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__10 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__9 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module mux_2_1__3 -Detailed RTL Component Info : -+---Muxes : - 2 Input 9 Bit Muxes := 1 -Module mux_2_1__4 -Detailed RTL Component Info : -+---Muxes : - 2 Input 9 Bit Muxes := 1 -Module bit1_mux_2_1 -Detailed RTL Component Info : -+---Muxes : - 2 Input 1 Bit Muxes := 1 -Module add_1bit__1 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__2 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__3 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__4 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__5 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__6 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__7 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit__8 -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module add_1bit -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module mux_2_1__5 -Detailed RTL Component Info : -+---Muxes : - 2 Input 9 Bit Muxes := 1 -Module mux_2_1__6 -Detailed RTL Component Info : -+---Muxes : - 2 Input 9 Bit Muxes := 1 -Module mux_2_1__7 -Detailed RTL Component Info : -+---Muxes : - 2 Input 9 Bit Muxes := 1 -Module mux_2_1 -Detailed RTL Component Info : -+---Muxes : - 2 Input 9 Bit Muxes := 1 ---------------------------------------------------------------------------------- -Finished RTL Hierarchical Component Statistics ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Part Resource Summary ---------------------------------------------------------------------------------- -Part Resources: -DSPs: 600 (col length:100) -BRAMs: 650 (col length: RAMB18 100 RAMB36 50) ---------------------------------------------------------------------------------- -Finished Part Resource Summary ---------------------------------------------------------------------------------- -No constraint files found. ---------------------------------------------------------------------------------- -Start Cross Boundary and Area Optimization ---------------------------------------------------------------------------------- -Warning: Parallel synthesis criteria is not met -INFO: [Synth 8-3886] merging instance 'CPU9bits0i_1/iM/readData_reg[0]' (LD) to 'CPU9bits0i_1/iM/readData_reg[2]' -INFO: [Synth 8-3886] merging instance 'CPU9bits0i_1/iM/readData_reg[8]' (LD) to 'CPU9bits0i_1/iM/readData_reg[6]' -INFO: [Synth 8-3886] merging instance 'CPU9bits0i_1/iM/readData_reg[2]' (LD) to 'CPU9bits0i_1/iM/readData_reg[4]' -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\iM/readData_reg[4] ) ---------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:09:23 ; elapsed = 00:09:37 . Memory (MB): peak = 3340.348 ; gain = 3082.594 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ -No constraint files found. ---------------------------------------------------------------------------------- -Start Timing Optimization ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:09:23 ; elapsed = 00:09:37 . Memory (MB): peak = 3340.348 ; gain = 3082.594 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start Technology Mapping ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:09:23 ; elapsed = 00:09:37 . Memory (MB): peak = 3340.348 ; gain = 3082.594 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Flattening Before IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Flattening Before IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Final Netlist Cleanup ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Final Netlist Cleanup ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:09:24 ; elapsed = 00:09:38 . Memory (MB): peak = 3340.348 ; gain = 3082.594 ---------------------------------------------------------------------------------- - -Report Check Netlist: -+------+------------------+-------+---------+-------+------------------+ -| |Item |Errors |Warnings |Status |Description | -+------+------------------+-------+---------+-------+------------------+ -|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | -+------+------------------+-------+---------+-------+------------------+ ---------------------------------------------------------------------------------- -Start Renaming Generated Instances ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:09:24 ; elapsed = 00:09:38 . Memory (MB): peak = 3340.348 ; gain = 3082.594 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start Rebuilding User Hierarchy ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:09:24 ; elapsed = 00:09:38 . Memory (MB): peak = 3340.348 ; gain = 3082.594 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Ports ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:09:24 ; elapsed = 00:09:38 . Memory (MB): peak = 3340.348 ; gain = 3082.594 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Handling Custom Attributes ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:09:24 ; elapsed = 00:09:38 . Memory (MB): peak = 3340.348 ; gain = 3082.594 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Nets ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:09:24 ; elapsed = 00:09:38 . Memory (MB): peak = 3340.348 ; gain = 3082.594 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Writing Synthesis Report ---------------------------------------------------------------------------------- - -Report BlackBoxes: -+-+--------------+----------+ -| |BlackBox name |Instances | -+-+--------------+----------+ -+-+--------------+----------+ - -Report Cell Usage: -+-+-----+------+ -| |Cell |Count | -+-+-----+------+ -+-+-----+------+ - -Report Instance Areas: -+------+---------+-------+------+ -| |Instance |Module |Cells | -+------+---------+-------+------+ -|1 |top | | 0| -+------+---------+-------+------+ ---------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:09:24 ; elapsed = 00:09:38 . Memory (MB): peak = 3340.348 ; gain = 3082.594 ---------------------------------------------------------------------------------- -Synthesis finished with 0 errors, 0 critical warnings and 526 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:09:24 ; elapsed = 00:09:38 . Memory (MB): peak = 3340.348 ; gain = 3082.594 -Synthesis Optimization Complete : Time (s): cpu = 00:09:24 ; elapsed = 00:09:38 . Memory (MB): peak = 3340.348 ; gain = 3082.594 -INFO: [Project 1-571] Translating synthesized netlist -INFO: [Project 1-570] Preparing netlist for logic optimization -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3340.348 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -INFO: [Common 17-83] Releasing license: Synthesis -177 Infos, 111 Warnings, 0 Critical Warnings and 0 Errors encountered. -synth_design completed successfully -synth_design: Time (s): cpu = 00:09:36 ; elapsed = 00:10:01 . Memory (MB): peak = 3340.348 ; gain = 3090.086 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 3340.348 ; gain = 0.000 -WARNING: [Constraints 18-5210] No constraints selected for write. -Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. -INFO: [Common 17-1381] The checkpoint 'C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits_tb.dcp' has been generated. -INFO: [runtcl-4] Executing : report_utilization -file CPU9bits_tb_utilization_synth.rpt -pb CPU9bits_tb_utilization_synth.pb -INFO: [Common 17-206] Exiting Vivado at Sun Mar 24 18:38:37 2019... diff --git a/lab2CA.runs/synth_1/CPU9bits_tb_utilization_synth.pb b/lab2CA.runs/synth_1/CPU9bits_tb_utilization_synth.pb deleted file mode 100644 index 68f663d6bda6846e0208636804717499e21166c4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 289 zcmd;LGcqu=&@-OItPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- zdG}b4ZZS zSv!!xTzeql_!LAkymbMQdtHHqW2+m8-Rlh^?*hpNhowFs_9q`H_mBuzqj+b3UtfPe a9Vo}c(a+D-XKf%z$zLGZV1G0S#0CI9szfpX diff --git a/lab2CA.runs/synth_1/CPU9bits_utilization_synth.pb b/lab2CA.runs/synth_1/CPU9bits_utilization_synth.pb index 8c1d27574d94548d95547a2fb45babde328da46e..cc5951344f5154e4bafa666c57105db05e653813 100644 GIT binary patch delta 59 zcmZ3;w2*0nxq^ekTwVqqH;<>hT-TLt-U=}=FgWZLX5cyI-YNnTnHcWF+hG6K0x0fu M)RKY0W8#KF00R*blmGw# delta 59 zcmV-B0L1^H0-*wsHz1-yoecmCMo8ri{+J^@?GgZh5JbHb01U=Qg%r^25kHYvObq}) R{Wt&s07At%00795uyIz<6b}FZ diff --git a/lab2CA.runs/synth_1/CPU9bits_tb_utilization_synth.rpt b/lab2CA.runs/synth_1/CPU9bits_utilization_synth.rpt similarity index 86% rename from lab2CA.runs/synth_1/CPU9bits_tb_utilization_synth.rpt rename to lab2CA.runs/synth_1/CPU9bits_utilization_synth.rpt index eb83468..9e9e859 100644 --- a/lab2CA.runs/synth_1/CPU9bits_tb_utilization_synth.rpt +++ b/lab2CA.runs/synth_1/CPU9bits_utilization_synth.rpt @@ -1,7 +1,7 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ----------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Sun Mar 24 16:58:30 2019 +| Date : Fri Mar 29 17:10:43 2019 | Host : WM-G75VW running 64-bit major release (build 9200) | Command : report_utilization -file CPU9bits_utilization_synth.rpt -pb CPU9bits_utilization_synth.pb | Design : CPU9bits @@ -30,13 +30,13 @@ Table of Contents +-------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-------------------------+------+-------+-----------+-------+ -| Slice LUTs* | 578 | 0 | 101400 | 0.57 | -| LUT as Logic | 578 | 0 | 101400 | 0.57 | +| Slice LUTs* | 2 | 0 | 101400 | <0.01 | +| LUT as Logic | 2 | 0 | 101400 | <0.01 | | LUT as Memory | 0 | 0 | 35000 | 0.00 | -| Slice Registers | 235 | 0 | 202800 | 0.12 | -| Register as Flip Flop | 81 | 0 | 202800 | 0.04 | -| Register as Latch | 154 | 0 | 202800 | 0.08 | -| F7 Muxes | 6 | 0 | 50700 | 0.01 | +| Slice Registers | 3 | 0 | 202800 | <0.01 | +| Register as Flip Flop | 3 | 0 | 202800 | <0.01 | +| Register as Latch | 0 | 0 | 202800 | 0.00 | +| F7 Muxes | 0 | 0 | 50700 | 0.00 | | F8 Muxes | 0 | 0 | 25350 | 0.00 | +-------------------------+------+-------+-----------+-------+ * Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. @@ -55,9 +55,9 @@ Table of Contents | 0 | _ | Reset | - | | 0 | Yes | - | - | | 0 | Yes | - | Set | -| 154 | Yes | - | Reset | +| 0 | Yes | - | Reset | | 0 | Yes | Set | - | -| 81 | Yes | Reset | - | +| 3 | Yes | Reset | - | +-------+--------------+-------------+--------------+ @@ -117,7 +117,7 @@ Table of Contents +------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +------------+------+-------+-----------+-------+ -| BUFGCTRL | 2 | 0 | 32 | 6.25 | +| BUFGCTRL | 1 | 0 | 32 | 3.13 | | BUFIO | 0 | 0 | 32 | 0.00 | | MMCME2_ADV | 0 | 0 | 8 | 0.00 | | PLLE2_ADV | 0 | 0 | 8 | 0.00 | @@ -151,17 +151,13 @@ Table of Contents +----------+------+---------------------+ | Ref Name | Used | Functional Category | +----------+------+---------------------+ -| LUT6 | 439 | LUT | -| LDCE | 154 | Flop & Latch | -| FDRE | 81 | Flop & Latch | -| LUT5 | 69 | LUT | -| LUT4 | 51 | LUT | -| LUT3 | 50 | LUT | -| LUT2 | 45 | LUT | -| MUXF7 | 6 | MuxFx | +| FDRE | 3 | Flop & Latch | +| LUT3 | 2 | LUT | | IBUF | 2 | IO | -| BUFG | 2 | Clock | | OBUF | 1 | IO | +| LUT4 | 1 | LUT | +| LUT2 | 1 | LUT | +| BUFG | 1 | Clock | +----------+------+---------------------+ diff --git a/lab2CA.runs/synth_1/gen_run.xml b/lab2CA.runs/synth_1/gen_run.xml index fbd2015..81c742a 100644 --- a/lab2CA.runs/synth_1/gen_run.xml +++ b/lab2CA.runs/synth_1/gen_run.xml @@ -1,11 +1,14 @@ - + + + + diff --git a/lab2CA.runs/synth_1/htr.txt b/lab2CA.runs/synth_1/htr.txt index b59ff1f..641d4cb 100644 --- a/lab2CA.runs/synth_1/htr.txt +++ b/lab2CA.runs/synth_1/htr.txt @@ -6,4 +6,4 @@ REM to be invoked for Vivado to track run status. REM Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. REM -vivado -log CPU9bits_tb.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source CPU9bits_tb.tcl +vivado -log CPU9bits.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source CPU9bits.tcl diff --git a/lab2CA.runs/synth_1/vivado.jou b/lab2CA.runs/synth_1/vivado.jou index c45f63a..8a85c6e 100644 --- a/lab2CA.runs/synth_1/vivado.jou +++ b/lab2CA.runs/synth_1/vivado.jou @@ -2,8 +2,8 @@ # Vivado v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sun Mar 24 16:57:48 2019 -# Process ID: 9320 +# Start of session at: Fri Mar 29 17:10:12 2019 +# Process ID: 21792 # Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1 # Command line: vivado.exe -log CPU9bits.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source CPU9bits.tcl # Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.vds diff --git a/lab2CA.runs/synth_1/vivado.pb b/lab2CA.runs/synth_1/vivado.pb index 02439a4c566ae5d03db36500e4c294aeaf749ac5..37d60531e3512131f5256c7c926b9baaf779302b 100644 GIT binary patch literal 45172 zcmeHQ?QbK;c{g>EuAW>|wRN0WPMxt6_3mVo;=b^;abrm*omHQ5itc3B3Q}RU97&s7 z?y|c}o#e8PlfVdyHn5R2X;1`clTQs2q%Hcb{m^eIioO^9P@qWruk@LH7Ztc|eHOI#JmSQ>hM6Em!%0)*z*gur3a$dY6UTu&ArL8;l;KlBY zoEHS?=&9E9$@GW0r{<c5=-#@|#m=-Zc-};vLG6 zrrBugUb}(uGxO83T&pb3n2LRBi=RZl5O6YHtoRIiD&gw8=xDXRw7s@<_uj_+yW1OU z_m#rz#*e@R#N#nt74 zZK?K>ZMH3y*n7f~K^`sz78Q=?atZhUILb+LQ}GX~xz}>Lv(WZ^312{{p*RXB``*i;FJCPCx<-wNcK#MX@z? z)9uFX9;iHru83uUr&s*H9D3d#YvO&~R3Bi?#s-0&8na^MM_{zkFIQT5KW^E6JGw9%bj3&X^}&X4hR#FNscd*0!*b+ z=}EPOQRcr5&b2{M0#?ZhkWM(+(lKSo#vQwx?UOxuFKIwI*<6#0TwKd$?3M+wr$dc8 zcJmSe{HByWcS@%X<4!*tJVXsJP6SBH{X;tWqe=$K54gHPSpQllj(P+uAx;7$$+77x zNW0i!WoS~5F(vOh3tzN`PETnx_ULkG-;hki(BKnjs#NY7$Pz{dd{ciP7a?=F@X}?7 zssA7tK{%=;5N(nNOno5A1f?OSJA-&5Kv&HeqW$kaiv6RSg&`M%=hwN0p&v(AmhbE& zz>z!vJw@up4nV<}5e+@R!-WHMc6NI|gG0}GG%ZL%+Ik(c`S}AbWNx5moQI~p2iy8B z9rmydC#xt`xVkg$RZYXpA~F!L%T=zGrhgwiV1t)&qeSC%((2tLkJ9@Bm5JIv9~{(S z9h3shvHv*{6K*%^rTR02@P7=B@F1N7MKx<%KmGM34659dA=AoW_W}M~5oMkQo*}k= zV8+M`5+z#}w|-JFWw(1fZ#wxT4#C4nA-j3@6IC ztnCZ*1uMh2KjUKDb@Zzq<1Fp)2zX~6YO135y>2uqURe{lrn*C9Ko1ERYi9A! zgU2~egeb|fwy4}6pbX2nS4?QCf>6$2u0l!TVp=wH6-sjNeZF|M>~QmRZYEHd%Gx#p zj9Al&!+6x+4;7+#w%RG492*Fey)(~X$QPA9g+8)s8jfY^T&c2O&7lVuo2n48YD6gu zKj%7sH3>C@#ahZ-QZZd- zsBxuo{P*BNdlGtkffm#X?1lD)p2qg=!^Dspnl+O$Y^QLI-F&Kk7fpT0!Upd0Ig~J|k%KtsHtc zgb9YcAcF(aLk@mZ(KV3%06m=E+D4@$#7d7FV&iYKH(A#FCR%hQsPG+AX;8VREh;Pq z8}C$uioV}4K+vn{HeL!F#$UHhwr(Z#Vo)n8msU^EOPlyAy^Ve;S_jaIqskhfP_;Pg z395;|j(#N+M>|gkZdMfXa*+zbh00ccd&Yl%kVAiz3mrJP?>UTk(%tzVa_EcOcQ^4% z3-(L+p=n%q@IJv!rPYFd0+jJqTw#>$`~#mJnR>(hj4EYGFvJd2ROZoa(^Yy)=%*pYxyK4n!nA_QoRvzl93{i}-S;og z(DA!D^wlmMx9{J zqVZd7L7zh}znp)W9r7@5BX3^jF1mv|jCt^uz?l|LrVw{Fe4K6E;V$|DtsYs?x>SN;;dmR#WKh8<`5}8 z>N<;90nK|tsP06G5$R4FHH>)lHo;x}=UB`jJKe{uE8qNx!5ZU$vY%)^xdY12vP}td z`=BX3mEuR-ju$|Brq3MtNT`odTwgtMoWOoN1oF0zxFO>9JhB;DKMvKCwoOl<^%0|W z0kma^l{~c>j#yM2k%|C5VyKcs;RP>B^fgU8ms6m$^@xGG08TNXa0pJl#&#N@8R7@4 zNETz}(PAfxBkwqvwn(1j_Ql%72|8lfJuTSZIEngBD^>#l`&7x+_VdgHI)_$_#yg}8`5>8%gATlm|=drTI- z*M;dnf;G{t>}4?H#z zPRghCoa1l5zdMsI^1~eZW;b1=pH}RdIs)5>@h2?`LXT|3Qms~~ROnCqCoO`iZJ{Q} z$s`G%v^ahQFEcYBoi6NNE;gU!G0Mz{uc>>1)6W=c)0hida zNXFl#VB2dY7Z8T@uFn%khg@pBsuRU%w^GU)zYC7vf^*hSFCmSyvmf_|^)@=JxfUEi z{(~H9hXEiON_!9g#H1Ok^tdgv-D2b9E9eu!0jSj@0uN62y*c&3N1H9@OK8KN+(qVi zK~n~og8#d-!tRyeyZN+b_>Fz*lPcj?r~6UxD)>LFFMz>)+G)0RN84*y+A%Tq4B|k& zyt)+q|1mChwiMfjQ$3wc`ep2W8GB#mzOiq8QYA)N6_C$$8*D>2W*0WE8}0=49yQ!BsB~MIuyMczvv= z?L7~!lKr-(yCNGqFnh;A!pr0*VvIkC{neQu_9r>?wP+CQf$WnW!N%Wk@p)IzPJIg` z0qn~TJ$3OtMJ6LYT5^HCbOmM&ehg|^adjfk64K6tfB$yh&!_Ii&>4#;z%yRY$kSo{8FR=Y$D=v>GC&&z6yf1Z@3p^R?HSWU0qJopo_)ZWLv8XeL|&$avv{F_XNA^v{L^sI z3?1rjuuqZfB4ILaywHoW)b~5bY`GNi+2PTJ{wwrq;&Utw+z{h+;;2VExa0kAzyW9v znhJmQJHA&^qqbJzpH6sMfAB&_fa&;q`16+_dJSBj^r5E3j*E8DdUt4d5U7hkga=jP zA|~!G>MQVyp3QMJeI9xTcx?AXQV|Dl3n^~xi*$$Chg77yd*5iXKHi4@Sc9QZor*t$ zJgt4Rj@4Ehzlw{+I{XzbaKAou?w@$Gn9$yD=g?Pr*h^1|>cknd!7B*gw-m#MbTT?z zw@(e{h){js2Ho>^Z@uE=+L_{t(c;=rT-%kaU$8;z=oqpEi3JTxRf*w>4r<>RlAYm7ffhGa zt1e!Fdx#(-+~a|Y372ULjrklU45?s&VHEiXxCkO2Qhkw_b<{nUP2#@+EYK*9}=Hg9(qgS z<1Wwj2l!Byq(Zrp@T8R_kGPBy@hI^IdI=m}4Ii5UBJtp|D$RGH{lG(dMz=6GJ3lQ5 zMQQOPkuUCE6+q8j6lf1Hdot_M86FUa?;jEBlT`j2gIYSM&=Uh625B^IB3*V;iL2tj1jK{{e*$^-}-< literal 111958 zcmeHQ>u)31bvLz=9N%mcrLmn@dHC9nx>`A;=0$QyXKSytT6>d~ccb04<8>TjL|#c# zlQZnha945_*J+vtMS<2WnkFsUqHWS=fV`R%{m=sa)S~?t`uI{5MPDe20)2hzxicJ! zBVKBFNs*VDjIdaVL-Ni&zkAN_o_p>+XV8zK^Xqn_VOX^?UUJdG?BYybU3uu9dC#cX zUbU`#92MLH%iF8eh->azIP2L>yngdeY1{PNinookO~dit{RTW6?-CCixNcU7r83(~s1BG9)xqV~RhcU~@LRjt_F$+t5|dfxWagAl)Vmr!)s;za?W+C?y76IoGNiE z@~TDduhtCD*dz_xImq2x$n>J9ydTZz`GuL@cl6A2nY;6PmUaWgnctre!~sQ^S#lT7 zugv*MqTy_!}$imWqjLg5WMVOEaPgkg)d=AD${=oeg=aCO_$V;E~+?(gMQ-W z%{BN+lNhhV+iFqC$)yr}W!C_hE@4^La+;P$y&Z;5p5H$obn>4wXwmN;sMZ@);Tv|X zRS(nR<2}R0mW>0otJ;?5*mdkSN!8pjNe#3M20fI1tYVbk}je5n3n4~t*Qsi5g5t9B3ID0 z;dHd;mVK0!SN8ABpbItIBEb~IG*7&|m=eumU+^BCVG!>c6!kwLmcc8Ei)D$Ekp88YgDTjB26t$Gb_6Kq=;TnFre z`(b+KJ<~gYdEfPb+|K0--Q0fPF+H+j8QXQjz+!w-pFFkB=~?JzHv#_p=}8T)lP~oZ znEt0)=C2J;2g4~j7`(zjqz?~_PdNrKi3kDwJr@Cd06n-xcCVUDjb~f`9rWyQGe!GG zr!+tCd2S$Qja2O#aTsIDmh&#UGMt=ihHF+geLvFeBP@7sNsx(LZv{2;j|M zC0=#!j%9ibQQ3z7GP*pRpVPTjA17x3&ovU9;-fg20E}9#LPOpIi#pEP9u{%=0?*{f zw^DD5D^H8Kyn{Q!`fV7|!PtuowSdd48F8F?F#u2ziyy&T9>yd95q&y<8pMDiNb&In z%oucTRi?)!QdKU#m@1b@)h6o_@eCWNbZI(N8kYI-gB;Q6uMY1L4K?{cie_YKaIi%B z3K5+Cn#(VGA3AsW`W=RtY>()Z=$YX>BDl#Z`9lB7B_9yK+uEKUUuY4{%Bma}`iMAx z|HCElGJ4p%Z@U#ZAJDAB?y==fneas}YUhsoSoRbN3@lgBxmNeTJ3PyQs&flZNsi_A z3GfC7!Yaaa-yI&SQLTjH#<2%7PA}SLlvtyR0QY;t12+txQn02Z@H`m(M!@3srEO<= z7#EDHsAxQE?-2seu#VuBovOwZ1)gJ}ifG0VbJKLGMnU5`b2IAP#3?-0jp+&C#$z>_ zZopa3siF#E-7151<<8tWXjE1{s?s%0t*_n=b zE=a8BxtQbkhj%!J6QAnGlteiPLMx&l|HY*r7tnhH{cz0PJS~Gudcy<{b z3aU5L6H|>xE}}Plu`~#y)*<(z;_VsMw5W=zaPi9sE8n4{2klTeg2-bg<9M(l+QGNO z4w2-wjZaR6UeHy(rf~VMBkV443QkpGNh+X_M8ScIALfW!{~sh6z6i;fOD@eM3^LtTVef=yM8LJ0O=vQh z2T5V~2(CFM94^k$1Vh;DRl<|S5E>1V5i6Sv7VNvxHij)VI4^MW7L%%Lk%Yw475~NW zZ}D$@e~W+ftcm~S;g=76jhelCPAQUOBV-KBz)yaOyQKQPtv2`;>taz3BKe?hMA3+N=d9p(GJpwU$by|*@ zf|FA!ah;|3=I}%}3J6qDre_}~9EL3PIC`+DlM8hOV4dRSs9qJW=@f zquI-^O0V@UGH9V4+Guw)9}tV*I#zTDMyhqyv`lvo z1}3)XkX+8oJw=D$qSmMAkfN%3PFC_qRfiNwmvU-Rjww6zre7Kfg{6^p&{p=ef7m@h z`zR=U^o?UceJO+9%5;!|H3t-ufkH|ie#xktP$L8?%Jiw!q?9GC2Q;`?N*-w9hwY`P z;`}gL@k?sq>$Xv&<*RPex^HmMgx1ueudi89Z>`}EUyMSCmu)jDTOK_TN=2*Ll^Nyi zre35!Ic8;F&7hw>va(RT69z4{xmVD;L!A7ghJIDHb5Pf8Wj-hwsQeK6!A|+VlPVp~ zD{@woXsN?awWPnupjWqUY~m-E+$ZsU+q&S1tFT~Nh$K@r~t@$4uG zXGg6hoE^22aCU@Jv7*&cD+y;utt6ZswUTgl)JnqHQ7Z{&N3A5B9kr5pcGShQqb{Bu zb@A+|i)Tk&JUi;**-;nIj=Feu)Wx%-E}k8W;@Pn%o*j$g*|8{|9gE`Gu_&G$i{ja_ zD4rdQ;@Pn%o*fI~*|8v=9Sh>wu^^ru3*yyY(OhlH$nlKSr4spUnWQ8LVCSu~f8+Q6=qDGOOo|-Tb6Yt%y(`yqpii!7b z*y+Iu8^y$XH|+H0gpFe2y&HCVcCtp1nf{$H5fdM~(U|G$2^+=4$8I!c`hCJiG4Zh* zjafb*VWXJ%*p0?4e~_?IOn&T!S-v4zqsS}|kuVXHAG=|ew@BD1CO>w=EYFd!QA~d9 zhFM-DVWXJ**bTEhO0q_gS$-v9A|^j}!z>?@uu)8Y?1owXCSjwP{MZe%d{4qgG5N6@ zX8ECnjbid+H_Y-$$r?pwd8UMknEcocv%FNoMlt!Z8)kW|gpFeIV>it5UI`n;S)tWji^A5EBu z$&cMI%cmx66q6sjVU~YQ*eE7HcEc=Ro3K$#e(Z)>em7yGnEcocvwU!}Mv+;bIAJ0t zKX$_`ubi+^On&T!Ssr?dM)7Z^u^#i|=$&CbW+*z$sD{i@j`>YAv*a$Il{xpo^7fP+ zw3R*WAJp4JD3px$(c75^7G}`5GkzD&hh6we27Nwr)wE1^kJRwi?d$kP6Y5=?Zy26w zTlgkaye3$|WqccoVB=-?Vi{MPEqn<}Qd!c+}2PgI5lx_k|_*0u=K;rzq;moNc%VuJQ!>f%ThrO53LAN~^av}^NA@?07 zAcGvpP=i|Pfk9L%&#y_iEt~(QOkXH!QCD?|Uxq^^xI?IA(EhpvmwN61*JQa+;=jXb zqa@yzuSd06L->{lw%{AZy4`5n7O^~f%`;ukth#a5Azq?SL?u$u<5!4hnDBstV?tfC zc5EQ?7*o-s&A|f!s1khY#+DoZ-Mi86uA$sRRKM{xtJ(5=UBxn9gQp^dKS8sXuw0<3 z9MiK)(bkvHduY$L$S#Z&f#){FipK6<*cbnIDePWXvzKtme=nwcrD*qnv}yM?Tl?_* zh#|WcL9Wv^+L?B7rK#Qw>|>y5oFX;Mkd;KcI(6UJ=(g$?ECPopTI*$)+DP#T2r*|5ti$;t`DN` z;wO|FQ6ej%ry^^FvpGwOhxL^xtd~*t$n$u?B#z-!_rSHSML)~`l~B8L{+LqS)= zPOD0nlI>N0%%D#Hh@6&R)L(r`)vghzQjrs;zNhZYM4ZSGT7NF8zRT$0w)(;|&ivIw zrJ_%GEX1v526CYWK&+S|)*W3P0I@=f7$;)+6tQl^`c5g0)_z5+$d!sZVZg@V5k*LU zgt$aJSn#~f-dK7!-qY`_oEBIgi_Va+F%kOOfwOYjYZToZ+R;?*^=mwY@ zC#_e##4xEn$$?h!m!n?YMf6CUw~Sh?BExBuN=2JA)eG5R9%wUg5VR)kN}O%9Che-8 zZL}s$US}IEX~GlZnMO-3rD(NJ!4AOk>ZCUV&bDq%dL!U$qc!PGfayi+#ATvFquG<5 z5y!CjiG&?TSSAiaTa(@`nO@Zz1g&)86DEcXf>yfl;Xx~1`0$`LX_`OVyp=9|ICM)% z7d|{_r3)V(w972-;Tj`w0fmS}96M4`|=R_W~(m9a_tuz?O zgH{^f;z28oZ*icdq?X8oR%(enXr-3OgH{@8=0Pi6Rr8>guBv&^N>|k!XvyiSng^|P zRn38xo?0RYTE)~7dC*ENkq50bzQu!9x~k?uD_vFdpp~wwdC*E%)jVjWt7;y!(p5DN zTB$G3fmR{)<$2IbeR&?VQeU12t<;z2K`Zs;dC*FIc^uZ%K%C+wvT{e#e4p z^OHSxdiptJ(c01@6qjO`u8L%+v1@t{R6c>(dbIEC)(0wOF+l65T`@e`cXsvMfoggW zkYANgZ925+LC{Lq=R9bo>vJBo()Bq9T3Wh3=Rqr7pYx!VuFrYUO4sK+Xr=4(5Uuz! z9Fz98PJam%efZCK%W%jkZH1~efGZD+tjVs=&vaU-oYEGLZlz&G9<1p!9^Fbq5FuK91N=u7jME522v)o&KWU1eVoyFEtVw$hQw&yLM;>a3%N1Iw9_lqt z*pVMMTfi|lKV691`HNEb`0AQuQR!H#!fHi53Khv5uX^ubBV5Pwa4l_*SS{z`d zAyW>p(oMt=tlr1(9DBaagk93_JgO`6=#G+2`+_bCSJ#D~2l zZwWnu4ZazDblDEt%AWR5%)aBR(H^X$)vKmux_hLCw{Bm@FPOwJoa&xgHR^cXZZvI+ zSRTIR86G@R-mJPY&5IXMd=FlTLM)*V0(_2#Z_@v^h-uFpI^*s`i&9`THaSsPKn^X6HlQfKoI!=AV>gXmusBe%s_ko;hnHMzRDBzD$&a?c zM=-%*Aa&B9?gCXlU+Gj;4VCT@l#v+fvy2}8^l|;uUc@}{0ty!G+pq`!i#DV=kO+J z*uaU)n``iuCNW-zw^db>a;m1ocXkcaqE{qc$>mELnL z8@u?ByXi6Z`qY{huQpesYLi2Yf#(3$M49SLISS&@{Q5B>`-Kd8v%_NyNK@KMX=}+{ zK;gs(j{B)`%NTiFyrK1~O`Enzb*q7*vq!Ae zgJ?=xz1o-hT3zlT{MqFcMqcoHh^NMf;_a+=?~SVD6k(x%bi&u#Wsp$@2ePW`)y|c!VvO#k$833 z8N%yzVpy$aOk3hjP<#(g*hEMn?bS~IN{m`T)NKY9Bb_MR&t%a34gqviX@3V$V+Gsv z4GsxV}fKuZQ*=8*6Jt+j$LLYdcO6|0x*G@KX5u$geuO(i!+TKdbk~ zhuHr)yylTc@Mrk{;fCYb4us&^+b-}IOt@YPeqSLj?6<&X5(MjlpSU<(?~U8NX9>|3 z=jg5Qukd$AUH~ITyL)eZ7@XHXY_#g0S*bbZJz`ZX;(=U&EK>V7#?s+U!=(}A!%g~O z9DEoDALhPsyZ0<1PE%s>Bz+^Q-bM6O;Hhm9%kaaC&l3wyN_YTlC(;{(rg)*m_uzZS zi27GE=!-|&B`1NKGIzRkPvebUvqtC77}~iWWik!X!(9GBr0tejuhBK<9azD4PJT`Q zi6rqf_MKxi2D{`x*-c}8kWD#E{LuBTzCv^8nb>c_7Ib>v5qKtsVZMFL8orW2pYOH? zHn6ENh&P7K=-iM;PXxyB0vxxi)0ttt<$87lU-mr5+-`X!HHUa}_`_r7@GBYgg(Gw5 z4{d4?;tk^CQG-y>hx^VB5co{3A>JCkb<7&RoIyWvWDSfJAH_8_1@WfP&ry0APASu= z;a2C|a&TBL#z_z_(B-IYUqp|DkQ-Y4-#40{RUq+Q;)$X;)2aH=ru4FUWXN^u}k{JoI6KVgT&ZQBTMK#VVA3c2=@d0-_qp!D4r@$UeWcN0n%C9i`vwu z(F;ej1zdeJlExE^YSKyZ2Su608ov-45uAQl}K+fnjX~2_fxt-!g(t4A2o-mOF#0z&WrN zZQTA|JBP}}_X(T>^QgYjR!EIS0#v=AsqSI9gC5<2HVl_y2Kgui&gYdu6a2x~)egcR zLJxHqqE~EaxR})=$yR@vLC?deU9)y<_oGy`!cBGm#d=6$;Z>i+;8X1_cw$wlhXpc$ zAPcjLGm5_Q&^_~>QM0{jU3nimCl`yo{qWzAWyTw9MeU>3cW1S%Mq!%WpAFKUK7$sa zbD>Vvu-mFuiRh*)5f2HpJVRYU%_eu>6e%I>lkADZH`wm|mr+qw$-qqco@(DIk zDyxOw{^21g7H@AxdJ*R+@~qQxrO<~Sy`6Um3MZ1@a}`Mp3N&Voebvp=L4<&;|xqu zdxTo2p9Q>UxOkfo3-5-<75quyTtSgLMDSsRs`Thj(}w#q6y+9rcD=kx_XyopQiX)X z?bVI-4K-hsve)5nc&)5o&N(g1T@6%HUJbL0E8cdlR&Dk+3Y{;~`6R+zzZSRzbBh^m zWggn2yzo{A)i?G@wdGF%WegK1Oa~QsS#KwS31Qt0si3@soG9$A@lz>y#SH` diff --git a/lab2CA.sim/sim_1/behav/xsim/dataMemory_tb.tcl b/lab2CA.sim/sim_1/behav/xsim/dataMemory_tb.tcl index 1094e45..8243a08 100644 --- a/lab2CA.sim/sim_1/behav/xsim/dataMemory_tb.tcl +++ b/lab2CA.sim/sim_1/behav/xsim/dataMemory_tb.tcl @@ -8,4 +8,4 @@ if { [string length $curr_wave] == 0 } { } } -run 1000ns +run 100000ns diff --git a/lab2CA.sim/sim_1/behav/xsim/webtalk.jou b/lab2CA.sim/sim_1/behav/xsim/webtalk.jou index fe12bc0..b4e6d63 100644 --- a/lab2CA.sim/sim_1/behav/xsim/webtalk.jou +++ b/lab2CA.sim/sim_1/behav/xsim/webtalk.jou @@ -2,10 +2,10 @@ # Webtalk v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sun Mar 24 17:05:08 2019 -# Process ID: 16036 +# Start of session at: Fri Mar 29 15:28:37 2019 +# Process ID: 28052 # Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim -# Command line: wbtcv.exe -mode batch -source C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Command line: wbtcv.exe -mode batch -source C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/dataMemory_tb_behav/webtalk/xsim_webtalk.tcl -notrace # Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log # Journal file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou #----------------------------------------------------------- diff --git a/lab2CA.sim/sim_1/behav/xsim/webtalk_13536.backup.jou b/lab2CA.sim/sim_1/behav/xsim/webtalk_13536.backup.jou deleted file mode 100644 index c58850d..0000000 --- a/lab2CA.sim/sim_1/behav/xsim/webtalk_13536.backup.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Webtalk v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sun Mar 24 17:24:25 2019 -# Process ID: 13536 -# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim -# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/instructionMemory_tb_behav/webtalk/xsim_webtalk.tcl -notrace -# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log -# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou -#----------------------------------------------------------- -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/instructionMemory_tb_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/lab2CA.sim/sim_1/behav/xsim/webtalk_14824.backup.jou b/lab2CA.sim/sim_1/behav/xsim/webtalk_14652.backup.jou similarity index 92% rename from lab2CA.sim/sim_1/behav/xsim/webtalk_14824.backup.jou rename to lab2CA.sim/sim_1/behav/xsim/webtalk_14652.backup.jou index 0520b47..5f01ab3 100644 --- a/lab2CA.sim/sim_1/behav/xsim/webtalk_14824.backup.jou +++ b/lab2CA.sim/sim_1/behav/xsim/webtalk_14652.backup.jou @@ -2,8 +2,8 @@ # Webtalk v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sun Mar 24 16:59:36 2019 -# Process ID: 14824 +# Start of session at: Fri Mar 29 15:13:54 2019 +# Process ID: 14652 # Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim # Command line: wbtcv.exe -mode batch -source C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/xsim_webtalk.tcl -notrace # Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log diff --git a/lab2CA.sim/sim_1/behav/xsim/webtalk_26660.backup.jou b/lab2CA.sim/sim_1/behav/xsim/webtalk_26660.backup.jou new file mode 100644 index 0000000..21e9e99 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/webtalk_26660.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2018.3 (64-bit) +# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 +# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 +# Start of session at: Fri Mar 29 15:21:59 2019 +# Process ID: 26660 +# Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/dataMemory_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log +# Journal file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source C:/REPOSITORIES/Educational/Western -notrace diff --git a/lab2CA.sim/sim_1/behav/xsim/webtalk_42696.backup.jou b/lab2CA.sim/sim_1/behav/xsim/webtalk_5080.backup.jou similarity index 92% rename from lab2CA.sim/sim_1/behav/xsim/webtalk_42696.backup.jou rename to lab2CA.sim/sim_1/behav/xsim/webtalk_5080.backup.jou index 9e45a86..cbfef31 100644 --- a/lab2CA.sim/sim_1/behav/xsim/webtalk_42696.backup.jou +++ b/lab2CA.sim/sim_1/behav/xsim/webtalk_5080.backup.jou @@ -2,8 +2,8 @@ # Webtalk v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Fri Mar 22 17:35:57 2019 -# Process ID: 42696 +# Start of session at: Fri Mar 29 15:24:02 2019 +# Process ID: 5080 # Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim # Command line: wbtcv.exe -mode batch -source C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/xsim_webtalk.tcl -notrace # Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log diff --git a/lab2CA.sim/sim_1/behav/xsim/xelab.pb b/lab2CA.sim/sim_1/behav/xsim/xelab.pb index 175ab9378d8334a5b87ce9004cf48bab7b237e57..a4feb667b8049fdf96af39c9c779cfe113421ed1 100644 GIT binary patch delta 176 zcmbQMbdr6-3&yV#Un;R2VB%UmnV<0rS4v_@qHk($eo^J**Nl>r|FUpx=3z2ttk382 z^vhRp&PdElPgTgwQ}A%{(Nplr%*)QqOIIi;N-fLGFD=fgR7g+FOD#$)Nlj76Ps&nA z%gjkFRw&6=NG?hR3Myo$7UiYp2(U^qn_60EaTaIhmgXdu cuufoP5Mbis~{h0RwlBB|MhkVE<^(v#sy$OOlrqDK4C6 z;NVv>K?#TN*7xouc#KM_PFFviBXHNTY`^O|t6S@5zu)uRjvEYz*_~p4w*O)0&cQDD z6YS0D>P#2lhY>HX1W7Xm?Z6*&>>vnEpkrBfr$UFn5lYzX1pdh4E__KTJg?rB@El7l zCOGNdWUrI{y$c?kmy8jXp03oou3NX^uU+tQcXZlYF!dJ7dkbm3h_GJCrUDfOPI^iriw!g^?l35;{{~wUbO?)uz9cv*Q>d;A z5+kbDLIch8HCNDqoi}hsXt;DVB~f*!WJCu>h7+v6pD%M13%*)Q9G3!U? zYeKOpQw#T@BnfTZFmH*f>dmH9E&NwS$}x{rW}1E-@MR>Y|G|m`QCW`l;mee`MN^?z>H}9e zD)Kpa)DU_0>K9X%7Bx^cWP4!Vky{9Q`R3A8(0fz2^}tsRw~aVcg41m}KR0FbKyxyY zBxNCiydyckG4pV)mU~Lq5CuXSIM Usage Report
" -webtalk_terminate diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/xsim.mem index a211095957f089ed0d1be83d52b26b6024a6eecb..c66adf902747f0430803812c6d3dd0818186e6b8 100644 GIT binary patch literal 19284 zcmeIaX*`tg`v&|irI1ueSt~`!lI+`Prv=#~OA)e@>@&0>dnII@ipak2jOYso9`%nM(z4QzDzhBjh zc0d33UH|*^zYP2@1OLmw|1$9ZR|XPkG#dEx#~#z4H@{zx2>QvbGi)BSU8gh8ii4QlH+vDRui@ zMrnzqPEw}+Ek`A7mWpEay=QLJH48Jny>Y|#vufIBRf^iz$;eY5$$5Kv7@3ZJyL9ti z<=`+?39Ce%kiw3|NqMfsqk%nY;>K*pbxpBpiDi zo?i*|48yvL)!(`PNz0diU-g_a)eCMsJcQK5Rowe|YPeUzwkcflSO2Tv(7+_A{HV>w z$*CXjJu$6|Go#%az7czqQ?MGmqixOP&7W0sW(gn1loF$96X%p2`DRnkw?>}e-J6JG z_iL@%N55{4NHV8d;6ho$%2<_oUV zoP=&ks~CM5lzrZpEcgODZxoC9kmIQ-GW^pyt{OE}U7@}aKIpqZS&DY0#5-QhO3xLZ zHmZaew#vggOQUBq8sFO3s>rZ`-?i&197ERYS(x$5@#F%5CLfTGfLE&&gbRn>lzskC z-N%A-7H`bhC%aV3$jPXs6y;`tkR^(aNzV=ZsDFg9#i^t--dAHOhEUx+lwKCllFZcV z2s6E?(;l=HouKO3M0AxbzRulrq1uyDDPFEA4%;4Hz|B13yRdA_vuK@+dcWGys`EiW zQhuNOpsxnO%qt^sd+9lB#0P8FUKX__+CeGC=x8q5d?NK?J2mr2ljPx&mU9Skt}1CQ z{@AEq9hc#1!baoD2o8A)Z~74aGMVB!5~#ddZhL@3UJ|~+%gl>94Fm+Z(HvFu$yu;A zhIH(}DD)(IljGf!0^m$c&l&Og| z1!72A7*0)PxTlr(f|vJsC$cmynUF60OxRYm;w?J0tQuE;&t@i)ryCQmy>>`}iWbVE%(A*X5R(BK)zYlJl)N zgQZ**XGYZN7!UyQ52as;;YDxp$QJh}2kprlRm^PnkxMpl+>~AHUMyYPp5@|HBmhm4mX>%wgMKG-se7P~+3c8;49>RwynnDntd zmcjaCU0S8WWk47m6zb?*R$Zp|k2{jsdpZB?TOfU>e=IA;>0c=QlCWTlYBd)7 ze&C^6lYNlj=Y*V;LEL<8fa*yb8QrR|TtoP?(SEnE(&Xf)-A0@EPjdKb=~yUI*;EC+ zrQ*0;w-cLT*m27Es>Kxzl{tO2tjUUg;)v7$PB9khFWu89N76bozxse@Jt?vhHhF^` zZImZfW;lxm@SZ!mcE~h!^*Qr*?wkk)w{OCO!Dy$cwD-c{NK>feyC&!e;nHMzZYGP? z@%s)tKdWMghP3uGzmC%0WSj%?{o=aTM9k`wZ-uhYrBtv8h`x8WTdvlo)~(7#yiNam zVT66o*iB?F&H1kIj=Aih+i)kPRpxZ7)j#rm1d8-1$EE%EUV3X^iO_S~R&iJPHMR91 z7PCp3kK8k|nkeFy)gM}IJ^Hjc4F^l&A-*yz@aUo?k$n|Aip1R=m1XRkavWgs$xX&~ zjo146BJMgPt4Do+9J}%Lu40dTzIk z_cEZa^*fY-a8>ojv|E?E`c#@^-s zqAe%kk{trogM9Ok{P^M5lqvHnHr->Sz-m8s)*GJ89}~;{iPtb}tp~WD&Q+gxsmJ1` z3)PbQs!cvZ#|7`LZyir>#SDD73xAan?HBU(eM)Zq%a`bINDKbllqWo_hgPmIhh43h zX;HoVNqL&QhoROGvhye#jSoPdKAlpVe1bqAo2-p87CaGroh)$4ef-u=Mni<^rC*WB z3dafNqFVydlH8mGl2^%A-|%xDR_}2RF{8pHFa9;x>HjhNUvIzZh!MucfO$Qcx6dlZFO$=azreOKdl2g>e zFs;Qp%wGK=V}hwBR04LY9b61;TZL(ocZ@!rgQ}Qu8nsBzhI;FxIU7AMO|l4(4-0rg zV{cHuW_6%2pUM`KabF_I5#tF1z)BU>J&5Z)?sK@+Om7Rw+^7~*7!98V);Rg{LXkI| z0e38SzzlsLZ!kiq7|>q?Bb=R|3mJ<>ohANWR24#w;O$rh7ESz86z4=Rbd`*RiT?c)pp6BA~z@QLGf(l`q*D8 zOJs2_7)k2S&Vl4)n7M&D`3Gq$mKV)gPZ9(y=@S~E9iC#VFqnUg1a#6DV;|uR|FwOV zK$M@$tF%Gj-r`@r0KQOCULkL=po8M_TC(PXH$UOU8cF@WMU?sjh&<@{s_6wN{1E_x zEqzjl=R2~Qw-((R@nHnMC%I?d_D^1_lF2KF$iO15+N3pD@}>_j!Ejs(&D!WWy^@Xi z^%CD5bpeeBTsG`vCrF~h8%ezgQNU%-$%_$qY$|`3!JIHV|v{d`5Rk$whjWD*VSC-;1lute`uw+pur^$lOb4g$ne( zhotdOfzWrN$^ceEn#CMX?Xhun-6^RO&?Xihst`?CnzkExFPRYxL0@hTh8gUGwr(PM|ghe z!*c^%lCq=SzrH5Y%-@cVv$dcJ4QjY7?a5BVape?hfq2l@n_sC)`y+6Tm;ndYqEz=+ z%jPvGN1AHS{Z!;(rfVjPBFD~5o+`mIMEIWV=8pqp;E}slv zH&aJyuC8`t+)Ch;;eplbe%Qk!KZi<}HjhGn@u@D6Hzc^jJ%IA|=|#tP82bCOI6kun zkph;*_Z?U*Jpc~l(%#M>msJQ0AMt7c zzs5;806buW9=OGa79fS)iUd$X-@%iuQ@KO|WVQT zSREJgIwfUlKB1muDqU*lmWE2O@-o>@2<4`Hs`57yC$42LAthhxC;Y^SXJ&d1|5Fk; z6!J?z08uRYP~w*@ud1&iS7TkeYimu}5Ib1P*s;F1u=8NK4cb{8ZH^p(H}}AqgC|Db zjk6JLbKbZY8!XNjADReet-j=hWchqE)DNY~TaJI1HL4$aD+M3o*8&u75F-}YwYPUE z4XnGp&Uxb!NP;t2+DWXb1~NbWBEz3=Q^LE}!kGPWjl%~Yg^RB}9(NFt&oycqDX$G< z*V#-7Hv)@@Yvn(HOc>rZEQ&7;{1h_w;aZP9u8_DF-n=ScmALNuqrWzJP64+aVyp3n zSZKO9D}4DNszRG<+y9?RiSa>ATAyU)>Xbtb)NHccOxa8fpM8uq&g4C7@p~@R6Wo4C z_^7&TI+XVk(fJJR6X{}_%+bMiJ{<|w*sJBp`80HFay#zyhwoy9S)4eOTnE^>3fh?% zObw`uA1Ib-)O+H_d{fxahHmPbt03g?CyMhq+8(^&J^M4+u`nG8tksbf!dIHgJ736R z^Hxu;M~U~cdGZ7-x65xtihKLoa{+ewT&YOIDt2R5Tl=>eKLB*Mmkl%LZ zf)1N&eSyG_$PbphMj270t`rAD4E=u;`>oqNe=0b^c5fT1<^O~FGDEe(klnYN{zjI| z*DhcbVPX7w3W@S)HJ!hE`5@{2v0fR+G~Aay%$#mMjluj8$-bOt_xX_p%4b!8PP=fG zgXhYHGrgzGLb$$NeRupS&y}a%!@ooi4!u5;Vc-BAALKIav43_|T)%NIB%Z}2)TcnZ z|LgbgQ^$`wT*+5gzFouP zZpZeOd>edee87(H#Q5Q*&Fn0c7x_JQLSE=#DZeh0M-I!Y@Z#=p*({w#>pkK>9g*ai&cs5L@WQ~zGqjiaUr>OrB89F&afJQ_4SodRpSj#eluw%M6=EZ7x*>_j=YS$lLV+gx3ivLI@@XpU}?2a?< zC4Q2Dj8m{&gydjIUW(!S2*nFt&YTaW`jomAnpG}Vrpb>-2s~u!O5cIKyLRTr*22lP zQ_5AV$3CvlikBwUjm@2I{% zB+rkEaL=w6%r=`l#(R2NB1Q&jSN-NmA@;=(r|4F~01M!Vtc+7k*z3qu22RLLmyH4; zXiatU`m>Y!r{uZE5_c58^+trWQrE6M91M5!u~lqaoV|WS`dXv8sPky>yAMU!Q~FQl z2nG^>JEH^Tw=W-tG^4-#Vyqi(iT_1hyVct+ci~`Odfl=T^kmEBXzQX+mU4Forb1VW zOZx7j3JfG{bRWEV>rWOHyy#6EIW+$bJU!=N_uDgSY1>t>0RK4_~yvU4whWF8`_xOU3ta6Q>GPq6;^&JqZfAhit zz>GfGqHfA^D~TB{ArB1`Nc&~JnVyl4eBdbZ@-%{uMyjIrPzP)cAl|Hs3riKH~1xS|y}B^3Av8&=FNb4ZF-#DBmkpHwz>38>N*=$xFYMjj?1zJb40Of`Dt2L)7M)4Zmm> ze;EjRZ<0;UQe+b@tQGZ%c?x2_u-v29JT zwpX_}_ybFSWn+A|KHa&mCh&%lNWUd(bIo2MhBML_5w>c7f;>%J=1dJ*ZREnzBR6w`0}P{gU-;?X7bdB-##N!NxAlB$MQwx(N+ph3Z)y!=uy-ujPfu%|i)B$y#*@CAu&)$S z_R0Pv!42SYFZ0OynR`2)Ad2+oN(W*jEVHkg!`*Jfi`3z?FBW|ruC`Y={U^#rY;~tD z~qP(!`kP0ta~MTUA7ysC5BP|uui;B?j6;r89+MSg&2 z3BtMz3vA~M{%uWv0A)YQ*78;S zCa%5IHdqzZk{o@4!0WaOdqYoJvQj8pXBP;Eda-*s6Rc%Z_vmkVV=_}U0`BINmEmB> z*9P#if4K?Ic&4#XLg8(EyP*#{JR>du}MWP@ZS!D(OS)tU!{KR8E<5CVDVBeyCE zhwpNao)qac+!E3MA|%CTJG%qPxU5AYUvAE8oeZT4H|t8HRV8mW4R#nGDD4M`4LeYQ zRZc!o9y#Cla4~|b+8mcwv7;|Lg6t{NP6F9%WxC5|0TMY`OH|6=HnQ&r<0iyWRSm8A zpQ=*KO3u~h@0PU@b_3peMKm}5aX$A4In8e;-WyrhbX_;u*rVVdgq!p~6?pV=2$r~B zW8R)yL|{DxO?aL1m84Q0;dX!=;(voRS5ZEBPw6$|&CN#A<440}sa+syjj630?K@ml zVsjzzLzG!>Y0=ATw#mPLeN*k1 z*esQ^6-ul*UX)kz*HP?~dG;Efr31|r}m?d`ddGBJ7nV|%+(qTr(1qAuRQYU>AgY2gKV!^56p)1h+|zI z#1ZFs7kmVEh8GD=XE;tz3q+uQ7R+o8q5=&~EwMZ&$fc@wL~k}A@|ncIQ1!X2JWkDZ zpkCbTLALp~k@6$gyUN+x834L5P+x|d3D-TRz#i7Qv33!y8IK#Kb(^i93 zcEC9t)jS)57Zw80e8Zw5sg;nU+Gj?F(dny=fWINZ{r94^w_w24)F01rv(F8i#}zg0l0+y*a8vw0EoiAJ*>k8K5!2CX+HP?5$V%<`ZYLh)Bf7cSeB zRbg6(`$@jVnvLcTvrm#tvO_wmdl&beAa9J}$bO&5xhSx!hyPrUt7t)>lFVuGZPhc( zV3x$m*HDg)WeCVYe!YT(h9%|BWnJ8OZX~K-|5fbKJjG{ffSj0}vwNlqqMiI1eHU3d zw((waB^upQ(XWO;Po1u}yPL!C(C5Hs!bIP=+rbw0G5jf2YR$K@$5AAFZV1jC{d%Q5 zh(vWh>j}uTD3-W=xk6P#%(kshQ+8_@e=?MuDI>HKCNPLF*TaF2^VPy zX{S%!Tu2u3KQqW&FRsP+H?_78uSt2+InS)WlZDRiZX0+pJ3 zxW!Z~TNka2<`cm*-pz&pYKL^)0KVm32S9gss3nOIs+;~$3;j4t{#%=chL2LBeJf9F z)`|VmM)(nhTx|x@1DK`|5I=o_dp)CvkM+-}W05EYDSNR7uu5$HYZaT(k%h3^q*E-I z%EvwZQ6y9bx7^SXxz=nYKFKOtG|}p2nqoX?3|qOra`0%ank~CPb5sK$X>bH+w0ox^ zJ@#AP=3ykb^B%M|w07%UlV{;?u~G4|3Vn6QF}Uqge}}KY)rs;ihYG#hvPoORnit2j zav+=b`2f)z?iEEmlkt|O%NUlYp;jYj=$hjq_QDtB_K&g|LTo(V|Fx*2M0NMtZ>}g+ zhq3D$m1e$5uk*(AuIUqEjqo2lZ2P&hw03+<-n^~lZh1eoI+M@Y+eWFrf6nOH%Oqz& z#t;usDF{{aZ>m(OTba?${UI^_6fHu{>V(}~P2?%(vHOg}%2tC36iE~{Mkxo9{s_?o z54RoEZY6A6aUxcg=^bHHHVc{YR}~rR&BgKWiXzwAhIR~?OTvWOJGm|cdh}{(u1BK$N!T3#n(jTLu8W0al61o6_B{Gxw=!( zxI5ZX_IyLrivJ`@JeW)-?6_wJm6fZA+XU5XRqX_@jbfx}qZQz+8u&A-(z*JMx39SY z;2%1Lu3DnLb^Htcb0XfacPpi3lJ0Y(DJ{-*p!jI(PPJ?6aHem2HtKmq`vm3f0QO=l z-|6YRu4iWjf8*Xe1%tbzgt<~NQ{nLJYyc`EQ8eZ_5Kkk|C zfFSJf-3GYB9cj078K)1y7xxx*ExP%3l##Psc~2&1Bb_tPW~o|%Q$)6vC^heP07xRv z4y^YPKope>8+gHrFM~Y^^PMHRnlECS8cBR29&VpHV=G{G%F(K8G&4Gu34kX2T*>ZJ>h8_*s|f_F7N3mmxWEO`ebH%El7Ke7^OyzC%|X_1BIzEqNgPvmKkaQqM5r(NU`S zIIQF!L^qQke(v_JR4|N9C~|rA`~HIHDEBpc{U;j>2ExE2P+=%WX@=y~_%D?ZTcd4d z<`EA*`Eo(O*l$gA=3dv$beK(G$#vPsZH}kBMbQ|*1|$)4ySmRIpm=R{>OiLYXhvyd zm}}k^WSZn8)O=R&wNBXL!ip6*f4`cWNj`~a_wbXmBNBwP)G9sHH>|(#0y1?ovy{Stb zD%i7j-r2;W@KD!fT<2!)lUPDjw=hlg@td$|?{{g0p@xQwE{3|}E0 zqs+jW!j7zBXOnrcc9)y!)7*H(qT-jS2LjL@1Y9-*&48FzOSUL&h5BcH$Wv(kGkA}d zHD1s^Za+906elnn-fr@P=Ye(2N!91!%w+|5RhuYavf;Hy8iRh%-mSQ{%AND{VOi6< zShN>0ZJ5D)DQeR!{jwI}ue1li=8~vmRWK({y+lZMhoZ=ELx(sKLSs_Es1zy7t?67^07#(U$Dojh_MugYr0 z5fx+AL=G97J4M2`q`v&O;DByz0gY2sX^dX39H2c){jEK7G!*mrkK~FZcY~6vvzmmR z3ojZdQWYthNLFt%UBCwQf_Pbd!}B0p&Y8quFIUhu@R-=|UudV#kO z(4WPAPibG8VDcvD z;lFJj=NzfcquV5VQYn7Ye{A|@wJA64o=XYm>eigr%qVfD+N7>cK$_hY#Dunpw6>6eeK#rC|tcaW9e>8+=bX#@#@NcN1GIqp3 zqf&SxyxO(YXG6_u@L_SAOr}IzolP9$f z(A@Q;>liXo7RvMADuRdVrIH(AKI*N*Zh0cT-7^uEz!5sUo+_-!3u(q1 zlJ9`0^;*p4BEV^Vp0-yIaKb*3{!13Tx+g%33%Cg-0{sA7-T7S4vo9!eHHc%PHfz+M ze8T_1)J5QgY*mc|q31$Afj6WtMrcks0w@0RG|1(c1@P$K@*i8J+ran!CR41hittB_ zm0}f+pcdFzxnJAdPS4Ykw6%`IdiL54Zj~H9>;5Rcq(@uk1i4&kLbmt42g3sSJ~!}N z6|Im?JjTWl{AGg;Y>D|e-T^JQ7vsucH>OPgP0vSexpAHYVJ#{-%^DwFX?qu6a!eSgv*@x19Zn_^{4vC@MFhr%Yg+`Vx(L7f+-FC3GQmvhr*iUtB zuUoHd(-7E1v;vJb7I#lv*YfM~9+G?fbXpjI^742UntL>e!$?FHW>pN*E%xh06H1`N(pKp zZ$9`V>0CJs++_IGXf7DUZ5JL+z?{)5d(gwKqbA|t>fFgpa1&vcl}Yvn_s6Vby8FuR z0@i&qCuJ{!X4y?*!TXXY=fIGQ_RE3aW*r~vX0P8YWL#NzHJSxlzwYu7v~I&p4_wLI zr4!t{XrG)KXsmQHBJpHbtc;7>K2I<)z7dlipwmdsF(J_Dy>(Wg7g#3o9MG8gZsaWc zJ)c^aivyuvEFTBVnS@U=f}0rES%EKab_2g%*9m4t=arJOV4{TmwSI zo#6d*EKc)bV7VWj+Aa6ZSEHGL!(tp`FTf-fOvZzo+_%;B6U`vY_!;CUNk#8g&<2FbB`-I`0E}#4xXP+fG&HGp;c2mOFmG+;`x%l7r%4 zqH7+w+yzs0alhO{z|n_7>GfiLg`?+1gdVoZEtev2FWEX)6>%`NBm2t?!A(z>=YUzc zQ^yL1#rMh{EP&#EdabLpUDPr`Xyo2?IRLH(9Qy)n;m+a|1q4sJyj$voeX-yo0~V)1 z;A+_|m!PyDTs?O=|8CHQuXsSB4l?fsZL%A**>0&R`#imjL5LGsoZ#SUO_xzH?+N?M zv;p^cj+kVDSIt~xKrb10W6nD=R+mAKSztdM;Z)%|N6-bc3%l&rf0Y0x(2-+I6iAi0 zaQT-DAXhy@CpScZDD#ew8GtE-@0a@w+_oLMTWf#LF<$Vp%qykK3Sf?8nO5jn$l67C z-fx7vziJ0_mB&Trc9q61!bbsw>wpONeLd*`(k)@P*p5d{41h>{Q+6Tld~BE7ov)M} z=&TdlE%x~7@?|i@hra{opa<@mzT4KynCNZIw`%e*zqd5G>WKa1%CRweC*#{~Y&E(r z^gdU$PY$Has7SdHXb!CRageR}<6~xEa4NenuQ7C?w=L>E6xbGP%w62T0<#@l`I!Qy zs?2n^;%v-xoRd!1If7_{h?a+el|Ned4XjcmGri;_$vQrtvnE`FKgPxA>>fK@#|kD| zX@4x(pdjMq=RjwK_tAk~rREYu zJIv){3LkOPRzNl`sGjm9eA_^^+X=2^0(brbad6{G?>pu+@oBxPJ*YF{!1dbh`>!h@ zd1;jDH;Znrhdi~dEnYBRC{0=v+OSntH&4bOV64q02igcQiP^+Zsr zQ9+$6)COo#v!A`dVH_c+Kge-q`nhp^Cr^~0DyG3YaMyJLV*Q&eD$xja15D z8y)+bmaZU;4Yn`lPrqL^h4ZC#n%ripIU87&A^8caUa-7IodVJu%(_vON9*a|tpVN<~6Y+NQ^1gRG~kqS(}2`0ZNrUyqCMp1-Zj zX~Rl&U}oG6e6Ym({RR$IUl~(w&GO>oivZ`PgrTt7(B=}rdXoxf-&Zys>!xg7yUw~uS^7q)PF^Gwo>)n1d9-%Ask9wsnD{`U zh1wSd7+*`whoEmaF9CVsg|D83KL9|Z23uKuEQP=S0G?fdF2x1{GXB3jUwd4%iTdq1 z&v(dZ2E?4B!Bqc{kbsUu6%^JK9PL)EgbZKoE5{wKrH$PItVd#q^XMChvYpEva`sDQ z8?W*qWd-J3kwv+yC!G%g@vK5Ag=`65%-{HZ`NHT9oBIxNPNh^Q7Wb64gfntLtU<9m*t8Dc&aJtWjU?R{D6I(X zvt^QVzDH@oHdV`91W`!A>!JG48GexHog7xV#Ak8aiD6o^Df4E5T5TACWUhhXt)*EH zM7wZFTx=iV`GwBruD+(bN)=D-X+fn%j-Un*d;k{&hC@dcl5fZ zJHqfjg4CyM^WM5_IDpWpKI^)4@mI;>d6ZIK2q41JO5y~1DltMw6U4a$e361BM*=1< znY8$wsb7Exq9g%&?%)J#Rc1WZDekN9ZwcIHKQ4Ma3HS8*_;$uYXUgq)E^fQn?KSjh z)la-$WrV5ZhhW$4QWdE-YAIF?=Y^b+bz|Q2kqCE8pPl|zGR+0%^*t$%njc5PxbS^> zoU^VtaRc|Z79|^HT_$Xh%T~=1-4a~3*92Rupz1TRMtp;R=!I@fcxGfRtt@O@yJ(|^ zQrW3__UTqp>}f8E<;k7BK`Fe;=f+9otP)#j!UeY7TgLGWe78}Wa5gh&iwA)y{Wh5wC@H5iM)sZOVK4nKjTR)KZo$fnXz)obc8Zq*|!DHB+m zdP*aS5o{#gJq5{|jnn7VlokmOAr6@cK9G-9=~C5x)6~#^btzd?I z0@h0kmqKx<5(kp3l?+e?X`em+SxYqHS#b)a7TaLmg=zqs_C%QwaECekKTWk3-(Wpy z|C`{TUCZ(W{@1NR9HZjq=B^fizcz}QNE2CL8U8C^I1(cT!LWw{(D;rNAmd1d`@q#UZ!JKKE-j-&l$05fWObbX1fZ4!r)#?f@_TE?Z-~_ohKpIwyfi!rB zbMmeKZq#hBAzsR}PtJow&g~b$90&i)ZY^{uHTrKF)GYry4Gj`ui*7?T&KRWXdxxqm z4B2l*?F{-Zf@4q^Xhk^}vyr!#P)!8!Cp}mHw4wsGggO;^0=7Mucoy(O={-E9Zt6v& zVfD2Fl9!M2mvw|LI?P>cpdL4V`pn~`X?3w%c=HUz?fHwJ{-M=;TNWSXBCe{QUmw@R zo>-^8K@2RsJ)N$Iwm*-Sx`4)hkR3T*$rlbOAdbCyDoe_M)_!sro#knP((cXJ&l9u} z3XHL;Tog#U+aZd?8$`qIV%SG~(FL@Y(6X14J=ZNmr-k_#aAN2x`?3PI)E@I5CB~h1=6$_m|AkF4$+DY`3HD>x6P^ob7TaX4 zbDd5$TwQX4(JgJviP;x_xbM_8^|)!FYh4Fg6$@N9Y6!hgx2;P9YR&z_phNAEp|OK8 zwfR#37tOGKz~Jr^Za^sjT`$JI0d2mL_AkvqeJCJ%VC64Tmi}9fY}Ej* zPl>K}xIN9~(B|t*z-&})rq*O6D1%~ZClA0*)t}zC6F#V%GJn5-bdzILGg5$5orrPt zcGZIqMu z$0z}T-2KE>lCueW!+8IRdk~Bh@u0NED%?+m{Nd)}!(Jr7+j9$0084?SOMdg!kA`)3hl z8zVq3^$a3zo_uBjWPreZQE~ov{|JH(Ey2t|p5se->M2{I|IUCaB|q-luBw@#%9+vI z2awp`?IXb(rUxfwAY&nFnxNvq-en7gBE7ZfGG;Lr*v=csfHzm+{Aam9fF)iKzKzFH zzgGSuA9`)Q{B^nyi*g%b-BG0RX}J-DxIAPOn*?snCdIK%)cNZ5q~l-3&5 znU+KE&Qj(_2eDlL9)ml>L7gu>13*PXL}!>?_fM}3o5)uQ9~bk|uA@9j<&6|u)}F98 z=gSeK!AOkU9h&U`|4Pj-ff{UrHr-%NP-<|06IjxVBP$xWTztxh6zp_Dp5Icod2&X1 zqT)>3=r5}t?A+EipTxJ;VXNn>ypcGV`}ARu?l2+H^`emk+e? z2OoMJ&q(&$MtIy13jQ@!cOK3ATH0tHluhcoZxHPZZ}p?n2-{|DB-W4kbU>CMZ;|qT zE!=>al(dQIxP8KV#OHUGg~od909IT9D`T|!R}ovXp;Y%L*ke!B-6@2}b^01qDCtdggQkI%7A&2R4X9~wX23AcPVX837nilmTa zxm3Fm@{_iIhIV|-pSfqSHgvT49CeBn^Ai>juw2u;i8--u9GP$u7#OKweun42%Pvq;5H-B*3cb>9TDbpc3<}UtvXk3e7lwbm6>PZd~z>^Jk(e1@=+g zNl9XuEx~AX^of+?$Ywn{0(BGDa=oA#;yJ;+nJozSe2>&+Dz2%JFT2>8LJ9^55!Lx| z0eQ?XvRZb%otrxD_Hd>wd22$$Pb&rDkVh9ZYgAb2uhHzS!e&3WyznjAcW8Eh#8J4e;b*OFyaCe*P@=W+$Yq+&L8g2|{`ip@gXAE%v@yq25fo1A*tDBak% zt;9O-FRYuBi5wPBl+l#CrUI7R1uewaCJWF7$gINPQQxs0SBd=_<;rW}D&mo27Ln}) zLQ?!ksM4TfsO9&>9d}cKb_F}AsP`WKbKQu`NytoZq%o7xDCvw&v2tx&y)Dg?N8TnALmc!EsKLYNGNiy({Nxc!8 z&9j@8oUvG(x=At|G2{M(R*Zb1 zizps<2?<@++K@N2`J!Cd6&a0{0dEOjtDYOyUwmbF2CCcxVY@)Y*QLzD0H?B^)l5eJ zq{(aNas@1YITpEQmgzo;yuDh@ZF^BV*-6*iZ;_J;DcnYgveD0AF$?}xu;#;`tM;X+ zjrg^@?Z#^GvzqhIlfE~mC>su*hVpY5N4^W$@q1~nZo?b(y&ccU>B>FdLnqdELN@q)`Yzw|jq$61zT5B<2|PW5 zBDv?qjrNQ`EXud??h9GjLENK-bcA&2FUz4GWcW9XXhtm{b7l5kirsil@+`~KOy#*; zVqLfRa)T8X{9ISaRqv@oN9qS_*HThiS48E#!qZ|AJdqyy_PO&*wS`4lHFO!wB946asxT4yA(fq9@#@5)_tL(cn<{L{cfKg`PbACaznl*fOI^%} zVw62<>maCTDbRD0C%B9I9;r7a_%vMn@$F|Zm-%!uEi=U9GUN=!JqWjD`>k}8;mEDN>! z`S_A*(rR9dwoy{w=)TFxgbQ~KP!m=9;t6Et;%FZ{am^t<50b*MAG=kB1oV5&}+e~b4s+MAw=ws(an>UCu0&^5R*m>(#*&Vp?=A(#-(=zXw%Av~Wj2BMYVA%9 znW^9JM)fh=7+AML5p$Q8+RxhgC?1GFq;}MJD>viKbg$=GNf-MQGwVhok@GbFjd2y& zb`8$JLMGNDw{^ow-t3m*2z4|vkHII{e5|ID;3f9s<}z&jVyv~&U=I=F<^9$yZ{D$Q l^>Y5?+H8yce86z>&cu>krQf5Cb?1qNhLt<@#OM@1NhFpRUXG%=0|=eeQG4>zvm)_s#UzU;p#p>Ef*$ z5B~mheP6SA|363l=k7lq_>TwvEzdBa7j`3v1_3zA)EbPvmrgndR&@(S9jwKHnj0 zc2&Oz<4X%_e`ie@)JUydUV;3OXn=6$O4}2asWVDSaK!BK>uv+P`YWV6r439*PjpTv zcy=E14LwQjz;Kk);tcO-dQ=K7Y8Cn@OPZD(YU=aUwtRW$M%m}qcP^u1zOG-p!(V(= ztDo}U{}4WQmi94rs5xplsqDvPNE0FU?Z9c>b;BRk?X{jJk1#&QYfOa>7wReJuufL= zW0~(h$gK$h3Fs29No>9!CgFYl0BpHM{o7rG0Xgd5F~+<3GM3fh+n@dfdPS$_b%AH6T8g*$MJrYHi zrWrYQHQzVhLp~UbQPoU@%LiMlh~Z;)H71%gI%$qmH3_L);yPE;V$)$6#m+;w zRKy+hJ}NoKZ#u-OmN{7?Ov)Wr9k9ogkt+KaM}1KTossd)t%G|a=!TPi?6ceO{1HTe}e;3 z;9bonZzY{j9wdWgce=+70eY9(7pkJN^WLwHbW^MzJ2T0@TMmPzx~AB;Rb97bvrIy0 z+BdV3ieJ#~5rcf8&ZrBjQ~M{f;1><|R!vZ<6EP`tK_BTZE7jPy(z9K20n#a%1cQ%V zl`jJ7I5!97WMpGKQR+z|xH=V&!&f9w)8D?1L?1i~-{rVOL2XHE5I$sNI~S(uyWGM^ zK}P>d4Vlj{dBu`{2dBs0NIG#|J7t@lV#zP_zY{SN(!#r@pJ4SWgrnb;V5B}-9{@zk z*srI++rAn(l<$Yn!j!7`ivlRuGp#5GMKe7}eXkBW`sc{Qb#TO9V{ATPdr!P%`rZPF zK}~3T`Pr*Kj3|F$@!iR4+b6PE&G&)>KT%Isn}`8o6C-L^&4mY=<~@p5a%fSLNN-ED z;bY%^PDQeb{VPTe^FY;gH~L)ugB4HuocQZwE)+sI3uQS{h}?T$T{QkiSG}XH-UZst ztjeP<^f|HLfi7oqo|(XJ297H)0(&O1eIBIl5Z&$~IX%{yx=*H1i6je-T^Q?duD9bA5D&|njh zxvOw7bTzfC=5o{dl=dyK^uB=GX{+_>3mo}D<%#R8%5dzCA`s~pnsa%9SEWTyoyCvU z890e$o!s5*f?fR!$h*^H!_u@?-(u4{d&oZs&#^`@32HLcbnW{!OYZvN+H65gsm1Jw z^AvudGZNq0h$>VyhgROa^62mp@y|67`3pQhw)ni4+WS4xHBCFKP#R(<88nVq+mcdX zTQ&C?63TYKEMF*p4R4it-~g>de}3mSUeUj>-u0>7AxE$)r^KQruE2<1^2^}f=?mJk zHA{WTa9i31TESq*XD(9fX4KSir4K&~_1d5Kk)`SD)+Bx8ba3;xkk}3}l^|k!~?O_N&^XDQr03PJVU$khRM`(&bNeA6vn0Xxuy}fL* zWKuR&+j>d+DAM;$6$*p3Kwo$_=cJD_)Q^`B3iD0Ic+xMs#$?j>KWkFY?5*QF)#LoQRE|dA&Bv)Hz(d!0t zYQ1rbb`PR5Iw@8VXh92Gu=e&fy%-LN&5lA~)8(aQtZEG-T%`$~Vyh6iVvCbt@^7d2T+z&ik$PQARN~u)q=G_zM zhE=O=jcIE(?xoTXl~2c0hasIB`#`ZYBwwIj47%6>gSC-v1j(G=q{^%0$W?6e`sc>H{<W7AP2%TUsQwU;P$_);fl0+xpVVp6-N zUS_l8=~OEOCI6PQS!N#XNP3t8Zbjk zKM?)vXl+yssX1@MZQaadypg_^*zH=tH`qf_T;!{zcK5vuKyjm0&iMi|w3rk|#$S@Q z>E)r(-8MJ zp99~m)Hs`W-xbUdfN%(oR>a%g!zAY(}WhmD~@b2l~{kY8j=vg+3~Sv z{8!HBE&=|xiz{%_@>R{-EweKpU2am~jS_yl*r|ME;YR(o zkD6ev3GphXJD zNFTwKgaxsnGf4XuAT_E>JAfBQNxtCH2BGEPi&PX!a^MR1p!HIWp8@zm?xo5;P67V!!YGDK5>QH|{aH{7?Qam`aeBTDZq=I^l3x^~W&x{Z0)+B;2Y+u4b5aS<(^)n@oITkLDZ$ zSPkwP@X=Dc`h#AU(+SWbkzx|XWo&@~P}qC8`|~bNZ;Z_c?I3-CX86oqz6V0zNAsr~ z1ed|&|5%y>io@n4VVAMdtQUboT|v;v20~r$ai?av55ffASTZ_qk)q~POU|fk=2Ka3 zy;N#Ghiuo+Nw6IEPmS@d#UUZ^$7)-OxAlKK-ur|5CQ0+elH}XPG6ApiAdIILUVvys z2+*#eE1MbT%fsJCsKPUd0MwphBnaBC^lQ1>;HrTQsUA`?Nq>bnYDexu@c1O-Ya&B&IYxs zR_eo)-QA_5cZSv@^ZwqkIzygge6PGyi!kqm)j}kf-_hh!dNxGyJLlXbPk(X%@;2FD zFf}B3L}M3u+Plr=W<8_WczRrZ%2$Rh7Q{Kttu`L|1PgujC5(S<)Iq{dw6|3$rjQs; z;7OU_9`kk7Z|wRZb$+fe?oKTb{OR?g%bH^c(68p#w>{C5-ESzixryHOR{Uz|ocnS9 z=X;Kv+;?P~!X0C!-S4tbNf|}(zB{6S>zQ5LzBBr|7oSjKbUTiGcx80{_u_s5aYov+ ztAdxBk6e3tM-i+cU{7a+0&mztBuO44J;Zqp27u4@IQoQx_Dxo|(|h=BLo zd10CrWc;m6c31t`(y^_5nA3B{kDp53hqW1AlMNjXNEf-y-mXpX70|&8PQKx;{EUM9 zmX<|~`eS$?503R3giPK`+%EpXQ6zC-bX9qzs+Q#pnK;atorNtY8kdfp%i(PG)=1fb zF0Dy>k)P@KO-a$`gj-_(@+VKt$NAL9T|BEdcSH9-WIx7R5A$v{l$61t=hMD^scwnweo*vYhE2JEc~i{JLYKcEuCJIv%_jKJ}0^w z{gItK2;8?OprQM%3NP^xn;o6n7D*8scn2&b*7T!S@Zrk)uM8;jZ>SgljsBRt6Ax5& zy6bU@2_eyu1Cjc@A3Ad{=4~z5eZF?9^LibBM-f$wS?TmG+ol*>6ZyNRB?TRfx4v>l zS9W0XZdQtdS#bU;M|+6(q<#H&mBdNb+_{hOV)?9{+M~H7H=Lw-bCVt-G}?>a@&QW z)wkmYuMip^SJ)2fx$()_tetp$^PC(i$2%^-qCVjes~4w1PLccqPn!^3l{L@&IKd&y z(mUJAa9`$02?!R>Uzj!MS2mBV3iG(@n18Oo-7dPQN_u6cG;4*RqhY`$%QyMtZr3=z z5-Ta3>@25`g`jCEN|LPv0fpemkx4G>O<#K-IWM`cDWwHIM5lU|^ovF4q zXn01kPn1h!O6TbTV&kV(q?Xe8SNe8i4gk=ES}B@dQN+Z&pOU>Fbe8+WUC~`LYFD+t zh3Ilgp!KOwI#2O7y>ENKIPx)^(Eqspb^7@sHaB5f1p-Q`7Qz*gDNp#{y<|LkJUMQ% zYx>sNf$&hLK2raWPzS{+2@6Fp!4G?Pt+@sa=x4-;r82WGm1#OMF7M%z^4LRy><*q) zyw->b;hwfl7L=CT>j zP)l31Er$)Z?v*?*Kz&Xmre*>ufisEt8IOLy+pahS>wx|#h{dLyytMc5rIcEzfc?BE zR*C%{!w<&$)HYP=x2zpN*h-qMtbkdevzxr)rVT;-yq$040M#&S`21s9?hHND0aI(V zNVzoa6TN5r^>3`--Rz@oIdA7rH`_J8i*S$xw15t4ZOP(a2@%2_|Gl&Ye{nOdqW+}S zDAg^bnNb?I|8sSiOJc$9&$72}?&vjIrexyc)`A*C7d^W877+u1;>T9<4$CJ~R}T|1 z>R~U}%~PlN`h`4!(+u{G~wphr2BeWJV& zHgX8>AV>}d0$J4gRPcAfi=}4n{*W|8IIV@qeMNSEbUc0v3W zAe_x3DD;)Hck1--)lu15cL^7$4nd^jy11#`1g7`5a-EfB{r9aRt3OQJAQ+lS9AYZ4 zRrt{PLsXx#c`cKb)Ivwm#J=o^z%fp11f~k+cHP?44vhWd(LaEXq+R9ny9M3h^U700*F_PxA^|mdzF-?aRM{ToU))@OuzXG zQBC{Au1VaWqAx!voWpSebN2CyXC9pCrR@4ZNWX${&i$qP(O0ggr7=$A#?yCd4&*Mx zEXD2~gQaztc=RhYJ_u#EhCo}u93Ez+cmaVh;Km5x-QcSyi3FyPEWHJ?=>mF2M!cB3 zAlp!A;Jets--qg<7d&5o<{Q2rNmSOFq+iG*D1U1_`j!0JPUZ+2x2`vC;}fzW5n0ef zv03Cp#pP~Q!qCpe1(?)t`ZR5lZq*@8w9YvIo2XDXI;+=L;n+tFQue7Sb7u7udjY4) zo(G)D$bT`~jG>Loj(;8~fB?k&sHBI&JkfjaADI;Mi4xBe#FIxlsO0-^j0&?+KsgpEN!V$?EFv&qu(^H@$G0^`UrnZqmbm+W%mhx zpZm}HL#WSJQBypZzaABW>MiNTYm*c5>PY_Kn_fuop@@%xY`^0^gshnRL+Kdq%lofZ zn4C!;++-}((L7Au=Wo0Y zq?VoJ1QUZCvt58W8OcB~T4A)#q|?ybKW`&aT)ip{85SKBAF~;Ja38V)duKqJ%V;J> zjSg}k{E5X58=-96)+t^t^_B;D$DC$OH6l>MvYlW{yt)HzF2(6s zg{BB%gzGH3+O?R0oV6#1Sbj4U7S3VC0VuQhb}fVkhSn8VO;K+JilM?fwYd-%6jgXa z3#hjI7$?-uTQ>lP!KAHtuEhgsf^8maWe5tM5TQ!n|GU?->BVXd3Z+b$~hAFx3X3Dp=l(^eH>8`YYr$%C1vS+54 z1rXZ)lR*5~IcBEx34GPR7YxB#uqNz17}tT4k8@$Lq(WiVU~>RuWKcv4V%&T)Bc+J~ z3(RiWt0^w{%)Z*{ISrED#dbNJmdzGb=Is@)$VS`hTG*$e`4!vkO8Er zwiXz8EZBtzy=AdiU$khR9ob-xX4nUuk_rh-$^qymH%3@%KRuRi2Sq^FfP@v4J<_^)b4A&Wb|Sx5XV3b20+8>tpK2 zNa!Pd^u-rUH_5z3{)W?{DsRsElJ7^BPalGEmVxx632oiG3)SYl;Etb3yK8{+&^${X<}ami4?t@+pqx2K9)aiC8=a@1OV&?w5Cv_D{G6Yh!Bc zr%~A6>+_Zxk8pLnk-xHm)Id2ouVnJNaE`)Q-1&tBj zA1*5MnT5iClg~QEjvd|Una*Xi*50yFG^&5wq|LcY{t*Yu!4@wNbz|&VT+0i39sC~Q z!kUQ&?TcN(2X%!v>W;N_iidvnm&<k2S;C9gYwKgk2Ib9<0Vj)I_K8AS^yPaHQw0y*LL@(zHXH!=d@Wsyih z0uj8EuaP|#7*b@dAJ_11N7b*#^V#lpp2b_+f#6;CfN&P|@*(^JprU`Z9rbOF{2LIx z#L|kp)6q1G5R@XTi55VL_ICeURODsp)1I59FP19acu&Uspj%`aKd-i|sHOkoY$v5A zjeF6GX2f6%jFles_3x-teDpgP)IOQ?y(cKkQmdl+z-l6ga$$tRKL4vNG53e5)F$!U z(LR~Ro7^kq4fn(XNcp0dv(q>AT^DrGUI?P44A9Bftp~{tJv+C(-$a z+MT}+qU-;)eZhLy+Yg@FW&geE(OR9^ml@jb4AzZy_&l*hBvLv_%qqwIr}Uii7r%~> z4ckeWKDx9gcDQ(_Hr=d*KnV}x`!s~c{mB)^-eEHStR@`lCw{4~!fyYLWFYD80VNvl zQOwpf8wAL3>-mtM4N;+g{Uf++O2-ceRO(s7JflH}x_@#-m??5wg$ zLP8M1n1I|q3LW%AJo3xGewg?AJNB;j{cLiAz22=0g{ha$8UbjnYvGpVdTo&-ezJhZ zjyJ-W7o9$+0JULK5I=mUdeLr!ZWV24XJQe04{Nuf>gvi)l>Vs-q+#z3=WhByed`W! zzz1I%aJPo=MeO=nGoVe`k#le+{^s6)1SVDd0`vPO8lVe;6!}l3SrC;d3!rWEDhsd~ zokkYbO!Dq+H*)Tmy>?rs5wn$RY6qZM?D4&b8FL96asUoBRlBUo_4fu^LkGsGB;uI6nEscB^?_JDJ94)o~UD_E?-s3Dv(OBU*u6p-p zROp$FU_i;$UzoiChOI590Er1lm(TngW#rvODXh z)<1y&ldV0Ns5Zj=^~-qPyRYKu6txQIE!Bj9z+G)m)y5q01onjDId{}n-(K4y7sp4B z02K1jY}+Vkbiq7=Rx`kdS?`b#GM_B#)xXwrbpeWRQr^0AAt6HaxQ9+f;wS?>NW_hz z@{Cq(L7_U2G9Rz9P#4GFF)nOmtZ;7^ol7}>eX95ZP@5aC0lH3IYJg8&{zEXt98?~# zx44I0e-q(W?{o$YwEnnXZLYQ_DMuh$AP`oKv;y{@GlsMRFhRqb>`?QZ2zyW>b}_lFRJ&@4y&$<*}yjO?-4jP`C!5ynZWLc zzhdB_W9nR_D)}q)wd+?;7CnMc?aphsu~QjIBE&*Akq}crHR`cd~8`jT#Kv&h+y{4I1!2u!7SXjMc>Z$wp-_Bu7&I- zQ_Kw>))zC-?Zgl8H`sNS$$H`=O@n7oz=Cv{#URp*EqmR$PtqE_xfof7w*CqPZ}U_t zzQ8RJdzJYBy6z^(Lce&VN#WfF;8yxa^e^?TIt3%@h6(C8y%pTWPab{u9VV#1 zRNAQvNGI3pvNa0(OaR((ReMp%>y%O4#A(&*KBDFI8Mgg}O#@8RY}&tQ9+?(4bnrfV z2l@s#6gw*1*d1f=jHvhQm0k_r#*+mb%-ER-uPKl^(=A&#x+g~pMMG_OGSWg0=Qz)Q z{XR={kcgZ*yUu!ohvRRZ0*Xi8y*JP%l=Alsl|RZspws@(yNgU4)->LFKo^x~NKMq$ zIAH7EV-gb8{1v2_f~#va!`1uB0-E{)w)M&!VLcjZHF&N#2d5kFEL*;r^QB)xTWv~m zm0mrEQuzw)`Heb%;^pf@Se2E?D38V>3+XTKcPwTa`r}2pHVtA0NSM&%dKf0zw^$p~ zJw!XXk|!#V_~yuE$H^<}Cs8AA1%@x9y*yq~Y~lE%--@j&_a`Kq9M&QF>$-uYvtUn^ zfXHzp(eC5fZ|=z?gxLl?m;O{UM2yC+6NJH1ZnpU1Qr{(TJ72SYiGf)XvDLesZEmLr zOmPKjD|k{eV+HIL25@sb2=rYvxZs++b4>DQCvkX>o)SwIiZ7F9(jiiG|JnNPR?VL)me+I1}JdG3V=XfV{QJT7+}C zMr%R*INT;FTF(9JM`ZzEI#*#aP6-@RI5JHemb+o zv8`zN&amFS(cS#}V8Et0!H&k;Q<mQ31G&(oxqhC2YAMosE zGq+xN&MPo_lfWv^wBz>b-xTo;X@S zV?3WuzD>YXh?fCDb)@I%P;v7Upp#{-Wrd|Ow}KR2eH4NHLZC?lTL~2T)76FA#fSew zwXP)h1TY@hOtd!kb%bjJKsl4gcuO5)dMF5hX@#9_Gn_YDL!>DVR%yapIzumgKU{V>xRfb@tK4&4(UCG(>!pue3px8QVz#9(!y@j9dwB~pC5j`B^zx= zS;%^N1G7>w&Pv!}VV$^$IOhm>`-?EM_MR>M#vk7hbCxZOehAQbeM5ByM~l5Hn|G@w zsX5H<#njSi%gFlEU|+-gQ|xlW#-Z6AoVTQPSCQ)=F4n<2+7kCs6qi zj9QPZtD8OwNSS_o`kqeMX3@NMci;P*(V;2cp5@~~Ylup44-tGz>{#+fNA`o+8`RJ; zdf2F(Js7qFhrBbSOu%4|kOl0b3TWb0V=jO8Z&*{UT|AI$azWfI_rW8+BHw^aX4&Iz zafjirYYZ9s-WtFLQ!+GYvPfQQqrJ25@WM;0hHZAD&h_ACk@XCC?V`lD2yb&TL_hK1&Pxi+lPbR~1v$CxwUNSeV4{uUr16_!R6_9UQ9XK3eV?%a|=*ayO z$BkM`za}6J)3JwG?R|~}jL-4j=R6zq@Dga8wvmUU4I10Mtt<>a(CkW>Z3?G4#YPKT#)Kr`k!|9m4mvxTXO7Uk;FQsMm=0>2 zQi=upoIeTO6ayyoW6+AerVYsOS#t+qls8m5P@d8n!B zj=lP>pS=e%TNM23P%!Far;1w2*-2-aTJqGZOWL+mHw~Tlu=iv-C$}G3+MQ_xS9kh} zNn1)Ym0Evw3H`AXt^tfDn6Te>9S&Y|&-8i(zE595Whw3CR_bruI?HN}`Zm4?o=$HmxWK~l&I6aj4L8pM7e?5C zQV&$v^|#0Yl?Q+bhwO@o7wJ3au7 zi0%s;a0g~hoGK(g?EcbbK6nfmtzzV@#HYtMxFbwsB!t5SCGJ0z@cS44Q!}ookp4ioq*%f3g2iF$-wonKp6(w8cP(=m88nC{x%0 ztR!zSxCa;wPaJt+xJ)tN8{FS83mRu2RNer*uC?=~f*PD_PzL9=3R$`UbKf0M0bkmu zRcJ}GsF(Q$@UX2QJiG zc8#Et`rfACda$qol?D(3b)oVh;CX?`;7MR%VrB*CG-5#os9d>?x6&S9Fav;ihL9jq zA+c$2ZPq7nZhjPCje^)Pa;+aTAy| z=MhE)*Af*Xg2Cu%vVk(wuYoUu4r-)vpu81a)YRJ+)S-IQ>RMaxjmh^L%)ywv8I}aP zgp^*P^#ly0T1)R>Q1-zF4-mg{*+S6EwMLs(bA(jDTjWJ=4nHIeTg0!gI+*=rAip97 zwBn+75(p8G&<5C$jEbwEqzesgpd?9)!LLoLER$_afreR7ZAtS%3lOWT-lCv-vtg~E zK2e(i4k@h20VfNF4KTAoy^to}1EBD%1Le)21~%S9;F5VGBH&YCjWd8qjPc-4sWn8- z6HfkSP6I9ggBWSJ8Q~Nm;$6U4-1`cBU}cr;3K?*X@UQ{;r;RnPZ`$4(HUK&l_o2cM zgu%R_4a^p(>1K$-8fMYo!4Mx1DhENGy)}3r9LCqc0E5^|b|c0)@J+MHCWBw`ED-X6 zO~}`DHu8GVu)zaV87{j4IWE286%bCG!jX@l7uL-NaXcH42W>*WX5gI!dI>F8H~_9` zVwVnvuEA!UGlf*nkAZQ%Zak;}>awlD1LP}hv%x^{7Qabm+9r0Hz^s9SO}jlCW&^%} zsf5a}xC9Op+{9el+^!nbMSIh3?LT(=G|aB&X+vFqFQ4+v0>$K2w1Msu4x@r>hd0}x zGp5|8-FN_Q4i|)5bQ5m;AGnP+;nv!Oo4g4(3cCq6f7k#V146+y-h>;o2{+ez6K?z_ z+*>x`Mgwryf^b(`dRK$qL4x`Hpn_RP5-z?m$9q^&1(7Uk6Cj8EvVhx~Palk32x}%w z!tVSOONLxRsUT|4fp*7TFH?+`jD9uk(;qtPfW(=eelc3L@=GjAm*V^^J$WXZ zz_U1fds=c8VJf=Jc?rePVj$lp6cnx{D1_5O25yBE!2l6 z{ry9<&e+heCUq^IpJ#x{LZzkc^gudDF#BngLNvVAq^_ zQhj+H1-N6^>4sx~JC;iZ89pDOnDVgLhb()|UaPNP5suz(V#OIOD*(_zDkVvTYoSHP z5}4N|@AwEObRF{yaO$UhMX3HNY1;I4iQY6_Hir-{SdoBe<4?i`_n+~;mNq-W z*55BT?(Y?7`1$)b3X4eK4=Xw&r;u9j{OBZvENG4^C(VK$P(T$zF_Isk!bWo^b{(d{ zV-|xRmeYhqTz{^mPP?+SdKAaDHFWLYlO(CU1@TIXk}N3SOOn(@uUEO-`Fyf*$l`5) zs~QO_&Yd@VnlYL@^YVtpaHHha0H-~j2Qmr1>Q1i>Z?O+#Nxew!M<^yBXfXkX!UZ*P zo;wURRP}o3ZuB{1$VYU&>7)3OmHP{mo?wtSCv2uge zHERxR;WF38xuY^dSG&9_%76IQ;ZZ=<1Qd&Q=5siC*CX-j&$oiFy25|&=x`)2)jSZV z9U_Y*AHjLXuGRHb`A5I-85$!B03jC56f`F>(PVA&3pOP|*-7fX=CPz@qSQjU(I;OKD-n&WD9bD^$|;=_-{at@SFs z2oyY7kTuSfK&JsH?ME3|phP2}53(=WVrsmGrFQL^u$0-7|N8PkjzXiaRm;Ni$Mmb7 z5F(Zk)Nn_&Fg&VxKKmg`SGA=%k~uD&gHS;du|}>-)hmH`2rU-!&B5NzFubCH11tA^ zKI+bj+(4;Unr6H5U@P2JZU4^zAo^Jnjece=3y)yNv~6x&b`A9O3v2I%A~lJKNCMNe z`w9=74H81QEtkD2$E{j@umLg^RjTN-1Yo7qqF|TpTFlNtT0&b5Al|aj9f>YbRMB|`DMvm z@)F1>JPLFw-D`3pl@F{xb6yv4vJ-6eIb|P|kf=qP$?);n0cl5zlqp^M-TjpG1J16g1m$ zLY#6}Z_HhYw8F}6KNNgkXA0b^nLO_lRuexv);$pvHx`sT#_{dY9WX0TPiv|{5gR(( zccSJfoO9k169d5ZQUJZfr&H}1;nr{){0i&-p8a0(Z|ZK>g8RhNJrJsI{cF=CnnN>F zCWe-kUXorhTXFo{P|dljLb6htn(9r2ynxX#yV~Dd{)JYE{m3b44N?=Ppx3L>nM+mQ zNMn?B6TO~x_(Mcl&YYf3Q~>vxL3-cmHP59g>$8Pw*>Nx{+4i~~*uscmJ@jj`Z{*AB z%(G&-NZU6mjqkNBmEAzPx-X0T*d?H2=z${|;3zGcggtt4+HWj>uQ+V!Wd(%5GdtKr4B2&hYu4Ro^MO+%4Wj$B8t{ZlTc5hLbC`KD<%Kc@uIDwDfWa={ zop%Sp7Cyy((5mMkQ>!3eD;5953fU_Di!G{q`=`shJ+i6}b={F^61AF`rimv%^{ZBSirk|z_)5@&ormf+DTJJZupXBf7;kH%!>LYN*4+{-$A z{p>NWXoB?o$10=oQ5!+{?=YCq5krfAgrO)>1bd(G`J0l8zdFlYO*c z<+OumluAd$(LN4r)bDNBB`gM$4i#4XW#eAwkB@7i#q<9Y#%mFBjzHcC{wh@Z^7p#>+18i+&pO>VbZRCz)0m0CQPM$S;l%0Wvw^y&ureDMCo> z6#*X60$(pc;c_}?(=fodi75M;+ipdCqugFuyoT3*0bWj>3;`iIb?)EhmPEFWRUUQOjZ7Wpoft6f!q*px5?iAJk0^eNaOm@Chh_(rV zX(udi;qyr~nO5xGfhRBMpWRpBc|fsHGVaKfsm?j@Fw-hZ_H5@pL%%D71rv{sqw^SLr^v4^Ve;O2JOr3wu%PvU#AglhqTOoc zEZogSWa${^@Z+MPnz3EpTI(Z>j$?b1$0^lUqJkjiQHZ3KAC- z`gKs3Ri}Sob|yiE+c0OZ%}Lp)vIDb}bk{3^vpq`Kdh&|4@#If@ct}L}@@th>QmBlJ z%peC*7I1_&ttsIS90a}|jLLo>;qC_<`30GA7yynja(B-27Xu#xF|OLc%9m`?mkxvY z^66{)JDt~VtXL~ixkC228OuQD<*(1<^`=HTZwi#3DY=6l4Za?06Nk^IH+{|SaGq<6 zCWtHqgs*5`|ME#i*tvQ{lY#`Q0B7a)x4TTa@P{u8Dj#Uo2?o5^#c%U^x!M~>HVP%j zKHn7t^DdKX_o*Sf^{^%EXdGZ4$3xoBDiFkL)YD=oi4EbSv9k)Hh93yqPHCwugRYTqmC4K_#V1hUrB_olyK8qAm9;ay%I; z>QL_V`a{SJ@OLE=`xqfyD@GIbThVkBG0mw*5*yT%tL@+%Wsa^Xl+0UOfh_u0;zsCS zqWeExs91~qe5r{s{;({AMn*_pYoS*qzoEd+$q=X(CaJ{e3{5EUdH}9HW-*_WfyjYM zUPr#6{{D$AGa+7*DIC3Cs1#DQ_s&(_PQARm4&Oq*%DIaD3xp=8p*tU?dW5KVj*G(@ zv``JJ4?3A0(TxTpO_FETMRV5l!b+k#meSkAXBT1%30BB+gzFrhrW7af>B?1~pJ^6Z zD;T<7>*xdZp?K<3If3<6{~)x83@s`_wfLc`Ht|lX_pBt%+k$c}AfuhKNPPzkLDbX~ zs#QO-e|Tb*;xx;7?TFqhAx}%6vMX3*fFckzc8a>WA&)d$f{J>dkYh(+uxyWv1^1*y zYFFV)G^(ZsqL#NgeUVd%myk+{!wO3k+&G}^P$(>AFWs_miZBkFhw?}jgcyy}F#0XQ zqn?~F4Mj9mtX!65#qx1P$?t_NU8%;5T7yR>DF!7VDrzj39b{f;x8lmmoqAXW;#zU^gNkleqz+=ztZk}2sjPVXMa!*e+wv;; z@5?ak-UIvWx_df^9i53S-S_gIs-*y5Ck-@BeBthDm5n8Q%nRNzq(v+(?Y)~vJvUu} zs>sXz-C2e^;V~;;TPr?muMF#(e&?!qe8`NLQF}`#_?c_Xtz238A{*`a!WgR*(SurD zTO+CFHDAS@i+g}?lv%wfxLN6R7#nZ;)w{pJ^xQ=cJ|mMyCatlqYQsNe7A#teOp6be zifN|k!o?t(dFf9kUmff$?Yu62^NNVa73U>^l#Xk@7Q()##SCAq`0mO(=sfk_+(6kd z*XW*G@Cn{Q-(0F|v5TR!l+C-YT}G-Y^Uq4YUgwiGHSKv_Fl_fNcKUrB%+%g8p@28g z|6Puev(hCa*~y%}ft_Dv8{JHD++~IG^>_H#Ru%Fd{LCn@s{BFl_b4kQg_~s+!}w4c z>PcyGRzH3US;(4-pH7utZ*%eRpzgA2Bf6E@pT<YgDuM$z8wABot{d3 zPfn}5WtZtX6!(0sxmRG&OXQwyA(uOG{mu8sl~-2b0eXa=6pu3xh3ZoCIb`q z+kW(P8xK47Jg?-5!P7Tb;h4Pe14Vl?$8zJZ^9a{`dgS2DeAgS6i5$|BpY@0fuj*Hr zoT^AGcyxVWdXBU=)bBIHqTS2BKRSI z&whM0fZkP_m`hi1v3BU|E3OG7CDVg~ES4;m{jgKk9lc36&HYLl{P^@_bY1izypwrm zOZvEjg zHEUJ$sTvkxryUs|GRf6PxvJajoUkjNdBCTItXSIM Usage Report
" +webtalk_add_data -client xsim -key Simulation_Time -value "0.08_sec" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Memory -value "5520_KB" -context "xsim\\usage" +webtalk_transmit -clientid 3479297430 -regid "" -xml C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/dataMemory_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/dataMemory_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/dataMemory_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" webtalk_terminate diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/dataMemory_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/dataMemory_tb_behav/xsim.mem index a201b29e0ae3a4badc68dbc0afb071ff63947a90..af0353227636ff9b440e43f63972f70718874311 100644 GIT binary patch delta 1779 zcmV0i#$P~L=zDL zF?}+_wmVrSF1xt9P@C}3Cw(vm-$;xPM5Evj77~*Bh=}@PQsQ5E^w}4PF{uHQdd}SY z&7LW{?KYjoQ0Jzz_w4tbbMAM~y)&~rTcsA(W`9JJk${&G$o5^-w;2cOVakw@jrbYz z%k56{V(?InY*K}ubx%&ub4mgG75OB_N^SN z85??Ho%OE+&D2o(G+R%cCmg%b>s7;(PYRjGUTQk!HGHA^)j&W7a- z?jP}WsrA<-UIko_{rzDy^b17i$3qEA@eS2`w6NQ9gA(UMl#pzb&!RR zuV=DSVS9qM+mS2V6CcGklBcz=KD z3dHA8d>$?A%n5tP=h4zSk3OX7V1+lr;QsjKo0sTfv30!$r^)XxI&P{S^#Vb`eSBqt z+J>C=ieKXj#1&Xf1rD0?ZS3sV{`sfcdek;b$e*A6a}M}o2Dv4+_LzpP+B>Djuj=a^ zFzCDTf!4Q*^zEjYPC9&j2ES#9MSlSpU2Eg9(7KI2VC*S>(!MsO^#fyGKIEG;JTT_v zKU0hw9vJiT+0hBZ17m(A9cU%P17n_kUJTk^!vkZUe$ES;Wq4rB-xIJ(bsHWS^Q#4a z?KeGtV9d+kQzi`$jQJiBKW=zn%&!sr3Bvb|zsmogs+7 zcl(|O4+0`Fg1fSRf0jS9Pye7l2LNNwgcx(m@W7aVQt%Uo2gdwUfm2PaU#~bRWzt{5z#{34sPZ=H<^!HrQ`ZtmOi{#JGJ$(HJ z7bzkz!}^~Q8T*YsVC=b3@aqf@jQM8;KmDDaKQQK>6a19nfib^H@Dqjy#{BbwKWTVi z%=2?`v=PGtW8QpZ_gw}J4-ECcZoc33Q2l=-Po9Ne|CW63^M5n@dfHWI=OezQUnVZ= z`T+t5ofp5<`y@p=uaXl#|MIgL9C6X~HJxmY(b29tx*iqDm(S7*4tmEv(|XgS_YaEW z=W%{kgNsxVnDzBOCNd9x8?G-n=zagJ)|(-{|4tT%?M?tgE-1v74Ptt zoL9DT*=lx=d$?2{b*e+okb5Y5%<{?V=n+>r)zT3aa#1@9BiYePGM#aH)yqY%>SYVb zOuy5oc9+U;xVek%GbW!+36ttox4PfWXDbyiUrZ+*yDyCNId&?Hq#QdPLhOFW&Zu46teu6@(Olv{ zwN%dLU2AXA-BtFExz>L7NU7ox>bVtbAa`^)TlGrCY{43ID^<5#OziQ74|(})G4WcF z{LAD!YCsL_9Oz5;_ilbAJ7g8IL&=>xwp7Z)6{}J@S{`;Qj%^j)5wR9qj@=Fg-eHTj V0S#VTc8jY{qxaDs`WO9TRQDYDk(~el delta 1864 zcmZ9Kdpy(oAIFt+p%ZFaYFQ=erz2J_wZrD8`iVl)%rBRTPB?^L=03jR$fcP|JMk;l z#r>M=+&9-bZYy@kSYyhiVKg(d+nGndzkZ*8UXRD?^Za~1@5kfwe5WncKB4q~i)!_w zX!u`-SXaT&Do?G{GgDOj0Ic<(uC{SB%ZAy`fTno?uZajA=WU=Zu z(AFCE)KiB^$%ZViy7%@G`5Mqb@3*N&daKD#4o!E@yNSIynV^q;c-Jl{6&wX?@#{;p zO*e`hn&c1WYWpsL3XWYc&;ER#Eo7Z%TZa0E7c}<_l;;2_`-~kePpk-FXNuJhhA!ih zHLQoErxy%nCg4Ex?$qf_^`uTG%GH1wZ~WK{2Wt!aGUJ)C&6B48GxzwLLo-J8^!~3c zHaN52)4(-}(W7o8z?`<%PDv%|A0Hk};bbwrWrz&5gIR91W@mdMEgiVCBfRXWmC{!I zR2Z;a2k11kRZyMF8{Fpt57FOVSjCMB7W7_>!8f?8N!=o6B(7Zl(uk} zKjq%CNv;!hE`asTM=y0+pRWx-uim^=ZhPC&UEd^gMTD{sJ=InBbQR%&lc+!&#@8 zI3R)aBE2aw1j{XVS}1UE1*lWO04GHtgo|Qw*CeJ@JjW2?i6rAiN5FP51?g4Rf;w7t zCDLnvU9hn*6COv+qIj%2cPRVBHF9W4yLu!?)1U`*4)G%l+J3e$p5@)dRjw!)w^f27 zFmE;vPRGN53{D|X&sV^9-HvCQS(3E{1DMrc6`1@XCnjmvBZ0Z7)dNuT zm)<{aN$xC_^YHc18kvQBUf<0IvKJy*zUaai?@!%MN$_!Jwyuj`+adTq zpNuYS9EAr-&75LOnUzxpsFFA9;wODAFb)_ZS$|a|inSMOx#!!NGUCvs12MqvF+~sL z!u_RY&av#_I2Cm9&7bPn$*9>8T1Q;AkD+8pV~}20`HUU0hc*{Kj{PKupo*)FlT_Er zs%#x%1IGT`Um`{%RJ=>4Kh}KJxptTxdw(W{l=`6!e%KQ_wdPh>{ zsZ~Lm&z#stKF0g7P__FQ)R;gClw*f^>QIE(*tc+(IC-fvtggG`_ zYxY3GM&n1cs?Of4dPT7Yp^SnDgjjzP_#uq6lc*(c%W3- zNA8gZ1uN8J{V-4>zgJtW&CH5J;A;GVZZ0J@r#LecmRS_5|Tzm9}| z`3D%M4}LFMhI-47yEy{MvWJQ6RB}1nLX>knmkpMdDQtwtwy<4@xaf0>3b_bvKGK7| zJa0Q1uRPAB9aRGAaHXUZ`XqO|6s}tGa|O)+UUIe&E0HbwZmD@3&!3ody^&4Z_lVmM z!6eqynLdwBsrMkpFO^G`I~{IuVaHRL_3WWl`hv z8h!(UWhIQ=8~up@ia@)QCqk9qb_yQM7Jpyq&zHR#)4I&s|J9OQez0l(K?^bqSPKqP zt8VaYzRO$w4j-s*Z~JG6DB*>TdvBCVS7Ugk^YGJ)5(Jv*Qg&-+{ZcPECg?04 z8ySSaf3YBISWi08mrS^0aHCsxomz#pbtbNhp=M?54^Mf4ZZ)ai%wP_*uwz&M5f33c8>1_jrUt)DXMW8d^8(rya}kHun2XqmxtXI;*6mSA;YEjAFCXF8 z%WLGRF*-W&_wWJW^&Yb*iy4x;S!RaNXyWhViN8#qNSFwtG>bmPrQHlXWlDv*Lj?}p zEalz29|ob1gZ>bo6qh^+8`KYt@Xah8LZdA;Ps|23G3LYp+9L5Sd&B`6P2B9jDE#y{ zvCKcfufLQZ{Cx#jI5wvn@J=MiVz%>5?V9xOd>* zx@OB|gpH41nV;So)7CbaQkE|F)a zgh2~>^hi{4-RUw7cjeK&`1pdnKjr4e@tW4i3nw*=BcWw|f)DmOsVSa_ko7f#QanIk zA`I8UsTd_lsh1$7h*5%+jS{33F-nkfr35KOj1r`5mLR2wQG%4KB}ge^lptlR1Sv%f zv=p;)MddAPCE^GhO+Ch+BX5#t^!-eQMc*RjT_#K_-4;I1Me_9NIN0W?S-1B_^IhD@ z{+G?3>`xB)YCb*LMkch(Qs~j^nYLujD(n+1aBh5zUrI1FI028|6o1(C`v-@7F(1Eg zF&%Yg?zUO??U)13YqJ(vdn6F;^lvtu{>~wv&1KlK%aU6#44mnvHtQt}8Q@GdyE4O# zyby=B_)nV_|LBk}=d+n(s_72vW^yo7o6Wo!lfXIsutL_^0aOky>-Quy;$dv8+-|P4 z3xB}`8b;SHbrnps^OQf DE-?ZE diff --git a/lab2CA.xpr b/lab2CA.xpr index b288b98..3ecd428 100644 --- a/lab2CA.xpr +++ b/lab2CA.xpr @@ -31,7 +31,7 @@