From c99ae69213587fbf1abfd4fae6b1df5d11a74ef0 Mon Sep 17 00:00:00 2001 From: WilliamMiceli Date: Sat, 6 Apr 2019 16:05:24 -0400 Subject: [PATCH] Vivado stuff --- lab2CA.cache/wt/webtalk_pa.xml | 84 +++---- lab2CA.runs/.jobs/vrs_config_63.xml | 8 + lab2CA.runs/.jobs/vrs_config_64.xml | 8 + lab2CA.runs/.jobs/vrs_config_65.xml | 8 + lab2CA.runs/.jobs/vrs_config_66.xml | 8 + lab2CA.runs/.jobs/vrs_config_67.xml | 8 + lab2CA.runs/synth_1/CPU9bits.dcp | Bin 79019 -> 0 bytes lab2CA.runs/synth_1/CPU9bits_tb.dcp | Bin 0 -> 3160 bytes .../synth_1/{CPU9bits.tcl => CPU9bits_tb.tcl} | 6 +- .../synth_1/{CPU9bits.vds => CPU9bits_tb.vds} | 230 +++++++----------- .../synth_1/CPU9bits_tb_utilization_synth.pb | Bin 0 -> 289 bytes ....rpt => CPU9bits_tb_utilization_synth.rpt} | 112 +++++---- .../synth_1/CPU9bits_utilization_synth.pb | Bin 289 -> 0 bytes lab2CA.runs/synth_1/gen_run.xml | 20 +- lab2CA.runs/synth_1/htr.txt | 2 +- lab2CA.runs/synth_1/vivado.jou | 10 +- lab2CA.runs/synth_1/vivado.pb | Bin 51804 -> 47209 bytes 17 files changed, 253 insertions(+), 251 deletions(-) create mode 100644 lab2CA.runs/.jobs/vrs_config_63.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_64.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_65.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_66.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_67.xml delete mode 100644 lab2CA.runs/synth_1/CPU9bits.dcp create mode 100644 lab2CA.runs/synth_1/CPU9bits_tb.dcp rename lab2CA.runs/synth_1/{CPU9bits.tcl => CPU9bits_tb.tcl} (94%) rename lab2CA.runs/synth_1/{CPU9bits.vds => CPU9bits_tb.vds} (72%) create mode 100644 lab2CA.runs/synth_1/CPU9bits_tb_utilization_synth.pb rename lab2CA.runs/synth_1/{CPU9bits_utilization_synth.rpt => CPU9bits_tb_utilization_synth.rpt} (64%) delete mode 100644 lab2CA.runs/synth_1/CPU9bits_utilization_synth.pb diff --git a/lab2CA.cache/wt/webtalk_pa.xml b/lab2CA.cache/wt/webtalk_pa.xml index 391e24d..a97e0f2 100644 --- a/lab2CA.cache/wt/webtalk_pa.xml +++ b/lab2CA.cache/wt/webtalk_pa.xml @@ -3,7 +3,7 @@ - +
@@ -17,7 +17,7 @@ This means code written to parse this file will need to be revisited each subseq - + @@ -27,17 +27,17 @@ This means code written to parse this file will need to be revisited each subseq - + - - + + - - - - + + + + @@ -46,11 +46,11 @@ This means code written to parse this file will need to be revisited each subseq - + - + @@ -61,45 +61,45 @@ This means code written to parse this file will need to be revisited each subseq - + - - + + - + - + - - + + - + - + - + - + - + - + - + @@ -109,7 +109,7 @@ This means code written to parse this file will need to be revisited each subseq - + @@ -119,8 +119,8 @@ This means code written to parse this file will need to be revisited each subseq - - + + @@ -128,26 +128,26 @@ This means code written to parse this file will need to be revisited each subseq - + - + - + - + - + - + @@ -165,26 +165,26 @@ This means code written to parse this file will need to be revisited each subseq - + - + - + - - - + + + - + @@ -192,7 +192,7 @@ This means code written to parse this file will need to be revisited each subseq - + diff --git a/lab2CA.runs/.jobs/vrs_config_63.xml b/lab2CA.runs/.jobs/vrs_config_63.xml new file mode 100644 index 0000000..c86769b --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_63.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_64.xml b/lab2CA.runs/.jobs/vrs_config_64.xml new file mode 100644 index 0000000..c86769b --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_64.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_65.xml b/lab2CA.runs/.jobs/vrs_config_65.xml new file mode 100644 index 0000000..c86769b --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_65.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_66.xml b/lab2CA.runs/.jobs/vrs_config_66.xml new file mode 100644 index 0000000..c86769b --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_66.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_67.xml b/lab2CA.runs/.jobs/vrs_config_67.xml new file mode 100644 index 0000000..c86769b --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_67.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab2CA.runs/synth_1/CPU9bits.dcp b/lab2CA.runs/synth_1/CPU9bits.dcp deleted file mode 100644 index 33a3c456a4bb0d2faf3c3a0382e0603c570fb3b7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 79019 zcmZ^Kb9AI#w`Xjd9lK*Y>9}LtwmMeD=#FjMw%xI9b?l@ooO<8y&bo7F&HQnmwa;(s zV4q!8Pwk^53kih<1_lNPM(Y%&kn~|B;SCN376}Ok1`9&XOr4lK?d_rybsd9P@WN_O zYX1%_xsyQ@l-;7`f00%~3c8xkOInMl4&2}D%?)>$;9%W+^2=$xTxr_kzr^I(=b-rI zPN}X@WYD_U(&E|3&+?7;t3SJX5PbgEOX;XZ#_p!6KKEH00=daR*afFp{)7^SW((D1 z`cz*$p6N4$$R9mW>@+e^5Rj7nJI8<7nW_9}}4oGTO5)KLbO&g1xpAZwc#E33P z6fmQ*OqzSmHK{8hz3?1z?Yjzurlrf+3j2@Y+p`lHu42CKXd#@48J3)#)f5S#=TOhC z({?M92Y-F-!RfgNrrHU0f4TU+tcg<^C`hI4a~$$uMB?@1O(n#Qe%<=y4x{Yf4IpL` z!+*AfJiU^0X+cK)E8vI&JY3hTJmoCZ;ralPQ9FEnRzX7Ve`5_VNmrk>o##_O4wEkCmUCH#mD}+1htrLk-|fcm}rZK%{ml%E@2$`ndx8s3&|s@whaz;wVfk0Ngloblfo%b{A$s=)-X7+yn+d4Cw~ z=l;Ad{W$P>yjB#}-|Tu1fIUwsGmjA3xro zUSfk$ubF3h^$h(xx=u-|I;=OT)NwmKpZD&UMk{kac|2c$E1+4{!p>+gYg*Eb9@F{Nc6R-J-@PB68l9-+KIYaxJ35=XPh4^2 zDt>WE&GlLS^nJbBH+ogy39qejL@^*1@C)$ryH!|uJ$vQe@%tQEyh`FN-~2|y+|TCr zEuA`lk}_W|Q_13c(wgtAFp+v~s%53F+M{()MJ)W{2025|WCF8`B$nvILgxMh&g1>A z9J;2d>&{Yys_iv@bN*K-&ACHEQ3<$r+?`K9!2r@XLre*@mjHgD9*MghheM%1l0a-To9_W{@a51)$k>zeUu9E(Te zdv`@EMK6KPPW!_ukz1>gY*5us&EJ6jynpflO~CxAJul~c!dX_|0|Nw4Q}m6dbtgd^CMm822Tfy zSolD)JqJ!oL1I81GUiN=EKDadcGuQw9B{%i@b7J&$C+c+R zI@hO9aj`ee4RhDISDlqpEy38^`{wXrR2~P4N|YstUv7-Ec%8fWMHIdJZ4;8;vmijp z8vfTI3Z|=W-K}n2`wpY0-|gf6@ur+sQ9kpHRX$hccJkvVFG6(^_rjT+xNGVUd;y!FN&1Z;>O2E0InX{j$+u#1QrhOkLRpYAXi^ zE7*jUmrSFqDu=6_L$hMxHb%@h1b(zsTXf30s&U!*2lQo?Ml}-0lnrgXeE@i8g4}c7 z5u5JyoLdS7lSR{ZNLVZ}&$?+98}!e!QHt%(9sMhYE~w=Nvkz}7_5B|Q4_4aVGQvk4 z_wO%23NcYufgZdPF!Hvel7FR7wLFKLVqfOO>WHUy@gd;}q} z3~wgl5&Q+Ftl}#!Z9@zxWCvk6i-@{;yGXnn4F2raO%Qkm{RH%j_S8nX@AGJY=Z^in z0a4xh8V*?r-v^zN!IDO<&(ZL%IvZgSH*YnXz!56TjXarW@_6X3{5Kk?nKpQ&0Nn^f za~m@M#5(v}_HD>PVaB0awtBQ)nX!<+_@zO6x!C)f=^7C`lzQLZe%1Eh%p~5zF3j+B znHE+2u8m?O`HS7+JDa?AhiMGVtE@kQT(FpcEg4Ed&4^*#Av@|0ljnf($VX@mVTXdZ zfYTmF@d&ngU23{R++ys|}&?r4g!1zyiKS9dpX)WC6vSxddk=W!cql zLN?uNYzm6fK~gpZ#zGR5W6S(By7f-5rO8Z6P}UCNgG00{LOD{!<{SO&zK4ho@CAo* zB#O;r{>V({<)y6Z)s|M}r4%e3IX1wftdiB0zS6NKrxZjUDN)6voV3-F_OHo=l9|z~ z$H#zyca_6Q;MR_Zkx}HTmqCSrzxTsYVGJ)i3FpETbCFK-X^wlNWQ0z5^m=b=@@PqR zX~|@M6he+aaLcvGs(YnfCad(@A$6UkD1=Ds6^CYV3_~#Lfx+$*0H+5$s;YsVOO%0G z9DrJ&@!*M0{x;u=ZvMJmGdpo}AkzfG(t0D;z-&4`B3_3;kJoH> zs4#N2td_{1Ni%39{V%YBf3`Dn*1l#!W~Y||@=r!5+SkbuJS(VIR5{~IZ9Ek@p|Qvw z)#TGlZO9duZgLO?82?8vd#^l$O>s#)r(#bK8%l6cmD4<@B2qwo(1#w*JFL{^w}3jP zS4G&f8rlPr;u4l8yE-Qc?`QJXkw-Ug2J_D83CZZZ@WbZ=m$=ViZs+zKV_8q!HZoY& zJM_%tR_4NFEDe44DE8^yt+;s!C`lIOmT?>(Xve6G3vL$wy7v(%cI^cU=FGS6ynRtT z-E{PiE&Xu?Da_gDv&ql!HTJ4p`nbD|rxUG$PP-{!s`<-Ei1_c0|~HUs>!t^Rdq) zJg|=)&F6?WWB~X}E&SQP2Yt^n_+jALbrEHVj#X1PS*5i`>*9uth1}YSgG1Z|{{yds z;FFer;dBt(j`|O*=$0mIJ}3Y>e@ZwBJd?R+ECIj6ypS-CAs8v0(}b6dKa!B|L8oigNGL^qI_$MyA+f+uqx>n3+w3UQ}~FtaHnqEnT6qLZ>qK+^tzsJ(iCA zz+LweU^4?;NS-_4CrlCXwY=<-K{=HX+~}wt2poNP_zZFXQY|Wk3-c=XyvdlCRp;!CdwPjb>C^X7zenZYe zAFy5jTt22d8s3vF{Ua5}H7{|EJ*c}5zdr1%-S0M5)eOuIMw#s_NDkV?BD!b<^f9Z& z3ky#C$aXRI&FBn|aO!rE2wR9P=*T14GO(1XJP56tKm?<7FnYkSwHnyZf-*3i110dU z5cv>VzHo#>D+rGU>DvfPA8FaAe;qr~wer0)97DbDku5`4Lm(|w4IDS?<4Q}uT-?Xq~Xe_H*%;6xu5eQ%a0pu*JX|;hM z33CuY0RlKcz-X}X$?!9=oul!H-N8giG*BO}g{SO%>YbjqGkNNLm&%k5sIfe<-L&;i zT2h2XZ*J=d_FbK0zu9uOTP3MOG>}ps&tzYc?HAN@NHds70y-tB(NOD+{zlhUvBUON z*jkspVN*@^hS`o!2|r0}PtOkr_d~bSwyXIRfz^qU%gN540(uMZVcvv9PKN8H!<4wZ#Z0y2R8;PAm&DgyYIOuAt~tW5CTP1P&t(V`rVKcsSStr( zh@F}Je zeX;XWdouD#3?tx56)~z?v$_O{IDkZOK_W6RAdx>Hksr@SKB~SJxKh-1TxULY!}xtR zgwGlnj@PxH`$eVxe;O~Qo-zNYT;5LYW01;~&tE6u}7F?K8t;qX17m%C+O6_sW zPMwt6&_TQ|I@umlh8}+5MRC)4uN10`Mk}$8ewzI?eOp;t1Sy?`-y?|qkAY-$h!(Du z{7t$7$y-;D?T*hK4g793tu*!}?u{|8*^TBW&z0wfv(bE7G-qcL2hqk^u_y%uA{>xt zK;5uLv?VuAeNR(DJQYZh^{yY}Z#8)HW^35Xa(OjH27L()vUxLqfD@S<(q zlVEWR0-|_5P@e(3s7G9~WSonTl7~nZj5j$r%OV&m+!mhH(j~6(9}On(BB5|5NZuJ3 zc$8-hk+bxG^xO*-QEJB-B!rT(Ul_Ng+==37(@Ajqh7B!D8Yj8xI6=05$~#RK?3tPQ z%6jAv_9Cw{1QU)=^!58(5pHTqE@Q$dkNSDe*|La3F_rDqilysq5-2d8s=0J0z{RS^ zMKn@*;)uh8wM+Y;Y(%}Ak|UCs2bYTWqHK(vC8p>HIb{a1mj?DTLNM6$>8J zAPSfN)FTL2= z!`h4X4MJi*wJjvz+%A(u-yWkGyNU91z+3?h%u58{AbnZTP>9R~#mdR?uIloB-ig zE!E_|pZ?n=XgC#zGV+xbO%w+$2)9c7DMsqpGIjqB3s|SB)=aGYM_&x~7E%8$hCNZ2 z`xeIeD3F(5+}OApnm$7DKK5N9GfpleIkXw4j8tg8*4^Q_0&E8=qZ*>fHmn&z-Kmp? z&#k?vC(AiRNzlCu(o@&c)F!%%cb@MT)_fyAop@-zS_~gF&Bxmrd2V)5oHV@*S-e`p zx4(FS#H`}ntsVTYkErj$u1YuFoj+U5rx7}!!+DuJQM&!QZb;FbC)mM@PzL(80UUws zUtl8w=#O9a&ud8>rwz-k+i9kmZ)ET>78rBT(G00k%6(h|pEb5#5U#~-g3CLv-!xYO zXyITLFHT^~E|xXj`C}TuNmWV4emII)2*s^!119}Q@0A0Mq!7k!t~=^IdL;S5n_Z$i zL~w-ShPMHWw-Jpoiiin-EAPUUz6drUHqTzV5sDE$A#M<%U=o!GD_I{+*Mj{St8SOzFJt(<=ZQcP(t&-{jK7=e)RBGW(oK z^9&_?+uO?7Zs$#a55CC$wi)U{45NIhWn9hc)@EPM(>^fK}qz2lrubcg&2M|(2v)6^%O>l zXSUo=d+#34qnd>9D?>u_8bjmqaN6Lh?LznxJeRl1-apb|yF(wUvt_;$*bN|(bK7A; zX)QkXl%0CsR6*|{81;aCSlNBC9a-9$p61(`?ufsf9G?5j6NH_7>(nNTtlzZA@&&~M zr{|}|o+EC!ZSR>G)%<5?=jUW=uQo-r zUs^Aa?=5w-{xm9$TwW3HB#}>JDCWw5yM88y54@~>&0I}?sb(c^=XB?>5QvwkAdEkH-++qW5MrsQ^YZ;k#j*Al#p7ep2q(xe#x^GQ1a)Q$i7&qerOj7$x#Dd&u;Vk2AV*g_!HW!j~_INz8F>ww}A9Y$&jq~^CI34umh$SI$HsN6Y;^9tK zR&j~;>r}XVH?VdoU}KKQktm0(YHJJ5j^W<2;KO6}lQ{a)LgjbelBxSK4Oy8x|CM}M( zXeF6DRimsYuvKT&?pr+<8h_&tUzfMn>a(ad>ie<~jbT=yXr6!c4!W;D+1QkVTokQ< zT+~PzZTNJ3#Jc$&)HgeCqA5fi4E_y;IU39GS1oK1J0HDrW_eNWb$!7fqb~Vb{wy`g zJBV*Hy)jk`ABzp-_EK_(uh)uJXM66s(&Gt~eXDsw;Ep*HM^IYz)|0olX`xHCk ze!j)AQQwt$XD{`;mJXIX!DGQLd%F_8^kF;DrPD$vbBd6>)wEkrFe-Jyd;Q6?nzCgS zwTNtCM^+^d1)Eq;G?1)(hnKVY$VNqb|A)J0#GRSC+Qgt_Sj@wOPHT*sd`I+&v=2>1 zR=G>T@AMduKWWa5Iim9KnDQxQZ+~cG7P}%=)_bY5_NBx3eSb6=RBMFGFAzN~I(x8k zoK&kWhG>`aC^_abMl6P3Ult-EM7u-$l)jCC)DFpbIA`21yZS5}GC`WQ!3a3nTWosw z{NjLGUSQGr=#+73^@$x6?A^L{-22<*#i$IF#M9JZ;J+Ef>x^k*q*e^@C zjoGrt!LX6QNdqcyn|#yBc7rMR^LtL+hg_SF?Jjq;Q|eFcCGR9jph^^EY@2Xxe-RyJvn&|VwD!>=gl@+h5?pu z5H*|q*k7122r(gt6XBwDa6@Xf=(=DJeYdE25G5-t@~v`i)!xI;#@+Pt zMXejse5_TFo-fbkp+C-ErzkeG&U#K{dT{bBn*GvJO9#~`BIX#pA_zI|OovST2?y&? zcYxOs36c(uW}LpPzjKw5)`~y3P92TExGn%X+E#Q!+_#dH3ztMvbjSi-L-asrmWz3d zK0;nTTv;g|m4HfqgWf9hw*&T&MdKiC*-+48v~9!%$Vg_nJ@yc_EeIQfjjqVEZ)jCV z%e>wKhmKwu?m`_vsTA7;NR9f>7C$As1iF{@i# z;eFcKVS@KuJ~~`NH%$uvDr4}bCTNw?ob=~v4UlOnpwJFxmFWH1r#}J{P_1*S@yhd> z>&G3(Y-LsjWjj3`6n31|kjIK<*?Pde6d|}Xk-a)(ohKCVqiLiNcjM%?>xa`36ihrD zG*6Z2FYhKp*C!VVE@h$!`$hqq*mXDa58arRfu|B6@?Hqe7b`y7?qy4S#1wBSZIpSN zyE{`Z-Y;Xc5Bn!-#5XlMkFEkOfy!k&Qu~{i1pv?%o=j~WhSl|?Wts3R5tU_#byYSV z3m3RR1S|E%7L(iH^;N*df?~_cwXkb0wnxDq>S$37)i{}AM>@SatIvP&Ix7k$icLND zTk2&EvE^rE2RD-^FGhL(UI0R zvvN;VfcGM|q1sAy?UcEZpgj3pZ@+o|m5b|eQd#rmuJ3=?ZhnU=U=9j=*L_6j^s1f~o!N;c}Vu$-D%76JD z9)H6Qx~(&(?K7{oTc>tnV&NfP4C&r>**&hgwzm4^)L1tG8qrHig7p;58yTo??R=l* z1wd4e_u`W-iwOrIN1RFu6IcXETKiKebQ6LfO5Yys<`~y2$gUdcX%>G;mDyBu@M)Z@ zwaQqreaSzXa}m^Kj!79>YjXK^=^3(KqD{nPX#;+W11~>H!ExUHwWQHn>>{0xhJ!G6 z_SURf$bgZ0;$XurALto!RufCr=rAXLh*^0W(s2t{y=>ooMO_K3Zc!Sg!5t*Z?(_Iv z7dc$L#nrA3hS?dmX0Pc|b-e6=y=?=4ceihcfmyYqni)0wZe>k{)I?d3l=qQm_Ea0; z3~{c3z9HNyezq`NT6r$~Fm|ufJ(^R*6_;O$^(Wc23eJ$}Ge7vUY0s5~rMO5cLtz#m ze6TD*-$Mz~li7*A}?ZUM7{K^df@py#SMvYvE;6}nnQkvJiCw3 zsdMy1^$zmuebo{)=F4s2!@LfNu|u5pxdN>jt1&4fEwUBkAIOFU)_cgxP$QiQ8{;bL z>ZM~HKzAJ~wfooCdTMu#cWJw71vfkYm@;U$H0TG|Gqs7f4-tKoa_^uQ-UeZ(CZ&9v zG9E#sMcnlRA=wN1H;p;nBN86*@K3ET$|Nxw0hJZJ0+Xw(Tp?eAL`&2MrGw>6Mxlkr zHWgRkgCf-N3B}i4l25S*YWgAJDw|nP#H#0msIV(J+-1zmwp)OO%Zrk2NwCY`&;qM* zR^bHVW(0L=9bCJ!EJ%Jyef4n{U_*NylEo_vur$V)-sPzj7w%S%R(D~4b% z@6)57C4<-j9(}s|UL?!b;pm6zG+t(2-Csqt=u~nV&W1IL-7dHp(6(`!_xiHBww zDR84LbmIl57tjw@%SsA&lL$|0v~BYfPK3HVc7Ot+%;s3Tg0=LDcqvWwxyy#-Gc=SH zuC-i%q_snjHKgY~MiR9J6D&Nu_Kd+8t^cH6tb4pn2sS{-)2-4lBH)!+47}N!&R5+~ z2$DO(>Mxxr6aoN1?{(pYKD0T!3&!oidec|`<>yg6nuDsBki*J0=M{zzY~Ri9{e}Kd zn5UXsnsxp|2im_zO)JinY@RtTl;kGWSM^BRj=iQ@V%=FL(QHbO$t)4O_p5U_m=7+h zJ~&RUmEn+^n`ixyqu#t+e}kPef8RTIcIzE5ogRVVeepG5-f^o#3lL>4-3=xl>XOGr z2W$p;jSGAQ(9>?vSe^FKSP@gbKuJqcnoCQW6kD9qZk*c{Q9$3W2d?9?{DHdA?wj)C zL~(L8L`EgL=UYX>2-#4}iQRbQBk+h^0sm+0T`~1jXuiMIkQP%L04Gy}R&#yWRu_>q zU<7(U^+j=ZE^@l#WB%TmoL^4K^HJ@c=}d`5&auP5n{GQ30WrX}CSEu27=6a`e z;PUN;4h0FKwzlR;H}^?OTkifX9tRm?WlQ!CJ5c2c>p}s`P!9|~vn;59QogKETC~f3 z)`@x~2YPd}`VS}u81DL5cLMEs0sn4QXnW`Cy)$WW>5?xP$>D`KC8E zwU|7KDchnQI<*)w11o-olqp*=3?>qDQ$HmNGbwYJ$iA*Hzx}qf6qe_k659A+4(g^` z9D!$4aELcC+LRSI7%!s;jG4d;4xG{xDuP+FhNxN^M7H@W@q&etNXhO2gtFou9m6lG zDvI9%hE1+VeKVt1C<_}EI%s99-J+FErsrvhzVui_2*U>$$RU(@*cyI^!KX`PlV*xo7snzAL5OL}EY)Eb53LV|SS&-w$_x9I z2gYdt1zpxpPAgKf90!)JBL-pSfN+GL#5`b6y8{S1<+c}tUT_?lg5Q&}j))Lt$(TjL zkixWrl*TuUMdF%A_x-}3Yd{$&L^aUhK7 zm31K07$bcgShE2%0*k9@9+ElXu0TXgMg*9RT*ag81sz+7fb6_tVvKUv&p&2;OSHU0 zpllV0TApLWfaTAjg3I<#(jZ%MRdt9%;yi+ZfplO(OBip6lt__WQq#N6Lrxuqhfs zc0DCOS;!{d2*buCjUA69y+db0EZMt=%yjAo*BWxa28?j0euhr*vz$-$fagz)u?oR} z#E<_bl1X!ki?Mr=nlN5YGw0uobP`31KW#^bKi?<R-h*z^rRL$qZ`&j%X?v zg=XRRb0>uAatHddmf3`Sout8Li%;uS3_@-HsE{GQ1+I({&nC{A{XnL-2cj-IR^3n} z4H8?Ko(bSaFWvX+Q^_2_X4V%B)nt$6fSpWc5fs5P?F08sYpD}`q#~>fKh-UdO^YNL zs%=-!Q~una<(xD`y^d=j?I4((3s;T||3D(a+$by}xH!bV(sV$nLu5oi8ZeG6g3r_$ zWHxqzaJQ`kaDt5c<-ni^BkdcP@M5i7diw7imBaaOiEDb!lbqT@`tPkF$n1O&*|Z8m zs>w`HQtC{cgG{RN*m0x*2#;;WMsX3~6-$&bv1lzBLpCVXM@D-D)PYGo|3vo zH`R_eFD2^&!6%&ZMJ>LLWFf%qZ*cchNz~Dg&6Imc_a^){32w&lQI_P;$DEH-vOA}; zX}B4~WJGj|$8q8zWWr*Mj8xWG@sCL=d9&%YO79&BKSHCBA~25m`N^_oj}nSlHI*Q$j1tXiSrL)Q((=(2X4~R`krvXz)STha2@i>AtKUmlg7A?XARrs?9Uj2P|9r)> z_Er)hq!vmR`E3*voe)Su zXp6Ho%+NKM#~~-=?xA8LwBlHVs4TJTc-9#_FcOhekQ@t5!Xj2HP-XKe^RShq7_YWN zuk5!VpVCIx(?U*4aJitfkep_reUGGSzJ;xtd@R3GsTV;p7qO2zcHa+9z9UYAVP{B8 ze^`^Z*B{of77!_3ij5m8Hjd&;RFC0u5KEl{Kg*-vJ_nj7w)tLQcjHBGZD^G~= zSpK8VqYWy;Z%-ves}7X117b8AIQZ)LOJ6?W26wM`PHYQzv&*;U+!({xKnougV~bj{ zA0GtYMr+CPSZ>>~Yg@#Nu7y5a{0sQxKA-WS|KRl%o(&k3hbE627zcwMd4b8JHT$E# zO;fEv|3jYJ*WaxkMQC|leB-DRaEA_Csf!%B`RB#2du|dLRHGR`{>aYNZz_}1Y~XOT zg-9U07~qn6NCL4KE;FPD+UpiN^*_w%gl zLoxo+xNN@cGD#|IltOOgltiCIR31e5+TZ^gG5u$bL^KoSWHtXHMr5Am8jW@_Xe{c4Q@29Cf7Etn$7g>i{? z%(_r^krKPUUiv}vel@-&%-RL{#|e2X3Yw})1)f6gob;G2LV+t4wqHl4T{@x;7M4*i zdX+8klq2)cvA0s1)o=Cu5X<2{9b?)^HI*`90F+z_0wQJkeC=1=j$uiqYdY>`8+ zt*Rd+4%0fsw#@{-62!@ah5e9+Fb6%ioAxC%qrQ`~07lbso$w!VIGHvl?O^`o5oCu* zY_7IooD!Tf3GTLSr=UMUR!(xUYZ>6axl3|U*AycHRTc>mIPGkfdL;8|%yt{^m|_Wa zcDj)B8BTj_6YliU8#5RTO?K`olt{kr2r&QKopV@izQJPiUOBQt)vpFi_uT<=+&8)(vjTcfbtY9gbA0s2y>1PDk53LTEn-3QtztKV(h-A!Mlpbm!=CjEt*WiSP-i^rEK<}6DTRvkFtsqrh&kP(U4TT zm`R0&RrIg=P?PO6~*-*{5|4R=U^ot{`a z`TfiZ_?@mx6+yE%BB=)I*Zxwez|lE0oEb)XRDTt&|I6wAf+Fq;Va%P+4^H0Z;i0(1 zE9UyCP@rNE5kKr@Vxk9|yn?+f>*1;(9U89 z10D`J_r5q&?xL72{#uH z-%RYxB+PkU_~U>?oj{`cj3CisX!21x1f{oCZMfWAL)vu1)`J!2w>+y-42niVgb?Xl zQ%pq=LkvMUbjB`Ku(nbkrv<2CXn1Ot2)`EiERaa;iE4TuO4{FqP_;CUq6Dj4O!d7? zl#0g{@QrG*9^;IhiqwF#qDdScxeV%rY$RzNg{Pfv*h{{-ci%()VY{ z$FQi!u#osJGIF3?{Ee!rrArf|f?>)*T^w#4cd;;(sE7Y5x6)JYQ{_|alYFlL`k_oK zU|(uj6hmCE5&>JHKtK&EGE_;K(nLf8y%z*@p{ik>7Ah$ZCFB>Z3Se~TBhNV)g}=-x zpQ_0&BqEJyG*II|@MPhkK~aeO?g11;z~mLA`GHHYzCuKqJV9d(Um(&(t>G$RH8SUw z4fT|5Bs+nNk4A__NtKO|E)B)8O1qoDWf+jsgpq;^k_4uU*UgHgGb>@KvM6DRAz@(~ z4Nv0IM*V}Z8|Kkk^oS@&0?#BRn|^8)da{ja6=saHFQ;)PN)c!hQVjnB`I-%nG8uvX zNAaH?KFBjW6G%}Hr0A^tY_|sy5rb}vrPxhitEO5zLa^Jg!LaaF{8D;Gv|zfZ78fBbourcR z8%xxa4*7{ti}ILxfN?|TQt)xBOH+Gf_%sRbaxnivJf;QnG4WbyNpqtW04EdKq=QOc z$eKJ@5=-X*=uk7PCe?9TT?kTI&!@S;215{vu?_}9Jv0%`5tHKIL10S*x-K9wNF8SZ zP)+)X)>i3U+At18lf&OAAh&qZT!W!FzLEEfn%_M7lfGk@_MCyQc&kQ!3^~#V?$e}S zib7-^gqgJ=p(l`0&@ja*!>5GpLyRX=mevI7>70Ep;_lk`jxq9pTFh586AU$$1&%>_ zAWUh*m#+qh_!QqBLdAhI_OBCxyAOu`mPYawZQ#u)5bYQiF@!}}i!|g2ADo1n6r4>& z4C2rTRC&7y3m=D0j6o_T5C?|)RT-ZgTEzSYjPQ4#KK23>)?7Ola>5*`Gd?LInxnS{ zYTI%r^J8hyR;m_*7=#`}AseVJ9uv~{t1QNd2|m{G_$(BBTs}O8(O1Y+vJQB9G4ZA% z4luVwnfbJd5D1p^m~RkzwIOEGy-4s*yv+2$+EDZWpo=u%;V2H!5~?66Hh~7ue3&sV zhla5`0vq>>i~_sQsO#1sXfi@)s6FIgF7mD6+{J5zK)%N-DBRMHP(yn^?mfP{<1l4HQ`5_B?64M;~Kf zqQiTS6o!T@2ACN@!#l;}CXl(ZN&_b1mGHm5?Ly!uqxEysT@MRjCov|(6Jn66(n$j* zT|mn@0s28$k3^Z*EN4biCRb2kl$u30*?CC0CmsxV=fx?2Hx}Y04FMhk(2l$5lkO63 zi7|HPHKip9(O6T&%p(LKlKUIBK@&YkKAZ;;a97w`QZykzyE+^ng&WTLOCt_-R8_>eYRy8W*)EZNsD?tKFF+W4d!n1(^d zoexh5Xs^*`QW*yXiw69!l|Lr3n?>K07N3ZkAbS99LKlZ%#|i?XfGN`(2Lw_anqw3b zx5E+9$?VR4wDYfm#Py!P*F~$Q&3Gc(gYof9jz*v(hGj2NOqv~cL?`XHN72rQc!}$; z%grN}{FPu?Tj{XARc#fO9RPueNOkM;yI{vpoFX9W;`C4VviK&=Z~LUj%SJ3ieDQKp z7pX~P8jxPFtlSylXqnEcY&tvc7_$a`Yg>b}0ZHQ7J#8r5?}}X0O7+Xf#nO3P*zjI{ z?L9BRzi+>ZM%44&oPFVRO+#1JBV{3G4e=TDC=?p7AH> z1VaKspz{tk5O+qSld}TqH}p)g5|pR=5jq5OPMf=}T6zRx&V&Tq&BbpTC0U z7V`ZB-i^HZ-;bvL47RLH1zA6c9$MI8V)pl#DBp2wPp#yhQ8egX1D|&@_`JXD{25Fv zSzR1l>R4O5^HFbGuCIf(3Grh3ic1_<>ZjY?+tuUg;p+7W++BKh?0MZ@Hp%HIewQ_O zdw316jKvjxe|Z#U-uXGQ{6*b7f_ww;+`5ac%@ylGMFr~M?c&(50 z0}gukuvmdqcl@C-8s#>Z%Qf6pOA(FfGiw<30jIq>z9C||*6o(Ejv2NM)?1;UWiURJ zt_}^evqtgRrm@lj910BkPZl;9PSgVVPxBe zc;Mt?_&MyWZ7!PjUle=TjgQA=qty|(rF?z29(}jM_2i!e+3yzxYcusqZELEy^8PWQ z1IY0D9M4{vv~i*JPWARPf?MR7CSwnXZzG~Ox!+|fpRbN02-Pe6XgjSex$=ux>6Z>` zQ1PHTg;=OzR;IJ`IrgTr-XFmddmVKwnb$h`?Dsv(EQDFbh{c?g{gp)s_=Zv3576Mh=w0!JL$n_U6zc`N%HTBD%b@9Zg z5NcH@gY?Y+_K1Bj8l)^iyARq3^B9^u^gVC=$tfr#tyERU2aNXL}AnPb1S3FOMT z9vR8fMf!_@P-)(5axjfk%hYW5DKM%P@_t$Zn6z!|bl@d9^9lV~7Pgq_!m`11OC>@K zIjC3!HKpLlF}kH-Q`2Jc=zauB))uv(TWtte+p>Ui8h&#Y%eAM-$*Pk6r1jFCMj;Yf z4q!TrnoVv+gI?b5$Q;NU%`c>5fzGvfdv0r%;;EWi!Eq=A2WSOA`E$USk^9=ZI9Fy- zf0B)=x&|25dTauW+IpngKkLTGU@cOtVDK-zlmOF|3_111{On91ue@+lLC8TfnF<2g zLf=_Hv>!_#N1FW02^4J1FkMoRsipvWaHmahu#;?YUfmIdqLrE}fQDHByFWRck!t6L;Ng37ge^;DUmJ#3C^VQ%;8po9Dh( zM?91}WZjJg+g4=aOEghkd1feAswgH+4k2&%wwCdEbiaZp&X2zw#s}g1&`B!Xi^a+= zIVob}-4qPDDra9}IlfOXxX`w9TOO%?*AG%GJQQFIPw>gr5Gr15e*G_Is~w0b7!fZy zE-XM+a<~n~2}eb^Os*0s%H(ab4~Bs`g9PYz{WD0SqGEHe0Zw;nh2n*_?44% ziDeaVIMV0u*7!AUKT`%-y1B?s#HDiF-|fsrkXQN^xd^6+pqVgkGj6N4W(tC?$9fT< zcHjXr()GF|-vBa#1u`PC1~`-i>B!?q-%jO&G}u5Io@=!V*%FDtKb}JoL@J8WAQN<< zZ!0SsMDDl)!$?~bd%K0NA%i+FT)8(c_?|-QjB?F_g8h&P$@SKTpnnoOh6B2!_#V%+ z_Kc3n!)P*NwYNp}^`X<+!S!A=9~DCC5Y}bZ6UO2DWxj7w}(kST7y7XQf@iJOfSM*@0V}TPna2D zyfeiVOMc}|!qAS=&;T96oku&W)7;UyezNlLN?WGvnH^+mVVGFL_XiOqI&ggGeNsuj~&0YA~wx` z^4VXxUhAK%z5Ca$`%fPKE7$A)lfUl$wg31hxBr#vjsMB?`+x1G*`+8?+_o{>iSj>^ z?(1AlC9GnqyVp5GBP8(>y;eOrj%&{S6{E%(LCg&ve!};B)%Sr0jfFDRZvyGKyLUkW zG57r+X7m3x=l&0~<$s%d{)gFGKN&ox^8=|}XRsYw@^j{sjj6VMYh$mkqkjr(+%0HR zaCZq;=Vh>`CBzV$tM0a6g#ZD}uY6lil98~Z8^2%IJawHQep6vl$Phdw+=3`h zk`v1#C`_^AAo&V<5W&DN1`y|Hd;ehSv1 z;1rBayP7n8y*qqp*yYcZ+IkN_W;}8}|6!G<%Xci=zJ)A!cYe!%r_>zCQ# zTKuq9UVtY+)i{>~b*6v_hMJ$XmS-8}Y$0|rfubH!h8%vpLCxz^BhHs&<}w`yCRo_# z*b6reNjn577}y)4x6O(_It==BZZ!;%afY*_j#jji-!YLNaa(tDU8F}h>aLHvEa3x)S0g7dPgrfAE76^oM)Y;r86t3dp{>-#g_ zpGA%D(rp*Qp3P8P79O2~^BEBOIo1zQ#fu);^?tfts%7)}yE3T{AGiJUZ9zFp3_DI` zucCKP&)p?`Q#G%f(6{f(*~#it#Yi{lmt}Jele?Q2_{D1kNhrS}dveA}<)(%;h4 z<07PsCQ%%X z7%Yu)xYNQY%JhrOt3cvQf+u|NSvb0RvcVGP^t^8Zt_Z4A--^8VZ`LaVte#HjWo>fw z!+yuCJY*ClMA_WU9bTN71mEsEv5EYIUY>N8Zb+Ni{lykbtR$GL^?T{`O4EpJviYWX zF8v0r;INcOtD57=Vu0kh^|`j=O>j<_EzM-b=5Q8R%yzI^o@xC)sd(Fz$$tMUzJI#i zaVC@5Tj{Oi6?T&J5A@)4`}jR*Eg@}%-1^q}JQVEcio0-q3#_Y2-OgODT1i^$D9 z7l`e18rwW_cup6;>-aQ5Mc4G3;HstGf`UZmxLw+~yO(FjrBsRfPw(*7QC4gh&^4Pj zSghQO)DnpY|7QXDAyIZ9!fe>Iw+~ZH9&E zhbB`E0|tn$37&YKWURI-zSHRFCLgHSWbt%~kbfL;U2 zolQlM$9y|OO0J7GqtX54vwN3d6}GkG3PE{}hxu%-3m-I@)SUl(?Q8d@^>k&w7ECnS zcZM3k1^D3EvyS`)`JiorTWt0BL!$%B`oSM8XP;jdra!KL8E{87;N2#Qn_KwZCan%4H7)%V8?@TF1ZN#!J@XH4nRd{##_^dM z-r%3aD}s8cwHP7~&{HOrJr+2}O;$g-wJu9spxts7!7?5Z>ldx`_E3fi=vGx7dkeD# zIJlMy_0)e4v15(%!{jFO;0r^qRq*P&EV`>FtxR~cV?!uc@bHEr9a%*eOR0NlR^M-b ze0t1^qImztl7#ODG&TAh86h?P#`3?mZ0rf%Hq^QNF>$^wfOG+HMiz4%fVTLsF5Hyd z>O3ZeA=W^&dcu*0Uw`)izBjl$iNIXLya5Y8L`raT!>yxS3w)PPqs7l2u`z24QW$s* z_+OeWVn+1ily;ZN@Mjy)4wg>_;gfJww?7lx%z3Y@>2c|_4d{%Jd65zo%l0$Jo8p*? z4(eTOT!oovaV~VGjd`q(&JG%~o049>EQxs!+uxx)iAo_G@$>_K(s-xp-s_14fLWKb z;1@_a+kX2Jf(d17hDlb3N$a>+s4dyu7BDtHQ{79W;HrEM? zk#aTl=eyQc$8!%c=_lGF8gX5-S^bT?95NQVcHl4(_qza^Jx{gXrr_A{4?MhqK<+a^ z#uVawP$(=>7km^CwTXIgF^d%zTkP(_z0NzA{%_vis^osj9+I)fPNm^u9wGAeBP4BKiA-=Q7bmGcF?6061h>WRX~>yB^6^ zKhN-R2D+j15FZph!Vjat<^99!(u(x{#obvgudNs&f0ph7Xy*x`3H}WJ_OTp*y(@HB zP=Chc7pOWPj)8At?1@6esy7=S8cx`SDIb(B`uKGO97^isY}7v+`wr4_!W_ShtLzoytM`Bg{T!W-pK3mER4t%6X~i+cCvC2Z-G0EN}FmSz`fat_RRbKkA1;d5Hen~r8z|CrFH%cDpBZgZ!E zkb~nr;Q45?GbiILXOXMiX9vrH6_g~sBHl2xy5`pD05-ISN%R<)X4%@+i7)C;0u4Dq zXr`(OcF;DGZ*eNh2*5_$+}MQLf3O@6S>v7j(Q|5Bx9eUNsR4iz_RB6ob$v$!?~<$y z?R}M`1Q&|vIkUyNMhurg@YVqFCh}X}X5P`ph`@R40O7w)b|c_M0`I-}rs0p_i47fn zXB;kK?YWI8_WNsYFjijoXi$VXmMz@2a(EAyjn3lEBo~6~MjVL(J|r=_0-bBZk#*b| zeqQ-xMI`KsAHX@m{>U3I6_oN^=Zp;7*(O#v5PTYX`TVtk!tf}Pi;UopVol9eY<%G6 z1t#U3e3Ufw2bKnIJdU4k!dNHRe()q*Ey8p5W;~w|C6&xG9D0lCd z_(J7+lENgewS_2l$9(qSOy=YK=;O?TWOzQj;SR+ad~sdcW89AclF}>clD02}z9VC7po>2?9KORSfTEZb=wE7haOSz;?%~ zS6b8|UPjc=6_flx^i0B8`)8{V6z3|acz6IKku0Wq(%Oyz>NJ((h1=dbpl~GHjS#hO zsuP2 zGp6F8XQjnrrJR697F|i(=qslpD|STo)mhQPqKagK|MAR$YLrz8VI(UqaP-urv!cu@ znFQle8BrLxdWkFi&!*6h?J?*i_b60H~k zl{ZQ79}&jfGX>4571*z1{oL=vE*0ZwXtlstr(qiAKp^2{F;=8?3d z=QOwpl2Rz8Z1Mmz>2F1uXjgKuhFfW9@586Cq!;&o{vY*j9=lDS$K=$mpE9D6HTM>i z68w*VYMu?miZz8?ny5S+Y`dIq(yoAi@IY#Ja>av?QbB^Cp^ z9+IjCb~Z2krt_vWca}!N3ulXJzH?Epj+~bzv{>e<)oWlQHs{&(wfHKPEE^E1tBekp z?IX|3jA$~kom!5lE?p7lw>pKhUy^kwC`C0(iVJ_=kn&I;TOn$Sm*qj|5FRpy=>!ua zp!az)!EbilQ>UNq7PTW?u3NifA@A%hj%zVl$Fm12{<&?o`wmfnFzZ>o4z(*9O|f?^3+fa7%F zJJm!8rHtt>LTW~1oSF;Q)UoSGl+kNZ*p?t>O7uP~ddWm)w=FhcypIt~G*uU-C@^bj zvUE+>sBd5cjt>;M!i8!oZuiJ)ik#>3xXYMl_ZPCE%y~WK?qtrTMW326?Pc?^dunaJ}YOa@oQ3s4IH-VV{mXbOSkIxSWdJAxr*U5Wd5flLNv zlx2fgXrlQ|jv&sb9ie=FG=?Qs8x*0uFd?MC06w7D`v1Zv6gH1gCcl}Ld}*X;L@+MN z@E|qFr~M|5q6}BhfvbEohhxQ57=VW|x?o4xMiIYvWw(o4z`PQ2!~T9QW@ zjNUUwkK&I3Fk1cBuz;3n()8*XK+Qt@g32Ga%)|_`^gXxu&@ny=P8t-n#()Wf%lWRj zCWFI-oMX}kwi!1fxztdY^Gte#dSm}lp6}@RnSicyhye1nfGiaf1SG!K1bKFc$SL?* zo_HB<)M#Ly(eUx#Cl(zZQTeL!HO{CZ29%%xz<8AxI!0I!V26`0EPL2d1$_2h^I%e~ z#Q5)uxZ)tM{yL0cC=k!M`ecSbO+9zhW#4M*HL$tb0b@IXCe-H{x*nwIgxc~LXimvc zUhz|}ez^V&NGb~sNQs6p>W2NTSNtaH47A>4_2G$jeO5GvteRb>L3z63?h+VTZIrjv zUt$!{oDVbO4iyuI4#!}90^B|+D&$j(sXH(;CRO|1*ad9P#GKMcw%s<1M|M};{~#f6 z4#ZA6Ir1>baqMSnwO|e0C8WM+jZwixQf5AiQKL|{oiK|>452-+WN7Ca=HqgS_BST3 zR9z_ritC2pu{r6bmwh_HlplMOil%Qjpu2_!%JT(+{s>cw?>^wFLHQj%)cj_W6+2n} znPjff_j;R77Wk|ofsS0MKCgB*-0QgrwzZ{f9o|lTi>SvZ(#4YK98MMp$fV87psh%w zu=V}Pp7?9^L1f~B3Kmf1#~KQOEo+>6e#j}iVnKjTML@1Sj!tjrSzhim-s>1gs1tVzFPptYp<_A`#hP zsdslID#g>f85DT*uu!ExDQ&-jKi7y5?a5RaC9QDb|YQOM?x|%!bUhmhL+Fown0FUqHeQd4nh{HgZ!v zuS_bJAuupc3S-FGToCxoLOy#^+c_cA=Q&Is_$aAshKe`9OUoyaBt ze%CD%OZb z=FpMoXw>3sz>$P@!MWo|Ykg!HTT6*iImKG9=zvuWigOz}l{~j9rygaLNw~?Z4wyas zD%TP?!q1_6JL!ywUnBks>!Z&$?c$A;K{LT@A{>C_hEorrnktmrf-|;XeNh(KrlF^n! zxB98q0-v=*L-k;?c<&0EDqmyU%4$@Wxrz9nYhS+J$6TbGeozd%cP9z_{>*V4&v#e* z6w+!Rrq2z@IO>%ZfwU+SCdW*bhSVzSQKMr{fSV`+BP2uN^sK_1T3F2N#B2fz9q_!6 z8l~BX+Ij96mO*MAm$REwpa(F>choL7H&E3z1lP0Y zHybEV!l9!hdwxOs!Z4}SCA1*uPAxtoW~ z@54!|#u)^~NkJ-z6nu&<7liCbV|)5M`>$9f2JGpjfdBBs37G~ms+ z$)>#n0KgCV4ZwOj_gORPUM8{vS#)72^VM6S7$94+qeOJet|{~&ojL3+w?bxu42_Kx zmO&n>pM9z9Ip0JVqv%j6(Sg%?EPsJNT*XmP7SgD_kVYaBx;}rQcf4k*K24rmU*a^#+w{2-9)06GJ$Pc)ED>5(*x zNfKp@;VN0&vgYPgQ-KVS&1_h!2740!IU4QAMhi`nxDHBDTOG|J(7IoM(c62o9NHWr zgYgeZ2z;s`)#F*3q#><;UX4o1*IU_n}6D)i%1Ckz)=+KD{R;7fOIjgRhW<&Uy7MP-E)n*(bR|3paK6ast zaV_B;%c_e*3>c7TQgvhJTSPrijMB;r=b$o?!y4<6VdaDyNbDILRduuCU%a_ajPfHT zjS9ovG-PjSaifb#D&ZB(u46$h?2WpMUqiz`F_hiOzb@my%|12r7?adQK*KgvJW#$@ zlbTcIbPwiTN^S8oQ)wpwSC)g;X%S2cIaVc1R$Nt*yE$1Ap_L4U{{tjm^eP8`pv5l~ z6U9sT;g6&=&+dz$#DZwY(_6-m?CRAdfPDQT7Z2MZIA7Lt#>R=wEjD71hf5zbC|}8s z^#jNQ$^=ZXw?U?ld8Vl3U-GHF)qDh!f({jGC)elOe*VEY{#jk!rK7X`W`F&7#PsR? z@%HE7)YI!$Cx@v8n3d8zA+yObKUh_NO#I@bxUK8?w&D_#!_>W{qm#3I7?B~=r9;54 z-Kmq6MPMtQ{o(9()Ba7#D-XU)r_;^*_GJ<&v+DjO$97wn;9SYV-F{9`;2U&MQ+GL6 z6;n0S)~1f0m!RwYd&X5)a|~w;TAhHMQz&?u7s-orU?+$*s(63#|iy~vz;T8IY=A!L*Vih8vM-dq@?>%dgU5nV$-9Bu5t}i#YC1=gc zKBY{89o_t&4qneEw}-dQ5!Ks2wD{cIZihJgE8h>r)a9w>%^UTqCl%FpQ_0pWSOCRz zSurr$2Wie$NnGwTR`=q@ohvgJ7@JyG0(noI2c8~n9Aj7i8T`Nm20tkOSMWp8ZZ*$o z-YR%fk}FD~%~qd_EpeN0HVT$m&x(|zUN8Ii7>BA4K$X&j%l!0&A|$7oeDuqzAdUCd z5@r3zYwnYqN9M%bWx(E%bug=C7jTQd*>dd5(O-uLx_Ztxpu=m;MB7!s9=6qrJI&ip zS=Wv_p>w`s&WpGkatdMdzl~hSS|a1`Nc`WG3%Hn<-P>Ft1mvjHizJ~FM%@MaXh3Jd z-8nou9}%84++1j`qW1-qUbio4YN2hYNUr5^hc&cMm$Uc=IDY~e9_9b?J*q-!5UnQy zt6=_LO?Q(g-iqv=7`w5U5*wVMeA1f=5a9RalR=T^W75Hulw9>>2y*J`pmJu@P)KYW zm_z#ml;KLsv&8BRO``xRJ;SXx6a?0}*?PL70iJGU5PKmQG+ zj!lFB`cN~K?EG)PQCK(75IKSTB!PO6-n?^DcDsHswc0u`Dg%U`t%U<#$R0?|Y{9vU zTVM?4F}>LV_#~G81HFekbTQ#`9 zmFe0BSxC)H{aScv`a-X!2>7jZmAGHYT&qvE-%+y5qBc1?En7%;gMG#hdl~yvTJ)=G z8P9M8JWId-VX95Wv(q-|dBLQx0F=QCTwzk2n#X}sclZZNPd*pR zC1R!ej8>2~z=;ABU14G4hNw$PpB6&O8X{x9ji=Ay2&>5%zxpX9ZSYRe zMjTm3km?jS2%S=Axn#8*Z1)whq7?JznG(A0F5(8N|zYjt|BXDwlf&g8b z{=;QOTNAhle}O?*?-mzD;Oj`M{Bb4UYhdKa!hHT_rTlN0m3DQYPb3NgpU{)}-HAL!P9aF!bD^n@7PyONTv90P9;PHkXrud+?6J z5z}AyMS`w#pU7QOdtG%e4ehv3J7Mo{xr~st4GWx%kIlr|Vva98W=lkqFL`rT{OOYd zC1HO=9#g^+QnU8g8!kz^hrg;h4>sLDUGMSw$vP9yF)6bQ{aKAufp9ml^7287<_3KrU8NLDuS7KpQNLz|6LXAZn%v|NcXB>ee(Y z-*^<0VKIvY+mCe>b!#YOQ;yk-brt`g(nCQP&clAO7OIs!+&xos8RrJaQH-FWCJrJa z_vW6YPJHlH>UTVy8a#VImCz4iC{`R~M)%FVY~6Hd*4tiJyIre+s4gO8Qbfj%cgu^V zK@xkz6MMkL^Zuc>h+E~d;Xy4U@VogOlRgGlb?$Jz2d|QAO>fqqJ5Doce=*T%RsTCZ z{8fqcO-vyIT6urLTQ)*`kyU$10cCA~)2Z@FVgW8^bvf)nstFCQ92)~^LKSXpj?1Ys zZaT0-yT8Wfx1gd zCbgDaJ=SWL2OXctrn{S3ZZ%F2?xd6C5{>C6)dl~Cl~18vNrukUMlkfol^$%pGrL`3 zfM3to4*#?kfNy;P`IzZfyOg_IyyJr@-TZt#y^zk1Lk~B*gOHMdJ3T$@`&-`g1CH(S zvZk+B2TsaN)%|*UfX>W5hU5RCL1b@fpZ;Gg&(B@74a&|AQ1@2H#;Vd3E<+~UCq0EC z>yyq7+S3F1m2v#86jke#t?`U}y{*8`j$IF)Anlp|p~3QZHnU4~cEEbL^*=h$!N%=i zJvul|**hL-eJanDyzaHpSBS;yAQv~)FcWHmVr0bi6}MIN4c)82;m^xpa@H2nSe1W0 z?Jt5{!KqhTakXr*gs!CqzLX>nCIJ^9(6y$AGfQvlA$C2FHzW`520uOOgz$~I1@8h@ za{ab^(sxD9p=Ik~<1=369s8Md(A&G^G}1>-KW<(5?KcexvPoa?W~)e_sGf$kq5PkkJAU|f zrhvep4%6Pg+m0W2UjzjDh-eB77`WB;5aGYFmGx#L7buZ3!PS;N{e~l@J1d#c0}R8H z5iy0L^d`4^BR}jzqLQuL&g}MjcaVreXcM{fuf$-BhZ;l#EL(WkxlqEYe2fVyz;#x@ zMo0qLp`n#PC%}RH`d2`P-Rb~1gLgvA9(O!C-&Jlp-|s0F26>d5m+ItyCLvdLfSchl z-?y6-FV4Kttk{EZdU%5lNEJe%T5}=ryA1hjx-8s6*$PE$Xi(>R_(O|Gr&57k1JeYW zc&YmJ>;t$9B##LO1-6mUhc*nz_OeOtBCwO-!4l&nVxEzFSUg``?Ddk}(cwnH)6jd& z3~naoP>#&YnoDBYV`U&Bp|E~3Alh#|xbDLpIRRG)58w)60|8ti1b{Wf`@kCF)XS47 z`h^Ra)RIEuSOcl-4e`CVCJ$aMG%19VY*Jet5tM{4EY>Qlp`4(Tq5EN~F?U0lvTT}# zg+a_-@uDN80T`lW(5o<-dtz{;amoDKVNpW9hgie9CHW#u*}cESU=7>y?!wkEX#-dP zHOjzM^{*o^>Drk&+?W?j;u}|W1rcu_gmZhSy2ErM-!X1{$C-BWA z*E|`Fcm6noPAl`%&9ZK+H8qU4lk)X=Yf5;BFr~oLqC~Ilbwt@*fV3{gn*(EUK09Nt zF4~*JWl=sxHqo1`tscC;{v@=J?6&{TH}`ujls5~(CuKM(yLr}=UZ#C0q8O|HF#S#> zdSkUYy0L@qtv(DOF1o(kNpWyQj@I5@!h+~#v9&B%Q$Tr3&)-RL)WzqR!-nWCrg=j2 z*4K7NF%S3GpYpO+tD^Zgb(Lkozp0rh5d8J~|6}T949IRO1aCy*H<}>w7W1?_;LSuB zqP*b&Z|3jJvP>-h>AZW=d!?uMV}!e+^Y66~cn!VN#m2WqboqnUUmuaPoI=brMGxR} z56M$icxUYFvzrH-8gsFIdH54xUlhjm$58I9_(Vt1NGOkFYI8izBx4`js9z~=V>T#k zA4m!vJr8QQari{i0kxVPf{Pyv=N-)`cZ^RQSQ7D^{cDy>=7K8>N!_SF^_BV1!#^*- z$_RJY+Nl{i-!@wC#6f zL}YbuGL;Kj)U>Cy)N>j9-^c}<=#gc}Ms3tMjzVu!!-(BgMi$J&3z283AZF+YYsObI zS_oS0E@pIBTrgV1>3CpPABN&)Hq(xqyT24K?GF1>qu{~KX$}%%i`Qa&(q96KPO_oC zvJnqi>EPHHO}a#JJZ4yQS(djVGaPjRM2+)sc~(T(+Ax2u&|_`{VZCQJ5{79Q(w^4 zRb8tNy=Mq^ig3!DJ5>#ZP&i&Qowd$~^Vg#k%r!5g!APAGLO1__)>@J~Uw9cczY2F% z9<gfG2z)7Zap98mKm{ob%bA+Udf{VBiMe$ zF_1f^XP5;o6w`o4on3ycDJwrN3N zr;bZ{5u!h-dM29X?b=nqpojS@YsJun6H2Y_&fJN_8#nU*$p81+emfPzHDd z3l}%*0#pB2!&rmN0+uKIhm+Twxp04JA_#lqu>QBBoauF5*wHVo*0l?4=T@UfCsk)e zCWHCscacBH9|U@G6`>g9{d!^%Wtex)3$rDey-dEysRSuoxb;#Rto!G^h$8K$|92*aR9dP03=j*mr&4EzZ(8pudix-O(bJOAMuknqnm zXx_(P6=&V+uN>0W)E=7qMSg|>XBA=IzssGhqtZQJq)J>Mu0~E^kHUy(c?2!eKRwWZ z;tP3ds~kb^3nW^tJzt@7khMbUhWtE$mW;bvz2a!X~! zr~xV^P$}nzB0!feBatxuuXX(2Lavg})A)dvl3vanSBNJ5Z&Jm{=Q6a~kZh;mlmod7 zA}N#}T|qUpSZcS~sPM8EA^LUeJFpo)M4ko7A=QKew|Oo;>PKuVc0V69VvVm54u9wK8RS{~1ZOKpn~Hmkvx~Nv z+OIT<*vll)%lzKX`JgUcY{h}~6BI2&#iU@lm%^lOAz=v_NFafSf9M=;a2r#G!IkB{Qxhq^Nq(1%XWt+*ZxGKodHWcZz zTuiS|`&_t%y`+`v4_gRk${Tw*Rs!r#@?|T z$&RXiIz#+e%;CkjQAa^U5d3O}T^{;PREdv=t3T##&(>+|C+(}e~Plif?r{dXJxM#O(_TLKQ8 z{Rq+%Q@r0Z?uFd^zuS8s?5V!>a25Ti!K6vK$&%8tz z&fgP;6`%2;$uBo^ciP|m9%_v48Vu0-eRX~(e8v~Au)po+5X2B}dqu0Y6DURYxf}ozuv;avBFL*h#vF zF}Te1@5(zWN2T>E{op(5TEj9?Fe?0-|XXfsDYcU zIHm=0k+RI=ASe|miN)MN=fx)i7H2up&35 z$$eG;F6;hxmB?B1mgU4b8<6%?j$ie&;wepmDop6*{CZG%^S8yzb4|F%@S?}Dsm63y z^P?;gWimf7408xfEvgMN;e7>$VxFKm66C$^NA5Yum$`6TyPW^L6ayvv)~@H&d(h2l z!Sf(0Yjvhq-4%2mII55Z^XjEO)l?N9uWp~;YO~oECA_NjI0RIRt zi67JzU%L~^H0(N0$K?{|mVvjhToLm!to9YqV)0|G_=L1lP5WNf>UH`oTfXAM?I%g4 zT4SffS#TNSsoT9Qtt72d7_mjNmfyIZdD4%q&n+&M&hxVhj|0w-!>a~WS(9@5Q`a`c zm}RdE*}P8N-z}$-moA$viwr}*FYPu?qk+F%H%^oHHI5K^hf8e)ESyeFnpr9$_H=iN zi+P#1Fy9Qz|3hh`*~0wzFD2g<8rXx+wY9iE)b76F`Yi_k>ePN;SHPyDVmQj>zU8Kh zh--@j*lDuix*Umrl@9D=v+1xLigK9*cH;hjbjkz$672UkjW=AmfWH5~RG#4PE*WEH zu)|HRVHXN9*cI4E+nu}~j;>w&=btVMLPyWLJ{>O~lf4NaIUn+$^WBi2g-y0rmM6^P^{b~8G^*{>>bnUAQ|@ue$xhjY?!xuV(SKFAKbM=jJl*2jh(%|r<~%!l%{60@ z(=rQvBN_bgZu5RUdAoRhTs{)g1(Gp*r?9_y?gTHhc5jrGR#R5=D>~=%br#vwU93jj zO8fElkDVKuZYya5lA_#Lp`-Pz^bu!f+>|v7IfFHwK5xfQD&3cMy|;F-b4k5$@B6yu z`o*tlv0Hu?5y0bt1IO=iXKqfFKJ_*Hux4vjN#;#;LYR;yV+dv=Uo@tFd6|5zQd861 zZYuK8Bz&(KyVRHDZT7)E(E;wfgkha}Itz;0s}fqQ4^3*JaC!7I#bm69AlyW5Xxxk- zTt^OIk>x03c(@?r`bTj;h$1Uf%gE-S>UF08BCnBJGfn>l(>&s~mIb{=zCr9uLq6-k z0OL1p2<}zY%yX@TmIX~@CmU4_W$kj-tKRP9dZjI_lRrI3$+ORVB7apKvCOp!4oe@o zX9%VV(8+~1cBoxdq`c?Kr=$2BVSldQ)P9?KKKEQ`jU?LJeU|;479hCcuECbB+$PE( zI8c`~@l3w(WMj-r>RKKlnRrmceQc23Rxw5~;S+nFMBV#_g&Vl~q2c`*@boSLW!PjJ zrw>NzofaoTbFYV$_e2GAlM%(cUFl^N!Ns^NHf*0l{fl1r?4M5Ac<&0QZy3AV5G^ zJ2%K3JOC9bSQHT{kVis*vUWC@*}L7zojnD~o27z=4dCRHHnVrjoiTH&nK4TM4;INF zWNv8TH#2MCwKHoN?G^i$Ldf6H!0&5T!y9T=GwLS}G)T+!|M6P{l?aeWqHAkA&&@9y z^g95uWIgOHd=yZW4lHM0W2jrVcB+iZzJ~VFsD-s@9X&9`tazj540LMdL)6-BSFAb-mP(CTU`!BfYC>T12sGzY%3dw(w^{r zUm#2a2+P2ytJ&ku?UAicbL>4V4u<-75F)T0BkTGfUq4nD23 z`^7U3LAz4Wl5AnqSjtxD^M~y#FIx&FZ?l(mw;ki_3y{SD`!1Xb}r#f9BG9r$SPvWy@Uhdv)5}HXBnt%Rb*1Js0nMo1BYJ z!THB&H0-7_R-Tl`JRI-J>^sp43o$3K7xGa?r#{pp`tF!G^KI1vQyJS6$5C*uzXD4YC0B$ zXbh22De`Um9g5_fFGg=b&M15v2@GiBgQ{dimq%tfPJ@0PJW7!n#C{T-nzF&7uywx3oWr4fIrr z9h+md*#ZzUK0`ct z2>$5kI#+d4jE;7Bi_CR&5JjhMIKV&15xC z-`Ata+XHD})a8`(#ven!<`I$`q7Y?9;B6YtU6OFh9s6tUivOCq%$oNsmM0&VF7Dy| zyg+NEmTCU(_TdZioQ2|f-eA0vdZ-{=cUu;01fCJ4Vc?&UY85Fbr^Z`(A{kdrpqE;l zp_9%CIw)$iK?76j!(RhMxD@ci&z@j%!75iMPofqDH-O5Ma&qO*6t7K9DR=m_!v#+M zVl&Ya;WOcFAo=FVirr3Iu#k@LOiLUlqW0kR>jMfNS6#lLCDz9XjOf0k2D^PwyxzbG zp$<>4Jtiw2xcyjVToS^WKRXM?`}JXkhtKGRY=pjm+C|q=dXfI* zk(&T1$lnh#2Jp}&n)vwb_!>fp{BzW0j6--LezeWuP}52W&rnkP$Xs7%24sX?f4@by z-1cl_ zzm*)oqpLMyW4>Tm6|~BWk6DrPBB%XvObO503+A#-;Ct97az=Cc97=uUcVp0<#x9DX zQWCApatY|I%p&B8UHWodd)M&eUC0Ygr7gr%7wpr#!|5>A;t)U zYmN2Cfq1kDkJBNlt&z|8YCPFd^w{vu)X9RYzrnEQ1_=?s*2JJTRL%(p34~#RB0}13KkK33706xwr%^4ZQI5j+qP}nwr$(?+_7!$ylk?0Z#${<*Gbi> zbbmiOIo)+m`=;Vul4`aadm-4qJ9FRg!?z{U>~=~-sn_MuU^zR&Y%M+!FGBycl!&~b z3(=l7PT1aRKSe<1rU8FdlnG?kS0LhvnJ`Y9!pZ|Q<8w1m!SlUhv7{D6 z=PgmSQQC>6`Hi5Z{>TK1T;lV#=$aUXqI_p#Xta`FqecuQw*by1TKthG zc=GHgRD2*8=pu&l$x)8<1uEagfsD_v?DG^udF3ug`v6hwB}XE{MN3eednnS}LKgp0 z6%Sj|{@Ug$@H>%cP9u+dU%ez$bUbst2@A+3U*6B=Keq%FEIRJE*+G;!QZ`Fuk{-bh?>7FN%Dx!NKrZK>#_b^w;pv4h3y zd6}+E>e_EjC!__;`gHlf%F^E{_IpQ*CK!scKSuneA+uBh>@_n4$naR8;9UUD$cdp~o+o}Ov zK?#g3?H~R!uxoI0! z0=({{y;?uJyq_Ka^y2(*dOMq34;}CNfEm|!WcWVXKKhs*6-*nMeOSj&#V_^mAFus* z7(ZEmdo*Cpm7RGZXWOl!bZke(W^lB5}*nr&>5q>-E%qmfc!U{+y}X8<%H7yye_ zaKl&-kAnvI-|j7)Tp$U6000s|001!m-QB@RKRI4NZj&D&*gis-kx_74l&V=d30RFl zUNhNH@(_4f_Rz0Qxq)@3U)TI9+Qk(3GZoL{OzyJVQcOGyyA`+lS0g1e+hO0mN+w5! zynX@Rl36cyl!2fdi&DEV;@d{($HB+5KFO$%575usqD&LvRy*9V?&)4JXp)IByChfm8;Xa5aY$JYeEGK?Lsr1@jT^@V@I0ngxrwI z#Xb*pI9a%<^)SI>Z`|!@8ry~f8%lrO9-5L&eQeJ8Z)PcLMy~F}!tQj-hi`!X`T6Du zzM!UHpg|4nI@%>6rQ%7}m>3H7x5@78?Yt_lpU-Vxi=iOz`U0ZMJt5B00(nt^;2%VT ze<_WqSh5>i5&|mVZ}9)ScT?1e#-OMG0Q7tS0C4}kcaCQIcE-tCkWSjm?km{VYK`J* zwq2i$x+7~~*mc#ISC@tHMvziih4E3-tZs-c^>w(LBy&yCebB5e_3MOIA&Nj`)=B(0 z&+Pn~3kj-^IIc;5n+^cRPXVe%aG}d7OTVohCyKIn$2eY?UDX4 zh%{8|bQO#mq+ydX>g|jx$<}69@>SIcB&IRuM3sUiyqTt#=4R6h;dD&N11%Zz=m>{K zD!BtwWr)U!Z!c6D9aJFrWvs5PzL=RH5Q00#H=7jDQPA%;-pOFMp~YkxHX@1POI`W zPTz>AOiB5b2^OLk{9;-rBEBaXb!p~MBdU+o0n+(CCW}yU1tTz<#Mx@_>U}g!R=!MP z&Fo^q11-7|my%*bR*eIij3pJrI4m}qePJk$${NDhgJ#$iF-oIgOMQn<++SVavfkO3hCJV4%noYECJF z!Z=N#pl2#v6!L8*PCd51tTUQ~Nl7ESm2kCpY==}17gH5p9#%IaHEL=f6W9}^W+FZ@ zS<0C9Sl9>03>EH6S`KqImd_a3+IqUGRx2r!WPh1sTSTmUnoMye8kjaz>wKkO*C=?~Ue`!=iRa}}_ONXvDsW@u0@nA9;PS}6re*EEc^7{zpPifOvz zqI##C=UC}^idA@$HVTV~4%)>$YK?|Wg!q$eqN4$ykJaC6t)rq<3aE>!hFdC=t*=xd zD2KC{h(SY;MlOS*qh(6x&$%6BxRKGYo zTVCw}N|q01uPUjLbZ%CQAO;hYtQK@U&cL#-)t8m3J)d=XqKf{KOq6VOYZ0=}Dh$!! zo$G91^Omvoi}Ew0LVn@-I6jl-Pg)9}|L}GGG%Bo$_k?vHsPp+5h=a$vzqm&g@|lct zJj@K~(iS}g4&gQ*lPWKh#%a5;wsheSBhnBSsVDNns4qy!t3dwpfetI+{NwVtORMv6 zRGUojMlEC$M~~GYqib-sL9xqnX#f2bu21qTiMh{z&u(Y>ZZ9CZ4 z8ci_``R5tZbDdg`=@3y!^a%Ifd+_~9NXYwnT<-0HM@Tkx_}*D>xFyjY8mPsf%&F(0 zw-O*&1=33Vsds-Rtl(&WX%Z)@m&GX5YmMgBdzdkkjG!Emd(^T(Gps+cP@Q*`4FKjY>BrHoc zcbF0*LG&3Chhe#{)e_b;sTvq(lm$#aye%6Qc>K^7;p73>tP#nL%!8wSgwVbA8*2ih^>VY-+U?0zB{K+S2>iu zGY%W`K|_zNoS*4XD=h+&5xkEpXqxIa=^$f2NdhO6xt}FN(zOOjiGzKE;-WEChxhqh z?_CAPd(zBtGI&1SvHdBhOs`MZj3z_5&VlyUIJ*?H@CQ$sja%fHrulfmqGZePU;S+B z&r!O~9+fcWMOy1eDIOa>=OWl%<*=r>l#riFsgD?9>(9+#@klfCS8$n)G)q*``sC6$ zvLQXAmG;+Zu^o4nqr1b_>=G{Tz6DTJ<;3nP4vE?=+U!8po}%`hBg z64+danxK~rltsbKFzsTon-4Kf`bLu|3jvxTI1APva-)m!xv2YRG_*o+7nQfEG1kO4 zXa-uvDTHE9=0BHftk3p@E%7OYFXuTu2b#eFGjU}uM7Yap`b+g!OZ|e^!I+voxQj)& zzJsW(FVOWe7H=-SWY&k9G1jBHR3tVmnms&up6};OvRk_UZYYaX%-){!=wMwbq_BCk z#kWi>?Xi9C+7oMF-r|*jqIeIJ0E|twfR-#s`*boA?%=;^@cr&)9RcO_zms}_=^om3 zR1-`kl#f7i)`)0(Jeltd`Ru&dn`iF`?OJ%X51;joa!ZU3Q)?KH7qunJ0KT(&XHS9M z3{K3soO{W9UV{z>>%npHC1+MbjcxEnZ&tk7uQnWdUA-`Vj4bf`kURM|=fR!s=sPFI z;rV7i{!)w=gTDHeh`rhU+xPpmvlfsUKOK~PkNxKs2yY1b`YRVdyfMR9bQ6k>gCC+p zYX7!gBec~GHwtGd8Pj`k8LIT*5So1d5Ad6}5q=6e(wuw_;-QmpH6gOhl1vjN* z_1}`h?;KW^GsYXbDelO(^|>>EoK?8XD$b(NV-|8j{D(Fnr#gRF8hMMn)ba=OU8!tG zOq9?JV`QVwagb;F=*_CoV~Hgnj$@K?R&@d=Z7SXZJl`sy&z7@a=!~jFpw{?Z=u`IB zisRcdhUb_@^gOXrE!gi9u@IRhe*e0iS9;UN`U3TpvoD@rj9CzS3gbQ~nyL39tZd@u zYOdc4r=4Sb`14idv(;Q7mgNUN%ewb;?HB8<6g!4!>YDuyZt5Dnf6P$`zZafeo9!TX zPUtZUInS_ykczqT9JSw%oLQ?gCU+M8gI)uC%1bU>Y>HiQBpbHGpYHbD%_bKbG0a=I z<)a1(LHx2`fH84}jz%A`N+x;-H%zgU-9@p}jxh|4QRsGeU*JY*fMP2iwLfhJG(pQ$ z=(gb3{TcL6WPV_P(Z&W-gy}+LUXd}vBvFAmRTpIzm;a3rWaIVUU}V9tDatgTTef(I z0-c>cW@^uEw3H5g#4$8Qt8v}bQGMV(WRL=Pj9+RlL>Gmou;@JZ0GJJ0HwB&$#xN7) z{v>TQx`j>p%zc5`>0iuNk3Hx8J;6KiK=JzrSQ^Kbf#~}|kfyst&?b&~`+PHCOp(Ab z3M@hTh*Dv*12Iw2yRuV}`FBBVw&6Pe%)j&zF7QZgh(RDWpIsCi-lBI10FYa+o(f$n zj1jKdqIWjGH@+eO#OB4{-v3bGc4LA<|1WC)Xftx35NzW$9E^f*T$=w4F9f6~UmtjP zOc#ZPrm)QB-ygL`U8gKdRr&}ih`XbUa0P8KU6j-qbPh6KwUd@QNF6sMWCT~+uDO!ZA_e%6_D3naRU^(_iNI(8jzjl4e}O|?yeQy9tC_%N7$O_@x^Mv_Jv?cKu&Rkb z=ee4|X{e~R7v5xbUj*!WOJFJ$WdeiUOy!cY{V<(Qc@B!K6cQ8_qHW_{!0NGP(N6=1ZM0?? z*5s>9%(%Y-mU);h%U(GP(sl(lgaNedD&=4(R7@Gc?qzbPIP&bP=B!0|lKC7hC^Zje zHc^F<7NN)f1KGlVri5rk9te?JVY4IuDEl=5@a82H1h>#?FU}EZ0k1MT;ZZnIIQ8(v zc4BBoxwn~{OTbhPwEofgyE)KJt^HN+(8RiP2368s9>>>+M069UmgjCipCz zA#aXno@y;1Caxv~>@j=ER11tM(EaqT4F6&7ZNaY^cgaEv-&NWPwkXfHfTacHI@-MY z3b9vnuKCX)OK-rObKb3_z^V|wF8L`~)IS-2n+Dr$ib1Zn+Eoe3#Ov zJo)|wF!{0xb7BhZ6y~zB`!H|+`RP2npG7ix3Inr7o)HyDYrx=+C>w>Our)P4>tT!u zIxL`I&IlV&@@w}ElgY!*)RxT${;Rq&{%QrX9j?5Z5k~v{!o*y$*BY+_X5Z}&2KLfg z!?&4@qc-wa+k}cqqE6$@n(cMkbu^9NFDWu}AWEChn^l#a&m{IY~lj0V4qE^<52JPKWDISXU>e`dhbju$LZ(BVQ}DH>HBmg3|}jH zirJBrf}UR0(UX$zH{~U4V^kX#w&LB95)0ek(lO1j4H>c@m5v5l^fFk_sfT5isR|o6 zvxjAtNsFhxpUWHx=Z8-31G(kn!_0x-e`%m*vd0c-(j>FforZQP#FQgRJce?|5toT9 z%}DtBEmkA!JzB;z9!~)Egm6&9HQnL54{HbQyA)`9ZMBvich{y zxJrgDj<`Ah*+w)TMl*`ay)tn;vrfJ}mhmMfRz}}zdpJlIVjTj#=k!vT!eSi?zT3<^ zv~511wTJl}vL%E~KAdLvarB?z26nPp;i>mf1uICkN)|kre+~VSD#X%~#y$bHe5}8m z>6b~vPL(n{*&=wGm^QII0&TJ^Fv~K#7u@J#XWzwq*rj#8C+e6?V-pB?~ z5eO$0$S$2UTMK*R?1LLQa`2clZE1G0U|U__;jKWlk#f$$dr7x4j#JFe^G+-?IkVRg z1NSm%)Ol*i!DA(ZjZM^WZt#bbAtNQkJ|i^Tf3E|MP63v2>ioHPc-?PE@A-EF^nsB| z+q5di@sS#bz9^6@V0l6DLziDT60m1wI%k2g-udYhm%1Q<4_MWqt1J594aPx>b#&zP zLsD=0WbY1&dzU^PzDZD9Bt{n7?Z``Flz{gTKR|`lkbKP}bk972lFeWOS~&AsvT^xt zlCvRtBg4uPud>p*2>(^OG70Bl>adxO+$39*hc8zml&(h3b(YQ)wK_@X@ek&}-W`?a z3K=`bE>T-z_X+bbFkixDv645Crd{KCt}zjobxij?$5;E@C}SskY75PhysDs;99!+0 zdA#zhm??_f2p>0hss=4Re-%n2$z)wlPNt-Iy}`lnd&3lmYXD16hM4z&gBtsg<=hljdA{k8E|NP__625rEkJwt%uAHIK#M5rB4`fchibBx znFKS&Izv2Z$47d$Iu@p^GbF>panH zn0L&?Rnr=iL8`C=I4uOYh{@!2|2LNl%)~;%-*_5Ti0^81Pr(#bkYB5m@``@HfIC+R z6m2A!lsmq+^LWu;HoM8#+rrK)%oqZZrhe)u_S*kD2xhNPHf6t17ww=>0PEE(i4^r& z&nM0ps=+Gh=;!|EQo3KB{eQ@AS0j_*DO$KBHa!} zwT+vbI2C%H=9*?z2ZFTIXjl`xgNJXQkJB^QxrqMK{nJ%Dwf>k!S=x+vhF4hW7&#K! zD48ga(~K=kAd)VKFUzYDhC#RG99n5|fY+3gca zU;y>ul_VN2pO^WT3BA@N?Tz>o^#9VzyQ^(=FVO%1r@sOH-?Xxu4FDAXQ?I&}o9Z8S z5kZ3A!$hBf;Xh|)HWP+6m!bAXJ;@O=v1b~s`)#aanHyFk+tj4LmlMTe*x2ED^3IB$ z#6CE!uKh^-+oa%IfWgm$rQyZj{3Y={)G{X6t>Qz1bDjy^(~4ff^O&liqio)hapd++ zg?}P3tQ4AKyQ02B+|E^v_*~!1ENXf3Wd?`?JrfOYx4hEhsWo{2k+nheHfi~_g!ECB zan`-f?{P;Zsr=KS@+xR?)81wl1L}U5(O3U=&a`AX=5VPWgxDS^uACp5k=}1Ph2*A9 zUNQ*tKqMvV@o5)=H?^CV1WY&mzzu47VMNlXU`ghkX;0$!k*aY_;qNL=7CRy9x}%G{ z;H!iAIMipORZZP=Mf?4hv!w=LE%_(p zS$efCWFxr@+-zAig%w`%6L`{G@RWXrCdDEUG{g&{qOf|1@ zR%uLwtBJ`gfHQSC7Msc+4j8BG!oDCTf|&78ge2-AgfQj35kUuW(FIKeg?1cNj)646 zilsNB$jWwp%PjzQ<_pFdxrZ*wq&SRNfrmTT#CY`ZvvAV>GZMcY#CBO*x^1`HsR({x zO2!zZCu2Onl*EAS)6{qX0CImnZg`5qiVHm}e^MYPv-$)qk;PMxFX@+8XCSyH1I{jz zyqrqM6ZJXVE=6Ckf29YbEyA&ELcV!AHF6`XD5Xaw)Wb;Xw7wWlSSJ}i*z{~68cpV4 zgZp;a!^9N)nS`bkg-fs&(naJYiiake^)9JdGwOrYu8^$&fg?UC9@^Ad3Vk(`CJ6V3 zrkV|*fVO2pn%J{EP~RsTkUg9Lfe>W)BSN8>naSGUQ{#T6YyWU6e?S3(Kl5%*amHX$ zPgKsZ3wP$`q~m2ne;fc}8V!uxEKO31aQRa6kAeqipHvRXg+hD2TY!DQak5$6EsdTX zCPSWC6QEzymyvr8P@AagN$>OdhH!DYX+o$;gptm}Fv;T<6b7GU^4$4;rsP5Kdp?= zGT>yu)hl*uYLB;?7^lA4 zQ)DOR!{Nc{psGi-Whkg+5cfo>y$&?43m2BXyoUoH?0?)R#Ge*#zm~I6I&V;$8%ehs0CcNt zpvCjKpD{RJcLrYb4Bg~Qu{9l{=NZW4l@O9O3XuJd{1g_Ps;s91`?GB^%51?AEU z%ytLE^lH-U<2UM^|I&-mPl^r#v#lGEtpg+ zr}xrp10d>0iHUuL&HwA(h!QvMSBM{H{Jd&WmVl)umKhdi!k31qTkifV=Iu83XsO@+1!gJH+d<9KaKonQS?( zsoip!KLL3h#d(9yP7KjLP8(ay_j&)hz5_wmI+EZ{O=_5qHCm|V}wHp^d=5|0Mh?uZdM1|JdsY5WaNO&eW|1q}Ul zO(lj44oyS1U}~WRIC(g1^+%*dwUcX10QP1if7)~E7V}e%{I12k6m6bf^R6-Xlwq~N zw$-ukj-?H?jXg{BH837X`CcpqSKMoP+&lS9G-N$%d!iP0JG66q+PqIJ7*@k_tK>-% zi)P!C<45Eq0f=bJbybVve4d5+$jb4!u>{t2c^ft0H@q^5r9e)A={jzcD*T|3sIhZV z^ z)9ifLvTjMVxh%z#(n{$-FPu3P&xckgrM2#xS^k9G?VvMyy=VTlY;HxHdrNn6@ES7P z3^ru4qWZIHbnz|8U^C#V%-ZrTmZS5RRS8nQDMqS+(|N*K`pj!x+orDvM{L?F`^!fW z12wN$A@Ql|TH)0Y;-T`i6bNUZ4FvX*9Qv-UjqZhR2dP*JDAV&3`sD7vGq#%CF}>Fe zS6AO7G`v|@Z9I0{l%EV8rlm)zc@AARb;{!1{#oPP`IU#tjWx<_2`|n6dOh!9@bXg@$9RHt?164R5+Y-QSs)7u*uN36?MAFX3JnSuSM8x)&b# z2iZZY2Atx&Ytc#Nm%=94(E`9Ywfwb#WY?_ahNaiwquFdJvYddfyS%Vt@YwQYMftHF-Hi=P6gzaJ5z!7 zmVs%vcoI0)dEB#vb?T2kR18KxL>HU{>v&JvDp-H)9u9S~4el;BD7E#`oF5T64NSs` zTq7yKoph!4JV#c{V<}o}t;VuzklbCa!=9IOS}n|KXi54=WL9qmzLbBCoj34C)J^u{ zQy8hxFQM^2&r74dT%my|f$+5BMeDac)|X~e3LVO|mwwYS>?MhEf&1aoU;%G&B2Y0ENWkc^zqxCo1W2okC%7?pl0KaXe; z07nb>3nV}AF-*6(5-XSJShbWgAi{T-Kmb>>RV)P?YX!+AIE69ZYC|>8>RPtzS=@av z=Q9{eydu2N`ix)_dEY`7nTJr>PzA{L??>8AW>a3^+ieQRsM5bKA(v_t-T&nqPu7JO zBJplV-en^9FlZoLzJShYJe4vmJ359kUbclkPSZ23FtVGtGb zLBZ7L1;E}PUbE~KsT{GkmQdd~@!B^62j=5Z3@fmi73)|++I(#c$>B+;lfOhB@bVrI z&iHMgyx$p(dYNsCVS0*z9+%3>pN{Fr!ZX_RaVXsOFmH4o1)?urD{}wFV&%#8`}=7G4A?1&4fc9PGLDTm)NGCXZ%n#ozW zQUo$Fh(V4`e#~z^{;2@9 z``!)f3O5)LaTp7QCw2age{XB;`8&E{k*yPK$NeI1gngy~8}lbBJkM4YTVrAG{A2jF z<_>98yVE0J{9x)~&(J{AVX1p;73qy#(uwD4?`%ydT93u$c>rRfU4nV- z+`$j%12u0X(q@rp1Thw!hWqDD65ly0Z{h^6*Zkxsxs$4N!vG2OqvZu+>vkI&`9@pn zfr=)3Y0^DwM?EfgdyB|RVj8O40U!3KC)@Bj$pEHD8`p)$Kk1cz!_j}Wv1(g0Uitvm z`}cM&{#C|yA^v0oAa7aYYgq*l*bX#3(JoeW(F#4bBNYVUA*b)pq3s{{`XvzRD7_ zrjb6PdtEoKM#cLwK=g#;JeRPs(}%LI6eRmI%uyN4$F-E|a@Na8Y_Ay>dLrw6?eV_c z{qg(WHRbt>Pr81N)sF+~F?|5XxT@D7(Uj#a)UQpn$R4jtIsEtI$)fu&lniX4pIDnw z3kYV|^Er|VlBc+;Sdzs^K6sLBLqO7-S2I3{?nTtoQ!}j%tnS@jsK#Hihzef^Eec7-jsd@cBTD$>z^Q)1&8tQ%P)Z7Cvtj11 z7&H9@N1vXl0curdb4SHHjFY1^av+tOqP~Lg*oLvBQHJ@no$d4vf@@~t(bTy^9n~b$Q2l3>#%K6R&(xBex%L-FJdnQjUUU7TY#|>_Ft8kq>YLAC5w_25()S_Lb1v zLz;UX-+6&-lg4suY^O#f{VM^+O--H4%`f*TQ1|CFFjy!XaTTV-8kEHyWib+YxeE$+IPz>cMN$wPT%}o#&*<-Y`LmbI zfL%atP#@j{{A6%(j@!bayimZXWakh%sBVNYlN4fDRcM}bAvp&Bi}hODsOWoW1Z_wG zh|0x_msn&Z!1mdy>lGBS6gmA)L}uZ;kSl8$V%RCpeB+@$xAf2^^N{``)zOL=_`#rK z8p4FEcI%QDiT_$Y(4ThOM@MqJXmbP$#yV%7ZgslILM3PNs$zWIQQTu_&ZY8yki)Ep z`HJu}doT2lyW!KK-t04G!$sVWM2VvsBDGZEa|kdy9&JJDQxPugAs zrKgJMk>|Z8oxUb=hpDWmG*vV`estEHdwnKd``=WmO1Ph@nSzrCsCM4QtKpnT%$HQq zlrh9A@m_2DXZI)+Tn}*BJq$Gmw+|I9K$HgfA@_Ge)btbk_n^}3@_G%Qys5n#OE>xE zQQQ4!1XPB4T`&w5XmD&o>kR0tik0)X+2_CcNJ9RzSJ_V zP;`3OY=_9`cF^_McRmK%ET>qTFbD71w7@N#Rm37&)~zso#`^Gb>E{u?wXkM>`_RK) zQVUOO!dnZBT&AaoMvjOVJ^TPy?$7>x_eOt9VAVDu2~fHDes<7vAuBg-mTp@NvdmjX z=Q(za6u0xLBnNaQg)AF&VKlhyRw2Pw(@2Dg6VHz5>bZk7Al4XTVY~^N*F2(in~lA! z(?@JM7wu0&s@6$!tq5-sBG4JC)%XhVUEd$o$%t4Gn6J;rjSG~Y>e@YChV`CI{mjfA z>bzLe@5qN_2{{0I6CSa0^PF6Ei%!ccqpD#rD|u*jkPHPRKu|+pys{RJm@&Tobzst5 zRwc_mSO5@0M3U=(&~ujT3J)oj*rZ?_Vcck$($Q{qkCB|YnvC3hg{vFMVS)Ea84YdSu-jbPnje?2T%CMN7o2TmpLDs4wgmD}YtAb;v z6;T0Uo9=wc0&AMCJjSOEL247?75opiD(F^;7hpu5rlc!Ch#`;IiWib0>Iu5O3TW8N zr6JVqMoiDVY14bZx%8F!v-$PsyK2v(xWNkR(BaeY!oZsB6QYm{-DL%_(X!slnW>Kh z>%yt#U`o)l0;gXvR@(15=Fu*SL>}`U0l@V9=dLxLJcn`?@d8?nap|XbkG`4YKIB}K z@Cwf9bPG;e9_h1~MVUht3wxym8;-G~-*^|zWu;{z9umluPebk(7el|FV|*8ae$Def zACV!q68$Ch=?+Je*F;RXnL>0F23#?T^ZFkAzLX_{sMb)Hcpzuh{tn1o8W zC*Myo;RflX<?s*6nX5DLYo@)+F`D$~Xt#@D_h zMF|xwIB-aAz^*eTlMFm(sDjw-srTV=JZZHPgMRqe_Qc?6T_9+d$Oq1Ae=5UI0+~@kj)Lq&WeC^KpO>J~-B;SOIDh_6# z-()9|*${zm-+mRWq>Dx9&EvZj&D%4B^{|PhgQgZ3%vfK62W!ptmIpu|=vX-)V>d6j zi@OcfRjVn%EP5qxSZ4e{4e^hzGax!z&{-?7)G*=QI5>7w&^Af$Q)F!fCuWT=8wXIk z21(A88NmpdXBtLjyyAM~yR28ZpK94`CK~`imn`n7{&5$jlmRXjB;e#_knvvVl9uT2 zu_N30MW6ctT)}oJvTpNddGG$?V3N8_fSW%n?-Mt%nUef$vV5XMj-qEMoOVF`X-#2c zKFwjJOM0@H#G5(2TcS~YFYSb0#1Mv-BX3H&0JBnmaY1+<*{^8aNh$!flH##ANweYn zM5)VEWae1U_hs(k*;B-)XzYKlzW|)%+67pqjgqT+=z1pMHZTJvMCT->hLoTs3fH-Y zAu2S5t7X`^vGeOecn_WZYZSm~Bps`oqHU?w$K4?X%5#Gx&)x`?<1XE19}ST&Sri_M z3-_f5yP;0|^ey2bw_T#FU$=>piKj)c+FHs?Wnr#QY-_+)H8B?sgk1FaHy!F!AeJTT zbRk#4Dv4j%DaKzSrgpWF9<`~)tvN6$R!SLsw*5vWq8ql-x4mIQovHcR#Bf>?B$?uj z@;;=$EU%#>W$2YQ(&J}zv6oONu+fgyk|^-YuNL3*tCM)Sl2?F}Jwk6aa_;$7AjgAg z@jN*IHMrvh3*=vb5jX!ClppANC(cy1?_w#EE~ltLMCptyQm^iT-<2*v@yLM9^8Dq! ze9PpEso&KCK}njF)}@j|oUNSW+q&>%?(vCz3}DLyK|i?g#>jq10o)n4?3pBTQs?!i7{zLNW9R>Qomb_oVlh8k zh;zILq-@J5_2OUzEvxH8PI}pr-!Q}n^0E?c`nm6 zsjGRq$2)IiaX%AP(Ym|V9{20>_Fl~^(DC4w#Z&k-fWGI{lD;7G?41*4PTK6ukjN#E zk%01~34!@#2IRlWxI0r9702C+)j$M80%3iAuuWM0F?s7jgUIl%2Obm2ID$j9v4Pt^ z)%Y)E_;Ht~Z4cW5nX=-wD=Zx?pyknica5o&j~7<{TORvZ@|L1g)Eg12agB+82EelF zo)uUAegLNe6x%H7@gSp|%<)Oa0hTK`VcBIgIX+Du2vIX`7#@MoU*Uo7(w7sfLF8>S zDO&Or%nQJt>}?!dUq@}ka9#)WQ`Xy_CS%Hl!n#N}C`vvTtj3Zj&i4lKZ1Dx+h1C$)a zT?5_awS7n(IUp}!9KR$71Dxj`ubsLmYI^IM$PSbqE%54*BKhCwNiCD0-{3Ko>qg#oZ+%#5(oibz=&#@0aM65-|$`YoG)`DOE z?^Uj=X1-B>cFqOjoAudVRa!{re5$3{>MhNpWNTL`*?3(KJRs3LLXW zt)9>2gY<2|ESL8&${Y3U3IzAnDu#Kllc*h;%9YuOly)Ta{Qc`=TDlrO)awm1Z;R~q zaul_9<+2wa#Vt^qb;^eBMAli~VvmYlmm>cwDIby>+D7C+Kq(B$fR}5bcwlnq$%Ms~ z!I@3THxJ>1YJ(A+Sz|w$9U%7VuE5!gYw<)tD7iw0BJ>4)ZLom-SAJt6`OX^o&dhbb zm-PGQ@oesm0VdKuS)RZ7WZKT9B~!RPi=hBnVH315IrSG!`8bRQsX-!+D3=QoaE$no z6eZ&Q`QI*#)~ZH%dfX_+Bna{N<@t@>*nRH}JQ4WwDcpR=%q!yuK*%SPgUa|cYFL}{ zPWp3<6{d788_>V-o6TzJ*wpuDrZSy`m==2rjf|rKG!Yhu;pDmm->k#Q;Nx9WEWP6CUAYMrH>4N zx*p3|){4T@;?aQ6krq9Um$HXUwI>393&ne=CF%kE!B6-vJ1E5Y;v7rQNJ}^ENXWu*p)zT?jPK5Q;i`L!c04#Z0=HOa> z9%ew2v)BdziN45cPzeMNJn*iI)P5@ib9^GkkYZHlJ#a zbMV|E-V>`n@eXG6&E;ntFNfQdUQG#H3!XMbcNhCDYB2+)EWB2DZzm%o;g6r-@|tv6 z{uDUqAQalR^YDrspHcJD7MJ;Nx;>~#%O>H;$2U0*Ba~nwe50`zAo+OhDij1rc0zlO77PN&lhZfWU9>(t!jky;6SVe zq8ky<|8Z=<38+@BoCV*}lxb3}O@LmA#Ut7GfD&*LkBbpr4aH_jXR_!df>CvyinQ7# z_Y97?LR40JUnwlws7Ol6Jc6f{ev~rMJv5@-GrhUc_*PDl945W2?43!ij+@SE%mSU` zb%n>w6>wz=0}z@l9V{JV}=QqOrWb-xqbbjXS=#h39Tar zDwbvnM%qMbzlk zy$aC;6kHrYn|1_+CH94!nJ%s>M{v?rs_L@$r0gEloWEokkI-6<@ir$+wohjq_tm$$FOhqeOwLbD&VRLvZLa}Vfaui% z>nUzK%+M;~4~`q2MLZZD36-_I z%+=MEp9X`D5rgp?%NSmzFy_i)i)yL73Pj?^I1yNi3NBU#yw33d0ZBl%zpuPk#S@xD zRlS6fC9rbYP#LXlnjh)%DiU5>0WX*nA5N?|P`pZ{DTdydgu|h%sCJ{di!|IM{H6s! z^kAPK4BMA_(3-$Rmm=ivW2){EE17 zVSJ%Wi*!cVoqh0Q2_h->#;b?Q8=v+GTFGfJlCL!ae?t@;8N@O#@ZKLPk_Z6XgJtu! zdTapdl=|)DCxn^{{~fn%ki!!}8*sWnv-{_|Pyi}PHeH%`YxSX=2(NV3>xqqoLnp-V z%ZjH>fkd)zljAcwsT9Jv>epmp$%bEV!H!E-l^TX1F@4CEm*x6)650{ zhXjWM^;W;~!fNT=hP|(i4I>#ok7FYm(D75hJf%@Rf;X>emYbX%UuGs))#fmlVA7Vd zb}jln`0H$5FJDroptM@_>+t^3DvviajIuMw=7A9_3*}U3SLUa= zLn+NL;^=zl+FNDQDiF(Nvke_N>-+9c5;6b4F>_z8rJ`Q0_b2Yq2@}nS?xKO#m zikSCPy9g_MUjO4)0w{sPhJ#`^v1r3dV&erIAk6;5Yqm$4P7Kmz!ar2E*IB%byJTka zC>rJR#%MncxsDwuXJCp+ipb$1H!EbMMj52Ay|z$u)t%F9dunA|2BvYKQdj%73Ek4c z+GZnHZnmYd-0cBXnj#a{Y0PBi<#oJ|1DeQtf)LZf0N~TQAuW`gedJ;Srn@X-0ao^W zr&ys{>S?BNQYkyyz-{Ls4RB+%4=5)NgK2PSVuF)1Oq^q7bd3C!O#&jdhF0HHpf)p8 zan|R-C@f*-JP9uB1i|2$mE%q+iNZ`$2&yqJKwTZN&lX^={%)EaS-`dpn$Op}6XQ;8 zBwv_hiX+o;lhJV}oP_%zyW483ebF#)bl{~TWlc9ce23N#cry+QY0r|w>2}_3Bw}2r z9%z?P5?Fm5UjH941TYvl13RT->Ntv1YmHZ^cmzI{F(-^`=pppk&GWad1l*oDo_a$u ztm=ui6OCbgc<_T1{{N;s#}RsToqgR&TURB~cpNj$<+R3q47FM2@=#n`OBLzA^fPKc zaEX&COd&R0*hxcq+!VkcAS_FCJc%l38+rp5L~)M~!7F;fREk`MX)hh@VA)7Pn6owr zE-X%k2w0Y-f%J6LT~{+%8XFDs*&zpsnU5V9uZ2&{MyZ{b%(LqjX!Tv+XXer9es*q& zB^O-(*{HxAQe_4Y@vw48a1@YO=KUu|v?kfldh8i?Vv7a`rvi6}?=z@6H=P|cEf@#JVU{f!u z6OSO2#>Rpo+a&qBV7ln5`vw|5lN61elcNcf3weCTn`=Kp&YYs*N7Kv)b6^u`+L`-3#HcAOP^!A zS;O>N%a^I72Al72Ar094YLogBo7RM1c>ty+_;hayot?yTH`X18UD((24KMP-3%%u~ ziEjE`BQ!xa9SBZ?U>%*g9UnBc>aP@#tUy~;zkfIY0f}3r#I&HXT$l%c z&W-3+uW8Z4YteT|4L22Veee-BXhrN)=2{8nNDqJHpXhP6P5HQHpUjI;lX&~tc zb=Qs1D_)em4~3$febZz3tcUx#l+{?;OqKXMEdoDW?Dv?q7C+&;lhUGwU2#Y5iehxC z(m+D~`E3zgTMPP{FwMxBXWM$^g_sEM-SnXZoy- z+=B|~#p1+p$b~r%s4x-4y*O5063-qMDUnMXRhT%R)Da^{WM+CtVvB_PJ)lUHKMaHh zxTwSAJN)MxIY3nYYTj-!JMz`o#U~TK1R|Jc0}QOYXN!H&@j$7!02S*b03?f6qnN>n z^-@hc722q~ublN@uCVO`VpkD|Eh^G1Mwt`7QZf`$NPw+W^|Bh)87q1l0y!9I_`Ua5 zZD%a)aF%2T-J))rL{+=BpmYMnm959tfGiROvS`--{0PX^A(UZt$Fh1h)_5vgi{PBn z&+U&X7gK>ERrm|WGpr&;Ob$V}69oD7&uXimV#C~eIiPBgJ{t!gzq#AO{`5 zaYDO&#|V7_c@bpamt_9L`b^x8)IzD2V&r-9TKY{yZc-(}=JaN;Wr1NgA&y-Tcg?XR z{XO)Xu*I`K0VJp)8DF0_L=!|{M{t%2B3ZAGwaq}h{SEt*KpVNbV+J2~G?#IORL?L2 z*aZ_p?tQA-X{Xk(ZYq%7*~P)7)sUU+NT>#mTxGq3#*XkZ zQKkaa?hI6&5Dw~+wx$mDD%GY(Yt*pc8CVh5&OhwTLxWp!q1K(}C^_7N=(j4=i>(h| z6fkx*^g*e7j*Hgt8P@D4cQWw{jyZ*?wn|b_-G@M9;hm=1=j2yhNaf`m$tERH2WoGI zXZIZOp$0al%r8l@3pX5mtg+m^@__IA_E*(Ghc-Z^6&YS{x`hL9?cE1+1_JY-zn>A= zNJ6^t#7qeo1;d1_9(c=FPcfGR-?92lLf6BW6dpnJ?DG0Axr#px4g)q4{H3Fd2i-Z< zDX1xNb>`d#bixZ#y;gInYq;B$*?#GDmG5_bBA&8k`W$u-#p*hw)Zj{TX9=9`pN_lG z4vkI+&629*gx7y--={5fi{D#I?!Oy%Wy~e0HPL1}Y`7~6@m95~6`m>oKwCXf_FVnLEnAgxTPI>Po&4z{5eAD9)e>4;y92E_wL9`IG7Vg{z?t%0vX2cW zu`g-PN%Nr^?yuh9)qi>45OCBVa?V6EH}3d(OxqKHriDeW%$^Zq!T+GX2u5$5ud|sZ zHcq~ZAK2nOaJXX8(JfDe!CaQqTrn(CR;^x2g_^m0VUG7CFlKChV5T0yZO+qV%9t2_eMHo^^6kpqKjSf|ckwL$RVK zh{^HbQ{08 zv-qm(ezrL^fT%u*ohjn=qXu!hSi$|e$$56+X1N*D8kAMG&Z}vYaHhfa5p|QlthC-# z_8?> zN=pVP(jx{bpFPTq+%lBKdj55mUsICFFOw4|9eb@nS%$qcn`09qa)(_9DdQ<>!FJ`8@VD|FX^q7XSB94^W4Tv^lC=6*r7=}&gO7+M6ldYR z3WY^Ckif=|Pc_7zwqzSjd?*48AqcDcr>UsgOo)lD104GpuDiV@p01RItzC2%o?9&?Hph0K9R*9x{|S;T5>-Wdb6AO17O{@c&)BunmGrq zQZRGVw1h7}Lq@X2@#*?$m5tV5GkNQH#IWV2-hc@hj$m!i_4Zhz2C^b~syC*jYSs%I zL&nKybn8rEvu>rg*U1_W_FePHJc zb>9Wn6)WL<%6pwd@ll5xF}POGIp#_wBonISM|0X;y55B4)I1WgQ#gv_z0ybFFBF%Z z7VNx6G~)|ug{;#S$3;*070g#W^s3xw>0kYjSo$~oUdgkbHG@o4r(IGKr!x=8;`s4m zzH$#?6NPASj_CX+?NfjhiZXUCVEiFb8I`~=IMdK0#RIcr$=c95>*QIp1yF0t?1$$m zAvyn7|oKy4gh>ZWN-Gk2=?jiUb7-0xbBK*qlBMU3l2T5b|` z>k&ez)e8AsZ+F!BG>n%ZK0L@E)OEk3BenM(f)@nB$S}Ebr4g07^G}``7=~^Ku1CQh zrIu1CSBfaURDXg!&@UO3VPc~!Fjvi6l27D9I1##<0;qfev53kRBCDMBpQ6}hhK>Oi z_(jd;4i>UqI0pkGu-qzUO_OiZ>6PC@wC6U8;U8q5-96;{wqaSK4fkh>$K-S_BibD$ zCIZ4hlM`?A?v<-Ewd!X*iCF=);%%v7D%93CAOKJeg~#8L+xjw;BFqpiin&&UW2y|yPetYdThE4Nt&8>7SByC(q~cg z#($YlSWI`Dz=<~*kcmq|4Hh-^GH@eOcWSsif$C&E{e-^BS9x5Fy^cuZc6LAtuou~A z-CPNjGGI~6;j55stSe%Zja8F@BswnE%JQqbecpY_QGQbmG+UeGBMR@HZq(C{MQ6Eo zEOcH+Ti+y!teOVnSMUOvQS|ma3oyVjkcl+rGLI4>*7hJRxuHmq38}O!`cZi+LCaf; z{|n#(4@(f63@lAWf?}IJdpEF{I?wQQ8TlT9aO2_=2}(`dzTx?B)oUf|8>3Zde_i+u zdCE*RZHNJJPvy50jJ2hCLL=LXHNqGi+4x!BqKoeDBwM&<^P+3e8Vj?A+t2nFYF`h1 zwR0q;rE)lwW`Xq3fQ;s#M-eO>n3pY1y{L5%84{lW=A-o9l(jhCduqr9z!J@`+mdV5 zkcsR5*n4J1Zn<4yt-=Z6hBWVbYo5o8e98CS&wwiLFtXs+8rzb4lR-<|>RWx%Uhvu# zXiX(PuA`gyw&@|?06O`K&K%lV?_B5~b(b}-r^lajB3sY49^tFUIkng*KS~h;e9fXr}tsL4uPV?{>12@+WRU7a8;0tAaB z!%!7!Uk@|Vv3j9<0?yQg9gvO-N2yyCu!d~y17f^KrFgu{;ElZR;C-ycD z?xo_q4GUyZ477*&_w>9zM_!8clW?pAk&Nz*yL~;obJMUL{(Hh-?X;eFo|zk8AUI>B ztn)l`kGk;EjYAj`6!ukhNZo6$0kJ&tGe!gMf3b!a_L@lFL}?FBccSm}j_Q>F5He)0 zr}aiZA~|=irk=hjV!no7IVgE+26Ny~1D-kE1z&0=Lx;RaND^Ou7oL<4?n%W{!3p}b zl4bg(4=aCG4gLQSZ=2fQ?5arT|KCgfb3~I}N)J9o?Ove?T$|Fxr9Wi?NTG6n1AaN- z5P~!nCtKJC;y%7K8X27`=WQus*^2#476jeHzIE{2r%9NK>n(s?F_i8JJ!%V_Tglp( zY@d_WT^(grPK96sn)Ej_JPE2nu8qGJC?BDw5qYxK=d=Ob_5>+fr3MJ)#e2!WC z#;B|wD(7p%Wb_8dV3|CA{J{YQYDz0dpQ>Cv*3f2Z`DvmQcWX#6DxM_eUy#T9$>6;w z*%H0V10cNCE22aq1)sZ1B-dP{%%D7apA_r(uj|RAIgb4SA6g=BZ}Ob_Ohb3Lz*lr1 zQVU^}YC8{JkCjHhB#@;Z;@p#t%a-r0Q(n+()LTCd$vKb%M>onuaR7!6N|9D0cpH##cC(YQ(OH)n3@HaEAd?8Ty4*z zwR6Wq`EPNoYm{BE=9Up7pjT2_vv343#816FnmcAS^G=9vy)gE*+mW=U_jiQfz#W3; z6|8tlo4Kj*Z6+W;rD7=Yz%}0Qi0=)9ANqW6phsU$pD0=*gChX1Sd}PY`7?mP$yF=vDfRu}QLwy} z5!)?>V7S+Xel>Kt{v=L_2lZYj>(Z$^Q5nr$qSXOaX3Zef3QA2Sx9P_`_71r}S(qk5 zzYv?@<6WKWdwV(d@6(xz*FLmZE0ClbKA^9G?=|DUP z#Z2chjuODj`i3}6`wd9(@27Tej@}}#MD8qU-o1v5x=4O-g>;iaFoCs}GA+Gm6(J<@feqf+o ziDJ2E&WqRW=8at4y99qtCShqp3m0&Fm+T1boG@&8RXPg^T&57hmtz3N0j!n+&@ZWI zr!2l=Ew6-Q%>lxkbHeJ96^wOtAH2kk?1dR-BW!qxUCF5g_?za-FQ~|BR&VM(xYQM_ z(v!z`Ee6;zoKL2r45H&()IHuLU+_26pv}vqpUX&pDZ!95 zNNvDTP^48KDRP|b`qY6tYKblfFN`#e4x;b4HNp6W47V^QZSNRT{fi|?u#R>~3-uSv z@0P}!+ngAd)c1N)mO$R#bfL3YGmz30#2WE@piKe)C8UhF=+q=uE`Cq8uheQ@!q6c~ zwCk)jAlGwfKYY}Or(Sm_8S5yh4t_KFZ9EPRxT^mjGo_Nr?}(3dGy~7r;%F9hOrgsG z+Vi|f6&9IjxM+w4*Aa1ZMwoFLHWaiMU{IaR-nj6@vy<)nM7z*NA1x z{o+bVy&}u2isKeI8crtRmjWrfyoU(M&M}@$#`8J#=O_?$#0TL52QXsgvswuLVyh1c zWr}K64WOcxa=HET!42A+a>OoSIuP)(#v6DUc){pVx3c^A#fmg*|yKX2NuzpF|hw2Hz0Uv@SYl znqG?~rE=3qq2F7PAmI)e!ju@fwsi*-NQEbXC2Xs!Z4{;b3glBOtlL~8YZDX9R_~uS z?L#xgqnUUrrdH1(fv}D&39IC8;?(LUDtM|_V@JECqrs-&9<(F=8VP$`h2=bw42OA| zX~<0uHWk@01Q(l)#?sy7T_1bgp@g|VoZJB53m8qk3NIRuH8R*R^isBx(?QvoAfdcG zY9G%yDz}szg-Z?fgQE{#t^2{!U*Br$APlE_se{6CmEvkbX3W=GXgOZVM#KW<{;kk+ zJI&+Wo%cShR^e93BLdaNW_>n*`g!lg9qvDZy^06v44w4ATeW%7B zw-zdR`0_D0i{X+jD?#>Ta`LhydL);4h^?>M-lPyveu6;|aD1?6Q}MIw)4!lFqhti{ zCfP*`4}9%nGn*9F@b{HsdfI#z;r`rHZG8C+jiqofckV4-mZjFI-l7`j=@cBB^ZLOD+Slqq3G)i z=H)K+&|B^CqJS!7nl8+`L4Jbx02x5$zjsLz?ED{QtlQxiw|xazR(e7LIRI=z9aq(;f1z}$Gjn>eHXtlq~MhP z-yeJXrg$Q=upEPeHJ9{69*Qb2DvpYl9{0ax8S0}@PQW<-|Ig$Ci!6zXykP^R5SpIU z&!Ut1g7qCIH&>{huitFQD`$;RDi-Mui~X>m^>mg{&urGxP;Y=yGL-EyUae6|oulAO z4$#Y0eVp<^#NrABJg#a)7#hT>Q8P?$V`Hd?XpaQ#aLR98sjH)!sthR~-V)?ABQsS0 zB>0b7oH{_GXfj=fZUAh+K_ld*OsGz{VEMK6g}`oSiL8FgciBGSR9K=00}tW)g1 z1QXb{R15JxyfSq%4_F~_Qyj0hSK8Mt-Dkt{-n$4WfbiHVU`hF6z=hr20A%FE-nI(! zhDUt&C-z8s9iq@~cT1)G=qxH2a`Z9fD8E?q4A3D~zh(lv^%eT0_VhStZ@2JQh!OpUKyCLV$#hedSiKC?{AyL6 z;iC)?wh9JT^7lH&$jt_Mu1zF5pw~X}dTfWoRK=b+UUs9@U%w9$r}_8weF#}@j7#^} zH`2+Q(xNV;B1w*ZJ*$xWxl)?W>V1y9Ux$meFm-C<{@&f+p~oc87t8PC{bk{=tngQI z^-+!K(iRn$D%`Ne{bHqr{%zrhm+QntX2N9ochcT>h93ys5YAcW!8bbDgd`B9O?Zze z&6~IU*LdPqXDVlzI{-Do9Acsz7)WWe;0teAOA&OIwDi|&r3)KBQVj{S-C-C!f!rd` z+@ozwp1o6wE{2 zr9s^nJsI&9wYVsAKzh_1w1_;CpwUe1qfaI-XGLj653oDy6L~u_EQ#)~K&oNf*Vv#~`hh-ytoF>h z!z-^aD=;Zf4y{EHabcM5Ucr^o5FIb$_cMlF`otGSF4FremX#d+IHMdz9<8z=ETiN` zw=3CUt^p);p2zJV0fNxek7ESZ{R9$Si2jM&|V@EcCBOh$e=e>^|I+#$*eBImky9TVOj zBh@ZQm~fhJ%5gvIFO%zy`1V9h_scVoQEZ@t5}By^s07h2Lebg_k!_pDf=6!qg~&ku zzQo0@;v;l+Hx4r6F^l5Z04n1p3pu!XC1 z8mB3k3aNH}qwOpJ{%I%>xo(#LZMVv8ptR^4&&@PS{$MsR@7ORn87=gmZ2RdncexXU zVt@Btw3occZs7%hXD8xd1ZxO77x;)h(0lWmcd9U_ai1=WksH2@#8yA3a+(vS zdU0_u6<9(TwzWu2yaoHwOPpDeU*3z&SsD`BhbQ%OH*kDDQK9GQOICE*m6?`Yv2x=1 z9)BN1o|uFl|Le8Y*>7dhJR%@umX=FMLu>C&@ZWOs@Px0L9zQ@o4{ znq6XxSMqoQ90)Yis#xKsNeW!e4T5VDVt@PJw=ZiE{4ehrtFd1R%%?9ay2dw{_G+N= z5?lnV!fRzXBbWycGG`Rmnb6s+@gGcD2cUq(;bxOn?a)>>UNSivnm$-)w4o7FSQs83 zMUV$utddsk3j30n)L9NmN-qz9^HX=R9f9>#F0X-yX}(P<+F6q1Ql*1fY5EwZqVlFVVv*i{1Hl3Z$~DekNdHi~Wc zXvBC13wJI|CbTWH8{HwEd)AWR!;QKrSg5NvVuRVm_}>gEKwIKgftH|xPt9+Q{0Q&M z!Fy{&tFTL3XG@%8CghB6h5HrSNC01Xu&Djx_)*Awa8)mBLK-I>-I!HyJh9N$vdfA? zhujJLnG8;_&kx3&$5biq&!1vdBBGc!s;k2Y>;fO)kif~&{oHfSfhHFa1a{?*2Z(*+ z^c~=Jl8U6o&A%Dtlg(T@H@+=66#{bdN8@~moGlqX51Wp3v?isMm6uDBh^u8X2FU*{ zTbjDlbrd@)=%Z(cPhWL1BHLUwwq8a_4XtB49Zs<847i91gGb(?bIS1dNx|)9pN}5L zmJ?bB)Sy$vu(f;;KOS;5Rsb8zIFUSBv;7~Gl;TA~+Udaezk+bIR2(UcNQ8%z*y4fF z5&I~7;LNO}2pfYEPW*(Pqy74l`F*yrsm@BxN^+5z^kH1dk$*7r=y)JuL_fUmuyO_eK0wH0Q zgjdTc!51R_SK~imf&SIr{`~^f3kmpX^E%<+Gru%1^H7H5e$Akz*Uld~Z4W5kk%WK) z(y%qO&3^=m#`9kM6tl;lY@Weu`?R^#dZrilQw`ctnt^pi4NR+?$AYYp671&IdqEL0 zo?$kwg{5iLW%cd2kLSp6lu2e!NPShk;Sjc-`#|N1mG@~$_2d$H-o3|HbZvyM@nIw!9w7c7^7t0L7=aw z0taHXg0=-^I{JExoLe3KMj;N-T+OmK2>=z0GZ96ODPoxFaAXkQg5X&*}R}w*S8{Cj!zu6{>Vynco=twdTzLf7x-!??A26M_8 zRot}CczFsas~d?N0`9vAa&DzeQ6dP|!pMQz$^Tb*+b1na*s=9%*BN{c;!7F$oV@6* zdj;-Qcoad#@8Zuxx1`b?K289#*To0RAM8Hs=9$BHG@>|7OD~n|2ri z5yxJ&k}I2ad6~HrUR^Rp#bi$DrduU@2sJEg!gOn`B= zLM9N4imZQ=eHxRhh5o@9E#nT3%37tfi6=#k0*-cVt28zL<*Xt1oO_EJngy%bO*}M1 zlMq$bdSH*faX-@GwRi37ouUL+Ma&P`exS0Mut;$E67LI5f`v z5DWfIxjBmgRPt8m4x|aCp$A6-LBz)j{{l{qSeJeYz`bnZHlDf0IOR%Z6Ma?vd(u9(SHM(Z+TY0~5rTjCfd+Pd<-p8DnS%o@PJREB-up^MM0KPSc*=_E`DMsSq zq=T@Xt11Pz)wlQ+L%WXAEWX!LI!Y$6liyFVFEmkgVwyC+v(7Jg8utasQEF&QfWvcpW&W@VI=fupN>9-S zb=pJkuX_kNiT~Pl&PcGc@N%Dg3$mu<`0ITwig^D0byGI04hYDQ)Maa~L;c1`M2sNj z_OPE93pf#pqEzYXQ4KN?N=qx(k%2r1Bu!Z0=WQe{u436D_i2!H3$}{pZl;^dC%jTm z45r^`i_j9_VLs@m+bY;QNf0Fi(^1VTLnj%yvNS~)Bw2@YYQDj?YlE5FUHsh_do8P` zNWE6b6x+`2NIKnwDr0+Il!N2TU4FsB_~!CN1a}#+l+WY3qF2YIPN)>Nd;R}bc3l#U&R?MND0vEpHkfMyIW$+M``&VEons@ ze=BSUR%E9(_T*(Q1BbbMs5z^la`fHR6mbsa5DKs+m$BXCrTai4ncMI;0es){MdBYG zd$-!l4|r<_LTc!E+M73Sbm(zr$~Y|5b%U1MVz;x=PNB{J+t~PwVKXxk7TGjZy(e4_ z4TEWs*d@poeHUCM9}gs2Xd(HX_%FbOZs#8<-I&hE*ARe$;T|-7m-lc>Cxr4q!4%-1 zRSPAjqpICfK~Nr+SeT&DV1c%gyc-JH+X4*5)<>CbwY%sRA1MoZ__-Ea?tzBA4=Xy# z1nD;^?Wv`dvVde1&{2ut{$-`;?^y&wr$=2wxDbjFgk*gn1x@E0^`V8dgJ(fp*;-AzP|e} z&!H+6<+-q!VKrv`XD(TQmmNwPGcW?zwz52lKw`{-R$6>>;0XLrJ%wDLIg{!QebPLM?$=l}_tPdpOYslABvE}vL>olpgq zS{8Ni#t*QwG8zbw!`(a4mm{iCp_Yp?nw~{Pu=7RVIA%i~uFOSfU1ExUarC*HN~UtPn&mot?YbzYncKY1@G6b3HtX3E2jc0B%vT! zUu-iOfjKtcdaosw;OM>dw@>Ge=%!=w%ali_Oe*c*U9=ulqot8|!M^B!VADiXhPHG& zAM7XxT&eGgAs*vh#XKx0;Lcy3Jh82~ps8=d`p+g(P1AB<>~{06=QeAMhWNE#q8x3AkCg!GdsPNX@7WpG#Z<;5v2vDsj)&sgm5>tTjXu$+r|N# zDg6RhxnVjXs`UA1@EJPT+$J#e9B8avmt@Xwngq48SbXJ$8ICq%DVL8s73jR-HVpTe zuC(*r7A2x-*)kltU-EMzHP?C)kn;EhpM383;y(R`UoMm9eLnntwZT}eusrD&@1j*S zUUmrYit!8Fnt6i*IZQ+l*LB6g>fw4#n24njyczPav_$FH2&(D>FrO{LS9lnYEm3@|g9;P|IOvui;nUG+J z%VsuxlSG3Yl!B%yM+qT@tdPd1VXs&hR=YwkQ_Bew3NMrh1MeI}-Z8-Vk`r}$S$%pl zqw}eC?*Ati4keJ$%^(MX38jLQ!)HkOnzARx2eILE{@WXX0; zW@fFzV^UqTdL&vMUIVaF(dwA&-`(CxYa(j01y&;HrUb&l{tsKN<=yE1$|&YbMT@-f zSEN2PZpTiAd7-)Ts@SSEa#z3A)k~lkxTv!raE28+{tMdl!g+C;+J5)(@Cpfn;>p1B_-f*Y!yZGT$xVNcV7%qMz>w3#telk@$1H zW{54r5}>}+V;urX*zA#pqmEnunRZ)0g#Lgh=OMOI1NvZD@$QCepDRWP$%v5phxoNz|URT@oihu`JjK%43gU>HBU+9MJ=QVG?PoClsQTM zLC>wJb!+@c*u&_ymT;#R2^pB=h8A9A0|5@ml+G zPL2m5X-=0U_58V(BtJAx2KWbH;{sNp^}51Xr_6_SZ)gBbJ;^Jb3?>()wy8uuRfniwUK?0>U4X1Ev!#THBPDvk8?DK?YL^fP3d_plFUd zhcVx+oUKx3-lbWizDNhP+oK;Aop{y<>&UBar|kY8_zN%Wi?%fTs1vQ(Ije8b7FpG& z-;UFw%ElYZbVQHDJS{=6zp~j4-QD~aGoldC$ADM-!A7KGZNKAl>1Zw~F7>gtu@*e7 zxZW-EghA)FsJTxO4#MajKQG3#{nD(B2$UE01iF$2mAb@RhExdnasEWk3!9qM`Lavh zAM~o&q?!MAyMx37ujce6ix5^Wl@mslrcCZNz*YoM3+p-JWZIO`MCO=Hv)If0OT!*a zR!*^03dMW;f^HM8l?cP_)(9AxzYX!>3~KudH;hs9)(h#2LmkSL_ZxPXlY18Ky2h#4 zoL9E}$(;9MZi4DRu&A6XX)Lr?))T=H7&|JG?%^n@DneyC+l&Yg&t}l*C3wSTBtbL{ zuEF)2Vp#4((v9pex;m+++OD#I4XKBF%@r81I`=)@df78rHF zfdhBDb&qP zo71+AGqM1WuZvnvWj-%zQDI95G+ytZ08ct5sjsjVvIz}%Qu#)FtG^?v0cme=5!iRj zY}IAwlAuiV=BN1jk+!{i7xw3{AgOx2yGF71oT20LKE0paWb$->8DEl(K{zE?udld) z2#;UH&Z1F(2iAJ;5}*Z3uLG}!B|RE#<-fK93b||p;w=4-yxHv}y?zMZYY7tHEH&^M zJlT_q0DAU!ukCB$B7iq1KBODxTUB5vv=EaGEBcSH|tke{KNhT4yovU|#$%`F3&W!88;iYK3)yI;Nom`cR$ z6sxEvx`l|VJ64b1<6W*X2wklrvTpS%kV#3Rx3z^XiQb=k$O$|h5s1EQCDo7@OQ2$U zW_{Y1HM+Z%AmGM=O`8PPSQ)8oQFwxBFxgfGh#UET_W)aX@5Y+vwYy#G)br zlh`NU3KB)Oxxw`xW(lPd?zj+%WJ0)3iiqqk3~Vukh~gl@7y>rv=|-|AMxmtxw1EwL zqpt`EW1N$G6h%oiV#vHb`C~}sRG4s{#oW1fPE!J2l&d0Z`I7zr_KXY<@EF5}{e6s# z+e2Hv7D_AicfLj{Cpj@hwnXx3au41xWIFh6wP)C`)ZnpMN1z;9O|9aBc3pxiL zmZ|5yrDpOPQcN({4)1fukF4JyxrqufImk21N+QGgM+l(RSdva2xi`~gw40-o9mFJ*$(wPNY9- zTaEohR(vS1c`~{CqMq9_@CL$v3o@@KLFO~P8ng0C&PsDOwgsF?_64<@_h1+7DZ|{5 z?dOA9To6sB1ZX$fY>2#jmKW37H zrsTUxuEC(5!zoquxNg$heE18?vQY!KDLk}+oX!FHY?!NpE^R&!{jjB=mWde+TXA;B zj%QWD2^0w`l5)+DWe&UgMtLJoNN`^r;j?>X=f%alNWkPk-esK||8x`l<8asm_<6x; zDCM7Q;1FI$DMkN86vmuPs0@tq9l(i|CZ}OVjZkd{E01G)isMLq9vGCxXp4jRVSa6| zh?Sb7RI;M!KRn#@L%47_5JiK4Q(lZNi9jdriBG(XKMY1TAO~Az)0Om_T*pez6R&xo z7{|nf4!SrJz?r$94G>_qc{aHByoVA2F%+Wibq|N?Gu!9ZO4S?O<$s&-06D+22x*{4`c2)yQHeOE=#KeYD({ED z($9aZ7Ye14xkpSORgEf075hk@XQuR^BZTSv1~5XI61d1^n5Q_fq^ZO9;h%UCOV)1k zqiTG(bfDJQx;BiD-~OZEat?09AaA#tT=iq9C}Vy4%0Bn@t>@B=8rK$zP@E0*cVr9? z{J9wAXzS6pc1X~}&pbZRwE=zf@jmdwAk`YFZuwi;M@7jdMATTT*kS{6t#0nVuuP7PC&lxP-KQDyk!Fcz34sFM3>thqVJOB6EkIXK%%P0{Xk6S)QO=1j z*#GJbZ@kvtGvF3uDhQ>Gd4+LV7AGhn5V6(pl*({wXg~7;up|tsuU&8p_&DnIeTcRN z_{JD3Y5a_VJn_q_dt4+)ybp`&!g6s`pK4-&1~~*2d5}DwWf#XxRZkWs>ClBlm8v5X z>L_B{aaCL3kSdKx;*pvD)|BIC`cNE&B}M;YlA}Jm8bfpM@|Flg1pr$qCZf%w!IMuT za82QmH)=BuL&lR43?5wzxqMkLoYtj_CK;QlOeCDM7r8j-eL!vDs2?iXAqMfz+W?X0 zdwfepQ8l^{z}B86{YY_XSEET#9jGRw?Nr3x_Ud<+4dT0FV_V~riRk}TyNg_UUQ$4v zT8=PI&tLyxe`d974uG;>8mKz*2hHTOta`zpu<3kx z|2+SK+Z5=Mp;?&y*O(;<8<9pfo1ZscWC17PR1b3}fn_<8Yo$`ZJNziD(ut~~v2aSc z$Us}N$HPT-##N7$n6P;s8ftJ9pZ*HGGDTP#AoJhB(Vw8{(FhHgyO($Yr__Ym-J$TuEUyL z+bi)Kn(aXEy8z=I)B;a)aku2l`je!kwvitRY^GRsw&|lnA(A0SB;wbT$1;C~woEbW z=@N2!KOnO{5y>Wlv_Zh08OmhVuS{uQOSO_kaG?^xHac9E71$&2Iwge;N04R;tWa?} zGLo?}ivH*(aY6LVE_vl}RkR3m+ib&;$4dBI20&ti!{NLjSy)kssJR!m85s-)| z3Pij7`TacTJ~GD(n|&PE#PkW5QMogo$8l_-k=zT$&I>i?w0p6C47}FSJA9G6lxP7N zWJ$AooBWuX9f?w0>L;J1sL|A_bVKn2Zs+bY}B zR<}6f4OR*I2Qpf+eP}K5S%6I9bO51LVXCHNR@CDF)_H2T%&(#isHP|W9VTxLq{`Av zn8MUN^PG$fvz?Z-K_Lj!(1kD`F0)%lCFhyrvuJ(jjU_?FzvtZT-jEo?mgi^UcZS+Q zm5jdb$*uvV-Y&4j9#fey#$rIL;u=Qkj#or^Nu>x*N)6!Qs(&yL*SLBpV<7jX9Yef# zO*seB39#}lktEM|jIP>^MP3}1wT@yFW*f+`14CIn(xuO;MV3jwsx)+%>uq^hdp<_2 zJ&#wUiAX5gHVhphviQT|>Jb!(S_1JV60foRz{ zW9C2(TF;N*BuROu-Gbh=MocXFM&;j(D@Z-0h~QlXdEOp33X+I#bvU?PGIvP9vyb?R zy%0~d1XnaNC!kU$7cd!ZT)bZ1bmzf}A2haL+?Bkse&lvO7ZcCaP! zJSQ7o=EFWhUy3@xKD)G!i?fBiOdqA%od*$BrMgPPAKXG=-j94#=KhSK>;FaldDWy4 zE!}E4W@saD@p9aZsO?9UXi+o>kv}{shFw$pxTj#N{B|)TFzvxEwYP*3Go{6Q@+2l5 z-#nLF)bwKvFOuH|#+l$2tB^d{O`f&43bThaP1|^I1(4Aw;I_&giO<^o29fzHQ1UeC zxt4OT6Op@ta*=KrM)a0GEZ)!AS!-;cQM&^c!u1j)rZ_y(BPRr9PNR8M8dH~>rkplQ zITwZt28IAku~)@4Xb#h`@ z2c4@kA4_QH#3I27#3{swO<&p@qtuR}1o)Y5iwu0B{!=Y8@g!m-MIM_IRv6S?kPg_Gf2 z#NH8LnEk1NNCWoHb`G7vkNGq7KhHaT&thI-Emzc+S3Trwf71vqt0p`eSUA;+N&+~L zv)<{?o9DD0*dB_FQiK>p?Ve?w18^o?x9?+2CbluLjVF1MiEZ1qZB1<3wry)-I}_Uz z-n@0rx%a#8SzWt!ch&mU+P!M;uCD6d>(9oEe`ceZEH}seYm-nt-Yc<49S-(m!gdSY zBz%m#Iqw}U)WBTPKfQJ^m_hS{@W;xyA2V(l&}=&O-q96PXP z@Rr~GMNiBn`8BLziLVHWzESs7E;1`(UiL6xUowl`ND-%ydQ6&WEX22@>5N4XD5Nu8 z4m(;C=hBw#G`koS1(c`Q*UaByPlb65ywP{UM^$>%`A?=y?E#s$nSDJ8o-XF@>^K(- zfDHjx%;vsWJpI$m zbzz%|8Y(1Y298B>G(Blg3Q{4jSk8P;EuteY1+2gF+(-Ec0}Qcp9c1Hv;^x}4s-71b z6TJ7(H7)$0;1+m^9hOdDUfaAIAH+u}fdFVjB*?0ZB zj0HNU@a?&0;&$xg-5X`To>gs6+zxY~t-z(AcqPITA_IH`dj&0B7hYe@s=J)#J+NUt zKk)qxNd3Zt`Fy2!hO{-zCIr9LC$_?$=RtPT^QS-3Nq-!3+1EW7Y0bmAQSSSiIo!z{ zeLi*_BG(R$F~_EoO!RvG5LRfQ?a6UkpU^|HiRg7?BNZ*fT_eO*if3Xl$6te+-E?Cl z%86{s$xzDjFb821Ic_RNGT>AuxEz;^i11r#MwH7hKq|gR?VD{u;|w}HRz2DR-cos7 zqp(Z|E|+6o2Q7g@Bt-=zvVoa^V_j8MyvTn!3zA>sP3r>0H(m?lbhSOCF zXP~x<37J?!0C}QlkBo_^K-xT2#cxBU6H2xe*10~Fjms}p42PiYR1tdT4f0qZoP`w_ z9J?cNycUqF76=WH-C1m_7K3x(Pgm2r0yP&W?yyk^4oa+N>c%413>oTp9Q}6e;0X4r z`JbWZQ(Ad43J`-{S$572U>86FxigF%LRu=Y$U*T_g+QW%on~|YXS7bsJf!$p-o#IM zAeSP=d@Tk$6*o3-Xi9qMjCE|t8Q+;2Rwfpdp{C_9RV~w|eIvZ8Vfay3nIZGDI9rYRMY17hV>e$noVAVx`29Zv|^j;IqUny;hDIOJFUu^R{-M&(rVHW za3;cP?k3n5V46G89EzKQHgn^P*+6n z682VEeo#?I(4(NyOO0e|gq^0*lisw6yqo0CGwA&F=0;|p$=8wO6HM@ZYU6Y%-6cSi zQA4~L+=}uCz>pzRNbI6NQ^qrqPtdrPsYlF`oop5h+DI}F&M61vSwCV`b)>nHBck$* zs7NNO%A}ip(pm&d)LfW=*`rxzO+HnNmZPA$`h168Q3SzLs$N zEG3r3wVxSzKt1*_m0Rb9OKYNhYV&0riSu%nE!K@M(_~*(!uE((AxHU5t3ZCMXb01F zr2OD?Kw`!zLjewV^;cou)BgVFtL$sp=aMTy%rtyWV9;-xm02PCiP=vfE+>rutRd-o zy1CZ|Q{iI~M|*zSOIl%{(Qq!jrDO3}&jzE%)q;BYsdmFKn$iKBE9qETAN8So#i%l9 z_SkIOA3y&Nd9s?L1!&Luug&D-dY>HKsX3%XYp;6G76%HX%u%qzMCt{L%FM+tYwJHj z+xDbHB{v@`bb5U%n<@U}l%VIZj-Kl44yo7+d!LIrGn&Lp&lf0mVQVF%y%6C@aiae9 zy*r~a3C5Z3<@*K9IA0`NkOe`Dz_85k`s%swKW9|8K}OAJZcMQAzkyxX`P}n$X}Ey@ zG|oYL8PgV<%FA(5*Xv8Ylyl?EfSD4=*!y0|t-RC#b2j#q$b4tVR>~_VK0X>{;FuJlPa&_(IgC66rY%xog(}MO#6fO zx{;UgL4mpBIxT@XJ@4m&$-ZRfN8}pbriM5H;LufeVKraX&gUA-Q{|!4SK?qr2{5Cj zkz_!9T!i^MKUruoz4j~sIO9xf!?Ut*3T_kz#(ISqg}%USxLfyn%ibXvM;S3v0$%9l z`WwP82p)QE1ht{1w_{6h7dtdxNey<&HFFmyxlZC-eEqDxc)KXN-e*WfS-$xbix03J zcEO?HDD_*aTmUabN3&{Piea;vmM&znHdlj5YY=ZU8{DJeSL+f1*I2p)-8PH3P+dX0 zgi-fG4Yvp9&^G29bCDiHf*GMvvIm<;#hwzx*by};I8Sxdl5G?TnYBj*+nRC>@R%2p zDS|oXdBV5D5ES7)_j`7bTWFH(w4V{!@z|zdiny`irD(bX%RMu#!7Jg%z|j8i@47g3+~0@`R@mO&hfr%uczgOb?p?+a!d zaPn!_bV*srANyd9$2PVNAldwHwcTxs!}V)1CC!;`l`xYEU*#U)sPj^b%paK^Kiw|j z)Wfev=-5qpY06caaJM@m(y=W)A#y|B(`@t=f7b*Hbbhkb04steJ`_a=^1y#6f0njP z=Hhtf*IT#xbISFO-Cm?l2(lMd8wi3+ow}7n;z=80MGybY0N2SdNH(xsn6s`96eF>W z&kmZlEn$w%V^ZG)pa%B!&p(V=>I0+_!pb=Vz2eGKySM5t*cpmgIW=R` zr+b7T2$9&25b}JSwF#*7KeBnaP-iCiD<(V_4WSS}j!_eSx_8F-b66t{a3?Ic84a99 zP2)>G_?w|c-P+P)^_3Zp2IgwfK2>B}zI09w?OHh%b5)9#kr@0m5M|BySiDC)cdprD zp`{HQnTnt}B7OCKCWdmFp0ZW68vq1Duz@@9(AuT@Ife?fQ{g}JfV#D_d*w6>5TfkJ zyTlJj5ga9YD?4fi02Fk0GWho~xNiAV%+i@!rQFV)RtGGr!pxxW2LWM(wo=Ngc-i2A zmHkrH>M8;=w{a1ApVhgrwLANU+fn17CXVj(tIkL3e|JxxS3I#A$C0vIA*nB@hW|mGk?WZ?vG)QjgvD&~<##kf_`Hmk^ z!~9G*lRYfeXJgeHS7CI6`eh45Hb4IwiDXSh&N_IPf)~=dS1q1=t6u{%zNPTw`JM`~>1t3r=Y)=ehvpL0fjT_G1btCed1dVx3AJRk! zHk#JN^$ad2%?HCEv}ksrJAA2We(FfC^>38uUwH`S4%s~6ppGtWGF8MBkg_jZ%^3Y1 z9r?`GM3kXNe}5MrAJ(bp9%2J-3#vCB7RgQELz>L(Rzl4`I;+yDkdOIo>+2oCqJEYc zlz>+pm+eYrd#>T|^|hJigMj|k-<(vKS>1WI0-ivZANBqLS@>po@3oa3opx+BIWUS= zsiKo+348sbo3iSWR|Wo(zh);9E0VvP4#OUhCcQ98N;oeLB!4ldkhtN-S|$}rY3HlA zi1P6iU(0QhGVdl!S($-sFe}6%@M3+3&y2oWIx0?G+wm8pF&qmm1Oe2@s2Qu17|A-56bTgEM7zhiWw(WCPc9 zjClfz^Rwfn)YY1}RgK{ncPEV-I8{J%xkC5XICj<94m{TW<|?aeOleK3OYsK7^i^ zVcrmVcREd4y9I)v5u?S+#Kb!7rqj;2sXholMX2S+hzEpp-+)>iM`+5D$Z|BDAWU4N zBX_X_;5zR!;c40k(KA95vdx7iPYrTFtCyllLRV8M{>d3HBE;`pFee87pYOMwJhf*( zC^S5}l-@_P%@&q66$7?SY;k=vu-3bwD~I#jBIA55;CoC8C-$~-vusu91yXYx-E@pw z^Q{A=h#>DEmf7USAtE^#?4l>UtaJeCH)^3x2vZzO$uiUqg1Y1y2el=Mp+8K$al-v0 zK`X}eGGKj>lQg9`UpuF-x~oJtqbwD=p=aMTsc@*(N<@Q)&d#UQ zH8U+>vtkU+vCB(mhN??_jyIW0w}YSF;h0xyTb91Vf^Rlj4@ZDmw%Bc}mj_|;4&GA@ z5{TO6Q-$|jJ3IY|9;;)aY)CC6BMdc#rJHw1HBy%+D`22=?KI1b+W=l#Jzz!8${b+EWw>Knj$JUfH>$)Sw$ ztz_GQD>{QL-SI<8gy-m8{O4r<;Smkv0Av8KJqJS(ST>8C2?jPc#%?BpY>(0NZtkrgGv2Y;iED zvQZebSxLnUYP!Yi)9tz)b5&2#3Rsf}$C`Fc4r<;Ql3**$ISO)RbTiv^!fav`@z6=# zhjf4NA1=x0|MF=R65-$AZW$9Kmy5v`PJ2D0jdkT$fQ0BWEGu3SHAlia_w7bll?H+= z9lr-?w4ojh9sW2{P69K%v|N#ZW{kA3FTA_P8Ab|!?feOn@V$BLD$fCe1QHy&ApwGH zyv@@*=Tt)cPBuB;0)*snXyp7lN|!k~-Q8y1>yNwt3ecP0f)QIvpZkZ*$PJHzJZ@uh zn3Ao?uVN5mwz$A$3#d#8U!;kmICX~#o$43sL*Kvso6?3>A1mQmx*L&4I^g!Grdy9; zyQV6lckz~qj=5oN<0QH^Ab7d%A!qut-)Q9RlqB#3-yjk)M$%=t&Wm_OWMx&B-1+u6 zPIF+y2S~V2_Ug^f`|G7au@!Uq8u>wG7@UtEed*Kb)3wZ2qx?H^5p>)w0VnSG$}ftP z^>DY2Y+`pe>Tx6)1OPgH`FftaXV(~nc2GCKfLV(xCokd_Z&U~J>AaxU1m5PJ3$J)56V&=#8sYXd7gLqdMGsljx@2>fNvU9Y!( zJedW-{=vSEwkniZtBq>gU@?pCO!z(e1hf{OpW4Ws52n4R7M6)R_vK0ViGX85UNv-V z)2BQ}Do#8*4?y84Ud@85I8X_9d<7l8b6YNk)45GOWj;UfTCtN-k6;7muaDMV$p(74 ze8il6+Pt*IG=9fDHGKQR?wI^Z84tBRCn1^eQ4IA$W|dsrMm?{$5dmNm|8_u!?!6zT zHj$B29duKL4eigIjUlSVT@0rgIv7XPXq)F~kc^@_XBHTzw}wijJ%is=QC>KpnwxP! zgi|4HQ(}IY%E%W6=APE* ziIKC^On9&e)Q?Z{HK2)a`eb(1aw>`9dsO~;(TEk3h$k)XL8bE-`lW!UuD+dUJig%3# zKeT+0P17ztZVLu~*<1;d3)fQT!ISi0JL<9_t=eVHM7%!Ssp%rxmbwK<70r6|nFDl@ z818j&UE?{r$FSDRZhL!1&k~0eV!#?=cx^vff_e0t=;A(2{RCp(H8lqL zSHSi}RJ^reZ~yX`YZVdAKx>XYA1VnA^Bp+csl9p{5LeC~C%gBC-mkNtV`gDetbtKu zOT>}L4*7Ht+8%Q3hMf0lkULHBlS5nH7QGSOTRY=#VmJ}JA3J=5QTXxPD+_c;18Sa4KmJWwTx_~e)Orat&}%$LF@>zy)`gKA7?y*Bf#Hf^!Y+>kZf zMj+0sqg=4h5WLAP(}J0+adO?KUZe_j2Qs~G}xJMO@|IaHwm zGWLph=PaEn3dii8N$@nZHYw~~+K6Fw4q{qm2lu_;Gh#<(gINPIjjdkZfsO5q;s$mA zS6^sPoJ%VCA2n`QX=i1ji**Pg%+|m<>xZvxECs21#Mq)#7(!lz96vxr`HTFL|1JUe zi*YKo$wuCE@l1wQVD^xZe1b)(qBHA)sGSUcqMK+&{Ej@8am`wLN7W~Z)*IfyX$}9@ z>4cs^h3T=*wNJ$cZsJm^Y2eA}J`7P;ang=nXfLrZ|J&kebpj4vj)4`{F13z zqE;jSJ+690hV!@bU8?n|akU-_FA|&4VcGSfvJWrHKA3|x}?m0v0W^TU!=~=cpy8vJL0TaA;{OU6Xm*zOAXpkxOxQ_f%$W- zp+QcI7u`)NXHJ+^ZgsWa=`3e2 zI4ydcJA&?bnIf6&B;_0%iBH7fX@zt!B}}oyvO;UDv=0V>J*-)$w6?qLx>EIwMS;QO zI%|hsIRmGgI-Ke)M&>+S6@|%4+xV~k2`|>XI)+i83%h!rWEw=H36;Bhb@5X^Pnq2% zuTg*N_FAGCq!S6uL@pgQ{ zn7d_qCIuY)-WF{z$c?(}v#f~)#H~Wy!5)1{tqiJi2*zt-z6S)x&n;o3pF``8oRdiy z;WNX#h;Ke`whXHCX-Ka$UM{yKSCIuXSXjPMYfXA6JI*a&(P3H{?*QQVdZ;i(7*+EU z*ZF}0TLp9Ph>CYQCQ_gTYS9A&UP5JxeUtt&EuKYJnku|Q4m5u!LJkICD$+|laA2by zoy3{AQt2ZRBKgXLp{~7Yk~CFIYV=`vAO_TB8k3r$JXf0CDbs@u9eK!oR@NETtqnC8 zM!N%U^bx0$*OK^HLv0D2gGQG-X7yPUBKNgk&N58}O>qao*+sqXoX&+6z8OtO*ogNQF(8-Am(E{f`qLH zB*ZpP(FYwC?wevC|D#+8F8VRYj!vpez-?8EzKq#jqfzuZ8ph$^1a7uDhW)-G>fxYH zpdhG+<&x74JS%`B>z#El7rRP4E8C%_>);6*`?R6Imp6*oh1|uu$K!n>3+WDcvPCNa zX5hJr0v!S?SkN0FwI+Y!>gPtIC-kxvMIm31C?Xo~m|?S75R zlAcTVV?jqk>NPdzZ)Ttt=ny>M_Jv-fvUHjzsCIpC5PcqRl+W727UFLsGnpLo@6^n^ zWlcENG=fXGt`RLSqt~acx~;bqSg-R|IZoM&HQ;YmDoe#W$oeAU@PG%VBwRGbKegva z<(#F&N-M#Miy=T6;q2)o9Fm!mVSm-k@O^zQBx@Ic)zgf{-{ZUWSaFOm^@8m=#NtQw zX320!$`hF}$P{VO!%_t!jz!Lb6>-rC?zj!6zQ){p4>QAz0M2ALz8$BDO6}C{7p=S; z6>$IAenpep3*N%&9Vm$mL5{wog*l~*a>TMP8E5E}`C~LqA)Q2pvKcQ1ggwq!A+N;| zxi)Ji%Z7Bb6?ExK1uICC0d=yJoolg_X@iAq}ivoC= z_}B{ZD=az>n`!?HqRWWZl53}h;8kmAz)6G{K8Rehk{{%tMrtBcP*NR-} z>{~J2{j!mMZfB|3`Q-*=Cpx3o4v#mSR>=w{SAB7R#376jN0pZ@KPBDEwxMR(2tlhIRRVZfvo zpOk|}iYcRg!c*CZ!b2A=$1WGkI99e`lGa>w4^GzxoOz8DtKf zESW3!)Fen-O~k0Ktu7}vHM#=L3C#!Ev?Ocz6G(jxd$8=nvl^_Pd9URQHyOndc|?$7y8{K}v|? z6g2aF*RaUiXl z39xq^Gg1pkjYAcb1w|P#Bs4EGBgKV0zOPkHwjHh;sH6Ihd~FU6G^CK-gR!nswfBn{ zgB5BkyEK?r%?T>`U2jzwg5mf0#U>>< zFETkE>Y!jTqJ4;Ww&36(-)zX#TK5W<8vOk=ADWpjXk*94szoAaA^3I9dMA;7MW zEmHCK=1Uz*>xSK&08sDJdcU6K(EQtBkb)yYhNYQF=U=lvZdxfj=HV^}|8&oHn_%7f zrUy8ut9kFrN8aYE5ClOPtsDFVOg3vWl^bkY@`}cpQFXXSO61h$4sBTDcqsK7J#_}{ zH1A3+h>lz&UKOc2Tcpa!4ZkHyR?Eod4HCb$Ho<4t%L8BaBJ|-!0|m;8n2prb3HxZj zBmkZx53U&%A+k27-u04=dO2yvJ9hwWl-o0coU&f3dPw2m*B`gD+a4@2(`k6A*Io1N zmNTXA6(eS*asWrMx>sw_u}k_!EJ71f-StvfyC-!CPtRU;6)gX=sJ=@-N_inZx|c1O zZ`y?oW=>uGr*E1M&-dmGzqr8H#@uvbdP%pj22EQ@@KgaJ0h{M~-1>ycM#;-(?l z@M*Lok`z}KOzKBfx5N(QPU66?2v;ZlrrW)YrT7NpXsomyUr)fgFwIN3n(j@nkMJ~X zx@G*e>osgppg>_Lrr|e@g?Mkmm$W;S5K$Q@z2GFaWea5RSdf5Z@n56&`2{jpcnwd$eeZfV*PRTb`x=SMQ3bIBVeCX50i z-O3UDYpdkP1Yri{=ZRZwG@(~r>afE$vxL=L<(m`-P<@bVDe_}3qeOyNBK;(_S7VMg zsXy7xstcX-r$#a(2J)Z3DLC(3)EXeLH^%HnDRy#Q%1Am*1v8)|w=RLen)MP!Ml0-M z$2OM0Z17@x4A3?NG^q7`RmeBm5Qk`?y~%0{JMXe*64rVO&jluJ(|+qYx)$NGD!9()&!zdkUZPg=K+IP4%j@!&L zZDW#-m-Su_>_I=$S4V;lzuM$Glz?d0jE!9K7ji)zXF~c2J_bP7=4TfIqn$V`aw<+w zcsAWMZI)Nuagd%MYg;VJE_tY(+JM7lw_3h{y11y;dOg!fT2|@a3q=C;vwC zr)Pwb%K$ZP-pN{k7L1S`V0sewL#e!$1&2MTCF;2FnADB!XuK9?-|)ICVSB~)P8Sk7 zpVE%W+RseoQbCF6$$Ug)_XG!713D)}rA^OU6lZ7(@euu#{E{e z2wAP+4#hcW#Vd}C$=dkiwmY<+;H06wI2Y;x=lk?jW<7!2vjAbcEEyD^@ofK}J z5&u;Nth7G9e)j+|1>vNI=(5FyBX9L5Fa2+Zh)6T7gy=nHk5#@aJjKRu9$61GN>P9? z&{!2DPf+oR#Rfrb3k9D%-*7+o|8Od(}QnSU~Y)WCxC` zigXa+)9+$F*=1D+lHuD@Y$E$FUimHMTI304i)Ksn#kjZER4Q+pgMBzqHo_eRx>Ewk z6?bZWnV->aPH|b*d~|eHE7;%U*(LvGf~wrm5Jl3gM^hbpv^C3e zaE1>)EfL^+6)x_kVdE1IE$Akp)bkro?Un2V3g+Pq;44&Mqd^rItPXL%0Sx9`}h zq^W5AoxQunlI>P&=Hpu#;im}6r91Q;(ulv~xj6>0o$`1YCT!*3Hq#H62#r7siDnj2I^j2USf+Qb z^j8l1?Z+GORg+1tXl)O%JdYq`^@!$fXdoaUFd&q6Au_vTi@Q%>S8kvnAc$YRpqw(7fw_~TuA`H)0lkZI43q2t zBU+H_XE-kZH}MHS1%Pr^yD&Nt>EO-^LzMDz^tq|oC(uO^R*iQ1uea-rbYN8ueIK64 zu)`uRkcU2*ZYzrIQcM3xDJrUICB^?2Q23i}p(SxT6hlE+?))HTW~WT@wYA&e0k~j7 zJ+m15mOkTO@i8a93pq00pCa%%@jW}nxQ;rG zqDMxx*FDz=u}-|$@%g0Yu(!ryft!ZLXAqKxwLn~em2=5^i4BUr*x&IdHFV*)u-_;8 z5;`uj^;r#^nBSz}UX-I3#_Ay>}097=q1z5d(o_z#+Ft0&o45A1)BHY9^ywCTT zFuiGH%xBdETj6d^+aE3=!`|vHse1PbW|@n=@A6pi@9C0l&y`-@L`!VYHM90|c7z;% zXiQ|O?UX!elFCjClrGUu#<>b;GPKp{>#Zt8nr{+?gFNbAEtlyiFL58~?dF34wTJ*z ziL0?}OVd4EIZ0435Rm^jzY;(6ggw6U76uLi0{xY{f9&fLq}l(UGb2MgdN*sUe?$DG z?%#bwK|pYgpg_L=7KCoX0(pP3x znL$D5L4;WZl?<(%m7F<*ZLK&NO%FtqS?62dI=^+!+53O?-Uo%aLdFCFfv7+kAyGOB5<-3+ zBp{IW6%dFL_-lvp6vMi?CZw2ogn?P3DwivNwvGAmkQOWyh$+h_=dqGC$dRHQZq-x7 zd7nSIi5Knd?sS`54*K>4`AE0KfsWmo zoW14GD9ed#j)j_L?sByud86w*NgnjPIKhGKs`);@eqQ7tl%@RPt?96)(oce2C4)h# z3(P)YLIbxF8J|WAX%F+pVL$KA40dMNv7R2C1=vTdzuiAEfar|ub(<`tlvR$6m_@y2 zov{i_4=_1XEvqxSHRv}DZ`{99)4_NXOW)fS`$p&?f!^(p@~qw*Gs_rtexDacl2}J$ z{_d1lrsr&_A9|;_wnkhYpTAJ5I3z(`@=3deivcYu2s8uu&*2%})%EwmB z-p-){jZ7&3(>0$6;~S%K^rwWz4Z)_~8wKPta?m^0aY8)CJWsZ_pd_3R#^pEp##Z+c zEl(ONv;+ zqP>Sn&pwyZbu%ds(F7?~Gw%1#()96UU{(?g!P$nvIoKS}KSB@H#qH8v^5y~@86X?9 zwK|3@%O+9UA%}s*rJ&m`Hd>ZgU&XK>`ynVk4l9lG{H%V%Kx$_%`vI~>%Z*{!jilv#8XX6nND0;%#y zX;x$(3rmTYb_8BohmCIwI*fxnmwu_w@DmA(H&zBJg^;=J$-{U)mkE21KlDBL$H(~7zHM=S2-C#QYW^~& z#qayJ*WqEZQ}MGJ8PdGLtX^_N@y`-!y{6)8aF)7g>)=h7apu?o6z9hrAM%*ryhw*# zR#A7@vC4e1{s{+OOXuYpL;Bxr1_Bdo1qhqU=ZZ2F$u9=roGM9ooch|>7-rVYyePuj z-jA9OJWbAZ%ip_yHS@=(a>E=tBcxWhh%_F)JK{=OFciS6$Y5eNxS>aLX3n4^DlnT- z`rD7DeTTBhHEou?;fSpB!FukaBMC&!Jw@Qxm9eyzvm;WSQX2Ag z{t))AXqB2i$@*Pp^ZT+=i|rV2Mgpy$FioGu(qo&M#(I9p* z{~0Ch!KNNfB4OgY?PTk9d5sC_I%hm25cMB6S{IH}|fs(hbsOst#8htHY8c!TvAnSP0t*Izx>^w_Mx2kqJ z5)VI-N%I8NSSxZ|z-Bfj& zq)=8fwfH9Yf!6pUB(8e8H*(8Q=HSjd+Rl0XiQ%5fyxV(ZTvuT^9oLhuTfB60 zl4U(BWt#OA_Ae-A_o$%^X&na@vw89Q$h*$oZsfA9||3iPcyihA=cSSbicWq@m z<_=Tjq~_H0?7RI_VO-GiNU--cH97`#5{8Bljs7a7l^AseR@^%6V6jgtXO64x#;kl^ zz5#RmrATsO%(b4p6*!}9bi0YYAOb9jYgPCj`qrFEe|e(QH73UcrEa_4G}+c0!_=T0 z;hw~tW{0wczGC`%`vGH4PpXLYTg>b!`q3AwQ3<)1s}!uKA1u==eRsDfi!J-P&sDi0 zEO(ELURcYt`p+N17kSHNS>%{_lct?CqemJA6H<>3=$?F$yGNKSUoc$K3vh4dra>!) z@;l}_)oI8z$kk3(cQWW&?~q-VnFk@Jdk_!^FCY|#%R;g8_VKk9^D|78&}|3PMf#t| zLc_?e+TW|lc&#&2aE*=w|26$z5iS}Elv%+^Gk!L()y?LuW*4*dh1%P&M7Fa2UrRg3 zPIa9n*sIHw>)~!y2RCufC{AP8S(Qt?liB-(g847cSh5SA%l|OijTS1V=^EEB5RtG> z<~7MmWyVL`t-b;7T3L&T2xX*d7C1>z$dTxX2$~p89FP>k@beh(=Am@a@Li}k#yxine}oh_X?Ymzf9@>PSY}Nix+ieQN0=et*z&;f zng8eU9qn8#5?h@C3#e}WiaiVYHku!CTb6u+DLkLgEg#A=Y4#u{IllJV&YVV1zD%>I zq=#RzVBX;uPRF|tgQki8C$pcyY;5<&-K+ip46 z&w?exvqL9^FuuX)PAhB5&PQ?IddPPll)DzgPt900Qg*st>*R`nz-_hKQ?~i>ZG>&wzobwjqXz^VvV}AW$6r zH++%Zh-uKgOFmYJDfG-+gDT>Q5)}5Fo zzG)ZSUkeLSU1I;fcf>rgRR7@xul_F{5H1Qq4s7edG}b4ZZS zSv!!xTzeql_!LAkymbMQdtHHqW2+m8-Rlh^?*hpNhowFs_9q`H_mBuzqj+b3UtfPe a9Vo}c(a+D-XKf%z$zLGZV1G0S#0CI9szfpX literal 0 HcmV?d00001 diff --git a/lab2CA.runs/synth_1/CPU9bits_utilization_synth.rpt b/lab2CA.runs/synth_1/CPU9bits_tb_utilization_synth.rpt similarity index 64% rename from lab2CA.runs/synth_1/CPU9bits_utilization_synth.rpt rename to lab2CA.runs/synth_1/CPU9bits_tb_utilization_synth.rpt index 336ac7e..1e25d86 100644 --- a/lab2CA.runs/synth_1/CPU9bits_utilization_synth.rpt +++ b/lab2CA.runs/synth_1/CPU9bits_tb_utilization_synth.rpt @@ -1,13 +1,13 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------ +----------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Sat Apr 6 14:01:18 2019 +| Date : Sat Apr 6 16:04:50 2019 | Host : WM-G75VW running 64-bit major release (build 9200) -| Command : report_utilization -file CPU9bits_utilization_synth.rpt -pb CPU9bits_utilization_synth.pb -| Design : CPU9bits +| Command : report_utilization -file CPU9bits_tb_utilization_synth.rpt -pb CPU9bits_tb_utilization_synth.pb +| Design : CPU9bits_tb | Device : 7k160tifbg484-2L -| Design State : Synthesized ------------------------------------------------------------------------------------------------------------ +| Design State : Fully Placed +----------------------------------------------------------------------------------------------------------------- Utilization Design Information @@ -15,14 +15,15 @@ Table of Contents ----------------- 1. Slice Logic 1.1 Summary of Registers by Type -2. Memory -3. DSP -4. IO and GT Specific -5. Clocking -6. Specific Feature -7. Primitives -8. Black Boxes -9. Instantiated Netlists +2. Slice Logic Distribution +3. Memory +4. DSP +5. IO and GT Specific +6. Clocking +7. Specific Feature +8. Primitives +9. Black Boxes +10. Instantiated Netlists 1. Slice Logic -------------- @@ -30,16 +31,15 @@ Table of Contents +-------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-------------------------+------+-------+-----------+-------+ -| Slice LUTs* | 193 | 0 | 101400 | 0.19 | -| LUT as Logic | 193 | 0 | 101400 | 0.19 | +| Slice LUTs | 0 | 0 | 101400 | 0.00 | +| LUT as Logic | 0 | 0 | 101400 | 0.00 | | LUT as Memory | 0 | 0 | 35000 | 0.00 | -| Slice Registers | 81 | 0 | 202800 | 0.04 | -| Register as Flip Flop | 81 | 0 | 202800 | 0.04 | +| Slice Registers | 0 | 0 | 202800 | 0.00 | +| Register as Flip Flop | 0 | 0 | 202800 | 0.00 | | Register as Latch | 0 | 0 | 202800 | 0.00 | -| F7 Muxes | 11 | 0 | 50700 | 0.02 | +| F7 Muxes | 0 | 0 | 50700 | 0.00 | | F8 Muxes | 0 | 0 | 25350 | 0.00 | +-------------------------+------+-------+-----------+-------+ -* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. 1.1 Summary of Registers by Type @@ -57,25 +57,45 @@ Table of Contents | 0 | Yes | - | Set | | 0 | Yes | - | Reset | | 0 | Yes | Set | - | -| 81 | Yes | Reset | - | +| 0 | Yes | Reset | - | +-------+--------------+-------------+--------------+ -2. Memory +2. Slice Logic Distribution +--------------------------- + ++------------------------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++------------------------------------------+------+-------+-----------+-------+ +| Slice | 0 | 0 | 25350 | 0.00 | +| SLICEL | 0 | 0 | | | +| SLICEM | 0 | 0 | | | +| LUT as Logic | 0 | 0 | 101400 | 0.00 | +| LUT as Memory | 0 | 0 | 35000 | 0.00 | +| LUT as Distributed RAM | 0 | 0 | | | +| LUT as Shift Register | 0 | 0 | | | +| Slice Registers | 0 | 0 | 202800 | 0.00 | +| Register driven from within the Slice | 0 | | | | +| Register driven from outside the Slice | 0 | | | | +| Unique Control Sets | 0 | | 25350 | 0.00 | ++------------------------------------------+------+-------+-----------+-------+ +* Note: Available Control Sets calculated as Slice Registers / 8, Review the Control Sets Report for more information regarding control sets. + + +3. Memory --------- -+-------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-------------------+------+-------+-----------+-------+ -| Block RAM Tile | 0.5 | 0 | 325 | 0.15 | -| RAMB36/FIFO* | 0 | 0 | 325 | 0.00 | -| RAMB18 | 1 | 0 | 650 | 0.15 | -| RAMB18E1 only | 1 | | | | -+-------------------+------+-------+-----------+-------+ ++----------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++----------------+------+-------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 325 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 325 | 0.00 | +| RAMB18 | 0 | 0 | 650 | 0.00 | ++----------------+------+-------+-----------+-------+ * Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 -3. DSP +4. DSP ------ +-----------+------+-------+-----------+-------+ @@ -85,13 +105,13 @@ Table of Contents +-----------+------+-------+-----------+-------+ -4. IO and GT Specific +5. IO and GT Specific --------------------- +-----------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-----------------------------+------+-------+-----------+-------+ -| Bonded IOB | 12 | 0 | 285 | 4.21 | +| Bonded IOB | 0 | 0 | 285 | 0.00 | | Bonded IPADs | 0 | 0 | 14 | 0.00 | | Bonded OPADs | 0 | 0 | 8 | 0.00 | | PHY_CONTROL | 0 | 0 | 8 | 0.00 | @@ -112,13 +132,13 @@ Table of Contents +-----------------------------+------+-------+-----------+-------+ -5. Clocking +6. Clocking ----------- +------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +------------+------+-------+-----------+-------+ -| BUFGCTRL | 1 | 0 | 32 | 3.13 | +| BUFGCTRL | 0 | 0 | 32 | 0.00 | | BUFIO | 0 | 0 | 32 | 0.00 | | MMCME2_ADV | 0 | 0 | 8 | 0.00 | | PLLE2_ADV | 0 | 0 | 8 | 0.00 | @@ -128,7 +148,7 @@ Table of Contents +------------+------+-------+-----------+-------+ -6. Specific Feature +7. Specific Feature ------------------- +-------------+------+-------+-----------+-------+ @@ -146,27 +166,15 @@ Table of Contents +-------------+------+-------+-----------+-------+ -7. Primitives +8. Primitives ------------- +----------+------+---------------------+ | Ref Name | Used | Functional Category | +----------+------+---------------------+ -| LUT6 | 135 | LUT | -| FDRE | 81 | Flop & Latch | -| LUT5 | 33 | LUT | -| LUT4 | 23 | LUT | -| LUT3 | 21 | LUT | -| LUT2 | 13 | LUT | -| MUXF7 | 11 | MuxFx | -| OBUF | 10 | IO | -| IBUF | 2 | IO | -| RAMB18E1 | 1 | Block Memory | -| BUFG | 1 | Clock | -+----------+------+---------------------+ -8. Black Boxes +9. Black Boxes -------------- +----------+------+ @@ -174,8 +182,8 @@ Table of Contents +----------+------+ -9. Instantiated Netlists ------------------------- +10. Instantiated Netlists +------------------------- +----------+------+ | Ref Name | Used | diff --git a/lab2CA.runs/synth_1/CPU9bits_utilization_synth.pb b/lab2CA.runs/synth_1/CPU9bits_utilization_synth.pb deleted file mode 100644 index 14cb01249cafaf1e704987a1ac4492f008326991..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 289 zcmd;LGcqu=&@-OItPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- zKX7#JL#_X;!c9CL3KaeD4#*DJ{Y1a~DFc5t{al>)KX z$}=!HIR2GqXy|i3s<3EJo5NXEps@X1HHL;o&QH~DcFNlI8i7>a1(ISeON~M7wH6Ev z4fcP5B!kmYO9loHhe=i(PN8n@&LKfQXYD{r=Gp@Z$EP5Y;jIgZ-0KP?99!K$>|SpW yc^61FI4t!6u|N4pxrao!8pS*N`}+F(=|DLij(&cwK5GL(O8x@L2K%EyAT|ILDNi8) diff --git a/lab2CA.runs/synth_1/gen_run.xml b/lab2CA.runs/synth_1/gen_run.xml index 44d18d6..63302f3 100644 --- a/lab2CA.runs/synth_1/gen_run.xml +++ b/lab2CA.runs/synth_1/gen_run.xml @@ -1,12 +1,14 @@ - - - - - - - - + + + + + + + + + + @@ -75,7 +77,7 @@ diff --git a/lab2CA.runs/synth_1/htr.txt b/lab2CA.runs/synth_1/htr.txt index 641d4cb..b59ff1f 100644 --- a/lab2CA.runs/synth_1/htr.txt +++ b/lab2CA.runs/synth_1/htr.txt @@ -6,4 +6,4 @@ REM to be invoked for Vivado to track run status. REM Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. REM -vivado -log CPU9bits.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source CPU9bits.tcl +vivado -log CPU9bits_tb.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source CPU9bits_tb.tcl diff --git a/lab2CA.runs/synth_1/vivado.jou b/lab2CA.runs/synth_1/vivado.jou index fe3cd53..4c29279 100644 --- a/lab2CA.runs/synth_1/vivado.jou +++ b/lab2CA.runs/synth_1/vivado.jou @@ -2,11 +2,11 @@ # Vivado v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sat Apr 6 14:00:40 2019 -# Process ID: 8416 +# Start of session at: Sat Apr 6 16:04:16 2019 +# Process ID: 22928 # Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1 -# Command line: vivado.exe -log CPU9bits.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source CPU9bits.tcl -# Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.vds +# Command line: vivado.exe -log CPU9bits_tb.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source CPU9bits_tb.tcl +# Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits_tb.vds # Journal file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1\vivado.jou #----------------------------------------------------------- -source CPU9bits.tcl -notrace +source CPU9bits_tb.tcl -notrace diff --git a/lab2CA.runs/synth_1/vivado.pb b/lab2CA.runs/synth_1/vivado.pb index 6d9392fc435c9a4484d553c31fb285463a73c913..f27f908804bf866d5afb540e33c1a414e725b48e 100644 GIT binary patch delta 2229 zcmb7GeQZ-z6z9HnUH2$s>k4dx(l=S@XxH*S`d-_y1%ZhhbF&*7KL}x6TSl>K$6hxX z6lIVQHA6QJMh%%t3^G3mV>h~K0&e2Rh7k}FKY|HhV$`5c{sH1Y`0guAP{v08>Am;- ze!qL}x#yhwe%!11?JsIMy_Ghsh&MF_VxbboD$`lod`cAT8EuiaKq#IFM)_5=B)7*B z8`g!wa-=cFI1}+^W`+MzUqd7zuS+x>S$Y*AH(Xw2f+}jBiT8P!B}`)=5@X63j%8h5 zuK*X@EWqhpnHGBbEldb_7r|J?cIcwDh=mI_XX&qqb_AkN2ij$(AsP=p#cT-3Of1fX z+rqH~6O6|aE%7KLH;03f^^tHWOP5c1MSEI2Ah*l#XGI5*qF5hf7v>qYUZ+>wFs)g) zS=WW8Wl{8QKqe<1q5AbmA_(wj`{7&$i%o{ph>|3@XlsP(%u8gLU04k7W^nMz%t4Ld zBc|d#b3fF$S&lHVKU+fTx`M+W7|nW$7gLx4N>Cw+_#FNV5#1H?#x9&@<{3FM&g z4s(t2`3MD06Xd( z1>u^;r-%}PC*5vDCb(P7MI^Fh@jFTJi1lIQ%|VpgtFA5gEl|fqfOzs*$;WWs<|088 z1)@vBZNy!ribK4582oeDUM=b&mjVZu4?}&4R>N}Y4(JEyE-g`3OJS%&3$x1m#;rvE zYWWx?sK7mQ2N8*FO8nYNhjQ9f)M_hg(E7_1{a_>}Cjzlxn6X)98xvp8G{r-$(Hqm2 z@TS&COITJ?pJZ1o985&wF}av&XiYHjShSrO8Y4>fDXFFrMD|9m@n$;XW+tkmhwN%v2`Ao70!wsSL<5Yk9{wl~qH8lCZy0fDyepJ)hQv zV}S-ZtvA6wrPge#>hbl;`;bZW^0yS6=y7q9awAH?jrHz;Z>zRV2*6{#T7+MyK8#?C ze=YbP?z}q`mh@U+b8W+fD6%8!gRVMhW&u58JUok6KLAITnc#fg!mR(S3-Hab30qcI z>7c8A2GoRRL(j$vylCS_gnQOxX|WVIL=h9N2_4nrL+hSLP`_&j-re-R4spM*IKwwMjV;YUpt)PAL37mXp2?EcHMtr^7O5x(2ucCVr z>+hsA#0@ysRe6s@4+T=dh^4)!b>K@lC-?jJeP^^&LiTrhD17d%187pTdhgKuni2o~ zcK4Lv?@?0}jUO>$)1jB9B&tQK59YoOYE~IdS>-H)JxzUScq^Ula5}(`i}U_(NixY5 z;b;_STr@FnVhg!$*8|%<;}j4tX3Na3=b6D zi2${OdFd$<3k4skBDaZ>O!?}lf6@@bv&T*<&8+5=*1JP5KVbpeQ1#@X?}t|6E5k3N zG>_Zm;nW&D`K1e=IbMpC=N1`e!`bXPxb$RGKRkY*siqkT+_|?Lq1dr{yEJ wUDwhYBOeyq5gmo{Q5U6D<)+cX)L#x998DOc64qTJvJ6k&gVerSSbD**Cr~DxN!m$T;72>julC$sNw&e3 zDbQ(Wx}(wFyZ3zOoO|wf?%uP1xoP<5fU%w3!7gZxgu{Xy@RH?mwjit0;IP%_jYuOx zAfos~{Cd_FAC;A%{(u;lf-*5Hktk{H>h%mrN}QOZLQElWx@Y+r`2z-oAC!R4@NkKf zbCD(z6eO9fCY+VCxLhvy@ToG0?#xpsvaaVcZfGv7fEyc%)RXyZ3vtA;7Gm?-YWh?d)i#ssQ#kl;atWByYegH_0_*N+U$HvBcMj8 zTk>gY)RYa|3-i@ARc%HC$5A_Q`jIc-on;nyZ50PO%Ptw*y7?Q+Rxq?p^|#g78I-Bk zut!(aG8EffxE0KG7Y#i6glZ}ZnxM1(GulPS_(Bd|ZMcN~3WPwxhJ3iOY%LsaSP3^9 zFKAEAD5BWz#eC8PFpb&S?E!+HK62445%4>q2mZBz?h#}`Jo$4V`#g451u9XfEZ z+5#0G2XuXPFQX4%bEBWURfE~RpF%-ZHFZSY*tC?vj)l7T!KzCbnZhdS;=4wNoz6;I z3210-f?ut&!+u{Du#cYAq|EB)j~>Wkeh9nT{${FRjUJC4a#Jz$d+5sZ!qs&*XFS(} zJ-+^i!9iX66TGu{v3g^}YKHb{T%UfdgHdmF>}E=w4(BwII9wJt=S-0V`ulc6?Groa z7rO4>t$x&fih)1(yga}3zMie{R_|`OcBBg4e{x`608aelKBuXiHEv!9w~9-kX-ka} zd#b!;ARE?xEg!CK>r#KS?GuyQ7=4L>_x2X4?*$GUl0#*%J=_FWwk^f`a%hZJsP6`) zT%bO?Vkfe}@?-NNC(m1)R(>iJHYetblb;ca&n8OMYojejBSC5{-TD4wB5PP4FIZ4- z(vp++u^zT16!AYxx|@Ao(iIa!Qdp9O*eLM{(WoQ`3F#K25lq(FxF`}iqKITb3`L%+ zOW7$q!_3rqh+$t~8i3im4E}f^7p`>S(k8O-$%hBZX7rXA%nh*c+f_8X$9{gBmRA|} zTTH?i2@Hos(jkOJk|5nZn@H!tGooL?PFH7l4{0W~ZDLdzB0ET*6i|lhaG;M$rUY6t z3E;HiBoLEEBzaMgw6{}Wv#*77CmS{=NkH}6EgTidy&1zl0`41-t0VHR}?E+6}ep-2}11wZw|DSp$Dp z%eTPXU`}2QY>EzJmkcxMFkxU{Hgq6wyrgGHB#JPA3n~mmMnodTi9n*$I2Y5YrVI%R zi4R4dixUMQX@a7-qCN2s$6}(4GKvrsNn}uas4-d`i=);-B&daKjv#BMfy2Tma+kzJ zDI5)nVN@Unh!96o%Tr?L4`zTOjfim)5)?5;@MT23(A7_A_YH>>3H9JOx*;nP3I|9_ zbt;?C*%&oOlLrSPa%dF){Gx?2O8x83CT8rSf&Cq$1%)PEkPJp*nkikQU&J>nslClv zfj&f*){(Fj98&0n;==>xNK}!+LP(zup}mNV-N>*K9ahkbpcJQb@kfS30g4HWvEUfG zCCN%evpJyo9TUP9+yx>dQh+)n1Oga}SvApM4vC>C4G+3VAqYSx@Md8POqE%7r^i-r ziU{%1IKP}Nl=`hJ1HP401m|JgT#{|l76`t+r8qy^ZFahCuAzsbrX41=`Os>E+P~`% zbJx3FZgM9=E{?uA-m?#`A1|t&zP+{M&KA0TV?*Lrr4pP??Ti2J9#)&$ztS51XZEj& zt?B(M?c9T!-hX%RS~A{~m)gG4Q`6#pPuo{j?pggE#KPZq9z*`9`}epEuzybx)Vz3c z?A-rNvR1SCGZQr@AP&MobHSX+3KPA1K={r^Ox036los}t-_`L116+|;>cAt67!sm! z+>TZgtJRA?4hs4f-JOnC#($f2zdGnbtKWF-P3Aro(Gwb69xQ^(N3+#8ezfm_=)17F zNImxY_aBI^?Z=AL$v0kkAi8j#>gA)m3`OlTU-%XlORM9HAooN$J=r~S=P*5=;FV|< zLm}FL!*AElqorXME&4$w(bC(#o@&A44!yWU>L@ZBhSy{gn|gbaEe&GJh_R(H$rkPN zneEuqm}E=CWVYi-W0Eb}w`ImS)0kun`<%^@aXu~`;R4B0#7nL@WcKD3*;WQ#7I%i-wYZ@~TwV-ya68ev?Eb7*H zoPLvd*&5_=1zdhA3z!otEO!p4c)U?N0pfWrRj;7q!2Yy$9*wS9G$uJsKbB}$(nPv# zvuW|Gnhl#~bc-NAi-<(G7;KmDgi71=&#v)eR_rup$LJCyQb)#qhmPgu#!h2+jQT%? z#rlcbB_6sKv)Y};^ceMj3hPSRoqN7hj3+Vb{}h%MaCqq)nAL7F2MB2w75`8#N$7W3 zQcw?t>NzwkHkk|5LRTyPP1&{2i%sSPR@F&`U^ z35&%pR*hI@h6KO%fYx3H&Q+fyi%Lj}!!K(po_yNGd(2$2vf9r)RA6AAVfmz@o|quV zQAt>!r5=`uv^u6GD^_-B4!_xwxag0_0sPF1s(E)>^%`|ij;5M-tG7-~FxhUK#f4vA zzh^F0Po5cKFl($ngucSOL*yQDSY!R%eY|TTzLvRajwi{ zw>d0sc*0Wxos0=?o?FNOe17)$R|va6KOS1G7At-xEG}UevpHBZrmVurGmY@ibDhjp z=sdsKxPuMAuJXs>=K0brOTZtcRVGwTmXsgV5BjD`#$KNt8*v`)czn_VKbb6o V?@kut{ly%+*UEW0H>|wi{TITv{Ivi8