From e6cb8e536bb690250756a9d9fc296d9cb787d263 Mon Sep 17 00:00:00 2001 From: Johannes Date: Sat, 6 Apr 2019 17:51:44 -0400 Subject: [PATCH] Added Pipeline --- lab2CA.cache/wt/webtalk_pa.xml | 102 +++--- lab2CA.runs/.jobs/vrs_config_58.xml | 11 + lab2CA.runs/impl_1/CPU9bits.tcl | 9 +- lab2CA.runs/impl_1/CPU9bits.vdi | 270 ++++++++------- .../impl_1/CPU9bits_bus_skew_routed.rpt | 4 +- .../CPU9bits_clock_utilization_routed.rpt | 14 +- .../impl_1/CPU9bits_control_sets_placed.rpt | 28 +- lab2CA.runs/impl_1/CPU9bits_drc_opted.rpt | 4 +- lab2CA.runs/impl_1/CPU9bits_drc_routed.rpt | 4 +- lab2CA.runs/impl_1/CPU9bits_io_placed.rpt | 4 +- .../impl_1/CPU9bits_methodology_drc_routed.pb | Bin 52 -> 52 bytes .../CPU9bits_methodology_drc_routed.rpt | 237 +++++++++++-- lab2CA.runs/impl_1/CPU9bits_opt.dcp | Bin 37264 -> 41132 bytes lab2CA.runs/impl_1/CPU9bits_placed.dcp | Bin 163734 -> 169881 bytes lab2CA.runs/impl_1/CPU9bits_power_routed.rpt | 66 ++-- .../impl_1/CPU9bits_power_summary_routed.pb | Bin 722 -> 722 bytes lab2CA.runs/impl_1/CPU9bits_route_status.pb | Bin 43 -> 43 bytes lab2CA.runs/impl_1/CPU9bits_route_status.rpt | 10 +- lab2CA.runs/impl_1/CPU9bits_routed.dcp | Bin 178325 -> 182755 bytes lab2CA.runs/impl_1/CPU9bits_tb.vdi | 173 ---------- lab2CA.runs/impl_1/CPU9bits_tb_drc_opted.pb | Bin 37 -> 0 bytes lab2CA.runs/impl_1/CPU9bits_tb_drc_opted.rpt | 49 --- lab2CA.runs/impl_1/CPU9bits_tb_opt.dcp | Bin 3472 -> 0 bytes .../impl_1/CPU9bits_timing_summary_routed.rpt | 8 +- .../impl_1/CPU9bits_utilization_placed.pb | Bin 289 -> 289 bytes .../impl_1/CPU9bits_utilization_placed.rpt | 47 +-- lab2CA.runs/impl_1/gen_run.xml | 31 +- lab2CA.runs/impl_1/init_design.pb | Bin 1837 -> 1837 bytes lab2CA.runs/impl_1/opt_design.pb | Bin 12471 -> 12093 bytes lab2CA.runs/impl_1/place_design.pb | Bin 12212 -> 11906 bytes lab2CA.runs/impl_1/route_design.pb | Bin 12222 -> 12021 bytes lab2CA.runs/impl_1/vivado.jou | 10 +- lab2CA.runs/impl_1/vivado.pb | Bin 149 -> 149 bytes lab2CA.runs/impl_1/vivado_13064.backup.jou | 12 - lab2CA.runs/synth_1/CPU9bits.dcp | Bin 36344 -> 40321 bytes lab2CA.runs/synth_1/CPU9bits.tcl | 26 +- lab2CA.runs/synth_1/CPU9bits.vds | 322 ++++++++++-------- .../synth_1/CPU9bits_utilization_synth.pb | Bin 289 -> 289 bytes .../synth_1/CPU9bits_utilization_synth.rpt | 30 +- lab2CA.runs/synth_1/gen_run.xml | 33 +- lab2CA.runs/synth_1/vivado.jou | 10 +- lab2CA.runs/synth_1/vivado.pb | Bin 50521 -> 56264 bytes lab2CA.sim/sim_1/behav/xsim/CPU9bits.tcl | 11 + .../sim_1/behav/xsim/CPU9bits_tb_vlog.prj | 3 + lab2CA.sim/sim_1/behav/xsim/CPU9bits_vlog.prj | 19 ++ lab2CA.sim/sim_1/behav/xsim/webtalk.jou | 14 +- ...056.backup.jou => webtalk_2532.backup.jou} | 8 +- ...80.backup.jou => webtalk_28052.backup.jou} | 6 +- ...344.backup.jou => webtalk_8732.backup.jou} | 8 +- lab2CA.sim/sim_1/behav/xsim/xelab.pb | Bin 969 -> 4915 bytes .../CPU9bits_behav/Compile_Options.txt | 1 + .../CPU9bits_behav/TempBreakPointFile.txt | 1 + .../xsim/xsim.dir/CPU9bits_behav/obj/xsim_1.c | 210 ++++++++++++ .../webtalk/usage_statistics_ext_xsim.xml | 44 +++ .../CPU9bits_behav/webtalk/xsim_webtalk.tcl | 32 ++ .../xsim/xsim.dir/CPU9bits_behav/xsim.mem | Bin 0 -> 21203 bytes .../xsim.dir/CPU9bits_tb_behav/obj/xsim_1.c | 190 +++++++---- .../webtalk/usage_statistics_ext_xsim.xml | 28 +- .../webtalk/xsim_webtalk.tcl | 42 +++ .../xsim/xsim.dir/CPU9bits_tb_behav/xsim.mem | Bin 19284 -> 21455 bytes lab2CA.sim/sim_1/behav/xsim/xvlog.pb | Bin 16 -> 9619 bytes lab2CA.srcs/sources_1/new/BasicModules.v | 33 +- lab2CA.srcs/sources_1/new/CPU9bits.v | 282 ++++----------- .../sources_1/new/CPU9bitsRemastered.v | 26 ++ lab2CA.srcs/sources_1/new/EMModule.v | 120 +++++++ lab2CA.srcs/sources_1/new/FDModule.v | 60 ++++ lab2CA.srcs/sources_1/new/RegFile.v | 5 +- lab2CA.srcs/sources_1/new/WMUdule.v | 58 ++++ lab2CA.srcs/sources_1/new/wModule.v | 26 ++ lab2CA.xpr | 27 +- 70 files changed, 1721 insertions(+), 1047 deletions(-) create mode 100644 lab2CA.runs/.jobs/vrs_config_58.xml delete mode 100644 lab2CA.runs/impl_1/CPU9bits_tb.vdi delete mode 100644 lab2CA.runs/impl_1/CPU9bits_tb_drc_opted.pb delete mode 100644 lab2CA.runs/impl_1/CPU9bits_tb_drc_opted.rpt delete mode 100644 lab2CA.runs/impl_1/CPU9bits_tb_opt.dcp delete mode 100644 lab2CA.runs/impl_1/vivado_13064.backup.jou create mode 100644 lab2CA.sim/sim_1/behav/xsim/CPU9bits.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/CPU9bits_vlog.prj rename lab2CA.sim/sim_1/behav/xsim/{webtalk_12056.backup.jou => webtalk_2532.backup.jou} (72%) rename lab2CA.sim/sim_1/behav/xsim/{webtalk_5080.backup.jou => webtalk_28052.backup.jou} (84%) rename lab2CA.sim/sim_1/behav/xsim/{webtalk_11344.backup.jou => webtalk_8732.backup.jou} (71%) create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_behav/Compile_Options.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_behav/TempBreakPointFile.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_behav/obj/xsim_1.c create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_behav/webtalk/xsim_webtalk.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_behav/xsim.mem create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/xsim_webtalk.tcl create mode 100644 lab2CA.srcs/sources_1/new/CPU9bitsRemastered.v create mode 100644 lab2CA.srcs/sources_1/new/EMModule.v create mode 100644 lab2CA.srcs/sources_1/new/FDModule.v create mode 100644 lab2CA.srcs/sources_1/new/WMUdule.v create mode 100644 lab2CA.srcs/sources_1/new/wModule.v diff --git a/lab2CA.cache/wt/webtalk_pa.xml b/lab2CA.cache/wt/webtalk_pa.xml index 652ae82..fd95878 100644 --- a/lab2CA.cache/wt/webtalk_pa.xml +++ b/lab2CA.cache/wt/webtalk_pa.xml @@ -3,10 +3,10 @@ - +
- +
@@ -17,40 +17,41 @@ This means code written to parse this file will need to be revisited each subseq - - + + + - - + + - + - + - - - - + + + + - + - + @@ -58,27 +59,28 @@ This means code written to parse this file will need to be revisited each subseq - - - + + + - + + - + - - - + + + - + @@ -86,14 +88,14 @@ This means code written to parse this file will need to be revisited each subseq - + - + - + @@ -107,8 +109,9 @@ This means code written to parse this file will need to be revisited each subseq - + + @@ -117,21 +120,22 @@ This means code written to parse this file will need to be revisited each subseq - - + + + - - + + - + - + @@ -139,30 +143,30 @@ This means code written to parse this file will need to be revisited each subseq - + - + - - + + - + - + - + - + - + @@ -172,29 +176,31 @@ This means code written to parse this file will need to be revisited each subseq - + - + + + - + - + - + - +
diff --git a/lab2CA.runs/.jobs/vrs_config_58.xml b/lab2CA.runs/.jobs/vrs_config_58.xml new file mode 100644 index 0000000..b013dc9 --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_58.xml @@ -0,0 +1,11 @@ + + + + + + + + + + + diff --git a/lab2CA.runs/impl_1/CPU9bits.tcl b/lab2CA.runs/impl_1/CPU9bits.tcl index 8e1b126..00d37da 100644 --- a/lab2CA.runs/impl_1/CPU9bits.tcl +++ b/lab2CA.runs/impl_1/CPU9bits.tcl @@ -67,14 +67,15 @@ start_step init_design set ACTIVE_STEP init_design set rc [catch { create_msg_db init_design.pb + set_param synth.incrementalSynthesisCache C:/Users/ecelab/AppData/Roaming/Xilinx/Vivado/.Xil/Vivado-10176-DESKTOP-8QFGS52/incrSyn create_project -in_memory -part xc7k160tifbg484-2L set_property design_mode GateLvl [current_fileset] set_param project.singleFileAddWarning.threshold 0 - set_property webtalk.parent_dir {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.cache/wt} [current_project] - set_property parent.project_path {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.xpr} [current_project] - set_property ip_output_repo {{C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.cache/ip}} [current_project] + set_property webtalk.parent_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.cache/wt [current_project] + set_property parent.project_path C:/Users/ecelab/ECE3570-Lab/lab2CA.xpr [current_project] + set_property ip_output_repo C:/Users/ecelab/ECE3570-Lab/lab2CA.cache/ip [current_project] set_property ip_cache_permissions {read write} [current_project] - add_files -quiet {{C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.dcp}} + add_files -quiet C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.dcp link_design -top CPU9bits -part xc7k160tifbg484-2L close_msg_db -file init_design.pb } RESULT] diff --git a/lab2CA.runs/impl_1/CPU9bits.vdi b/lab2CA.runs/impl_1/CPU9bits.vdi index 492611e..df49391 100644 --- a/lab2CA.runs/impl_1/CPU9bits.vdi +++ b/lab2CA.runs/impl_1/CPU9bits.vdi @@ -2,29 +2,29 @@ # Vivado v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sat Mar 30 15:53:31 2019 -# Process ID: 13696 -# Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1 +# Start of session at: Sat Apr 6 17:33:53 2019 +# Process ID: 9496 +# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1 # Command line: vivado.exe -log CPU9bits.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source CPU9bits.tcl -notrace -# Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits.vdi -# Journal file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1\vivado.jou +# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits.vdi +# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1\vivado.jou #----------------------------------------------------------- source CPU9bits.tcl -notrace Command: link_design -top CPU9bits -part xc7k160tifbg484-2L Design is defaulting to srcset: sources_1 Design is defaulting to constrset: constrs_1 -INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement +INFO: [Netlist 29-17] Analyzing 2 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2018.3 INFO: [Device 21-403] Loading part xc7k160tifbg484-2L INFO: [Project 1-570] Preparing netlist for logic optimization -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 581.816 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 577.664 ; gain = 0.000 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. 6 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. link_design completed successfully -link_design: Time (s): cpu = 00:00:08 ; elapsed = 00:00:11 . Memory (MB): peak = 587.391 ; gain = 332.746 +link_design: Time (s): cpu = 00:00:06 ; elapsed = 00:00:16 . Memory (MB): peak = 583.055 ; gain = 324.613 Command: opt_design Attempting to get a license for feature 'Implementation' and/or device 'xc7k160ti' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160ti' @@ -35,53 +35,53 @@ INFO: [DRC 23-27] Running DRC with 2 threads INFO: [Project 1-461] DRC finished with 0 Errors INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 603.059 ; gain = 15.668 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.719 . Memory (MB): peak = 595.676 ; gain = 12.621 Starting Cache Timing Information Task INFO: [Timing 38-35] Done setting XDC timing constraints. -Ending Cache Timing Information Task | Checksum: 257e1e38 +Ending Cache Timing Information Task | Checksum: 178a9fcd1 -Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 1127.293 ; gain = 524.234 +Time (s): cpu = 00:00:09 ; elapsed = 00:00:10 . Memory (MB): peak = 1128.926 ; gain = 533.250 Starting Logic Optimization Task Phase 1 Retarget INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: 257e1e38 +Phase 1 Retarget | Checksum: 11e80142d -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.074 . Memory (MB): peak = 1225.961 ; gain = 0.000 -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.086 . Memory (MB): peak = 1224.855 ; gain = 0.000 +INFO: [Opt 31-389] Phase Retarget created 1 cells and removed 1 cells Phase 2 Constant propagation INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: 257e1e38 +Phase 2 Constant propagation | Checksum: 11e80142d -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.078 . Memory (MB): peak = 1225.961 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.090 . Memory (MB): peak = 1224.855 ; gain = 0.000 INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells Phase 3 Sweep -Phase 3 Sweep | Checksum: 257e1e38 +Phase 3 Sweep | Checksum: 11e80142d -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.083 . Memory (MB): peak = 1225.961 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.098 . Memory (MB): peak = 1224.855 ; gain = 0.000 INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells Phase 4 BUFG optimization -Phase 4 BUFG optimization | Checksum: 257e1e38 +Phase 4 BUFG optimization | Checksum: 11e80142d -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.093 . Memory (MB): peak = 1225.961 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.103 . Memory (MB): peak = 1224.855 ; gain = 0.000 INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: 257e1e38 +Phase 5 Shift Register Optimization | Checksum: 8b9eda27 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.196 . Memory (MB): peak = 1225.961 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.145 . Memory (MB): peak = 1224.855 ; gain = 0.000 INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: 257e1e38 +Phase 6 Post Processing Netlist | Checksum: 8b9eda27 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.199 . Memory (MB): peak = 1225.961 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.147 . Memory (MB): peak = 1224.855 ; gain = 0.000 INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells Opt_design Change Summary ========================= @@ -90,7 +90,7 @@ Opt_design Change Summary ------------------------------------------------------------------------------------------------------------------------- | Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | ------------------------------------------------------------------------------------------------------------------------- -| Retarget | 0 | 0 | 0 | +| Retarget | 1 | 1 | 0 | | Constant propagation | 0 | 0 | 0 | | Sweep | 0 | 0 | 0 | | BUFG optimization | 0 | 0 | 0 | @@ -102,10 +102,10 @@ Opt_design Change Summary Starting Connectivity Check Task -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1225.961 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: 257e1e38 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1224.855 ; gain = 0.000 +Ending Logic Optimization Task | Checksum: 8b9eda27 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.206 . Memory (MB): peak = 1225.961 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.150 . Memory (MB): peak = 1224.855 ; gain = 0.000 Starting Power Optimization Task INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. @@ -124,40 +124,39 @@ Starting PowerOpt Patch Enables Task INFO: [Pwropt 34-162] WRITE_MODE attribute of 0 BRAM(s) out of a total of 1 has been updated to save power. Run report_power_opt to get a complete listing of the BRAMs updated. INFO: [Pwropt 34-201] Structural ODC has moved 0 WE to EN ports Number of BRAM Ports augmented: 0 newly gated: 0 Total Ports: 2 -Ending PowerOpt Patch Enables Task | Checksum: 257e1e38 +Ending PowerOpt Patch Enables Task | Checksum: 8b9eda27 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.022 . Memory (MB): peak = 1335.719 ; gain = 0.000 -Ending Power Optimization Task | Checksum: 257e1e38 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.013 . Memory (MB): peak = 1334.406 ; gain = 0.000 +Ending Power Optimization Task | Checksum: 8b9eda27 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1335.719 ; gain = 109.758 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.786 . Memory (MB): peak = 1334.406 ; gain = 109.551 Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: 257e1e38 +Ending Final Cleanup Task | Checksum: 8b9eda27 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000 Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1335.719 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: 257e1e38 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000 +Ending Netlist Obfuscation Task | Checksum: 8b9eda27 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000 INFO: [Common 17-83] Releasing license: Implementation 28 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. opt_design completed successfully -opt_design: Time (s): cpu = 00:00:21 ; elapsed = 00:00:22 . Memory (MB): peak = 1335.719 ; gain = 748.328 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1335.719 ; gain = 0.000 +opt_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1334.406 ; gain = 751.352 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000 WARNING: [Constraints 18-5210] No constraints selected for write. Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. -INFO: [Common 17-1381] The checkpoint 'C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_opt.dcp' has been generated. +INFO: [Common 17-1381] The checkpoint 'C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_opt.dcp' has been generated. INFO: [runtcl-4] Executing : report_drc -file CPU9bits_drc_opted.rpt -pb CPU9bits_drc_opted.pb -rpx CPU9bits_drc_opted.rpx Command: report_drc -file CPU9bits_drc_opted.rpt -pb CPU9bits_drc_opted.pb -rpx CPU9bits_drc_opted.rpx INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2018.3/data/ip'. INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_drc_opted.rpt. +INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_drc_opted.rpt. report_drc completed successfully -report_drc: Time (s): cpu = 00:00:08 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000 Command: place_design Attempting to get a license for feature 'Implementation' and/or device 'xc7k160ti' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160ti' @@ -175,128 +174,127 @@ INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of Phase 1 Placer Initialization Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1335.719 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 1e0025bd +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 44f3ef01 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.010 . Memory (MB): peak = 1335.719 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1334.406 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000 Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: be8e8081 +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: b481c8c5 -Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1334.406 ; gain = 0.000 Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 154227d99 +Phase 1.3 Build Placer Netlist Model | Checksum: 16bafe571 -Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1334.406 ; gain = 0.000 Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 154227d99 +Phase 1.4 Constrain Clocks/Macros | Checksum: 16bafe571 -Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1335.719 ; gain = 0.000 -Phase 1 Placer Initialization | Checksum: 154227d99 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1334.406 ; gain = 0.000 +Phase 1 Placer Initialization | Checksum: 16bafe571 -Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1334.406 ; gain = 0.000 Phase 2 Global Placement Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 154227d99 +Phase 2.1 Floorplanning | Checksum: 16bafe571 -Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1334.406 ; gain = 0.000 WARNING: [Place 46-29] place_design is not in timing mode. Skip physical synthesis in placer -Phase 2 Global Placement | Checksum: 168f30526 +Phase 2 Global Placement | Checksum: 187ab5e99 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 Phase 3 Detail Placement Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 168f30526 +Phase 3.1 Commit Multi Column Macros | Checksum: 187ab5e99 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 10b26ca05 +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 168760e64 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 171e1f517 +Phase 3.3 Area Swap Optimization | Checksum: 105becb87 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 171e1f517 +Phase 3.4 Pipeline Register Optimization | Checksum: 105becb87 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: eb242549 +Phase 3.5 Small Shape Detail Placement | Checksum: cd32f4e6 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: eb242549 +Phase 3.6 Re-assign LUT pins | Checksum: cd32f4e6 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: eb242549 +Phase 3.7 Pipeline Register Optimization | Checksum: cd32f4e6 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000 -Phase 3 Detail Placement | Checksum: eb242549 +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 +Phase 3 Detail Placement | Checksum: cd32f4e6 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 Phase 4 Post Placement Optimization and Clean-Up Phase 4.1 Post Commit Optimization -Phase 4.1 Post Commit Optimization | Checksum: eb242549 +Phase 4.1 Post Commit Optimization | Checksum: cd32f4e6 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: eb242549 +Phase 4.2 Post Placement Cleanup | Checksum: cd32f4e6 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: eb242549 +Phase 4.3 Placer Reporting | Checksum: cd32f4e6 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1335.719 ; gain = 0.000 -Phase 4.4 Final Placement Cleanup | Checksum: eb242549 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000 +Phase 4.4 Final Placement Cleanup | Checksum: 18c80bbbe -Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: eb242549 +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 18c80bbbe -Time (s): cpu = 00:00:08 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000 -Ending Placer Task | Checksum: 99ceed10 +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 +Ending Placer Task | Checksum: 101790dce -Time (s): cpu = 00:00:08 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 INFO: [Common 17-83] Releasing license: Implementation 45 Infos, 3 Warnings, 0 Critical Warnings and 0 Errors encountered. place_design completed successfully -place_design: Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1335.719 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1335.719 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000 WARNING: [Constraints 18-5210] No constraints selected for write. Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. Writing placer database... Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.323 . Memory (MB): peak = 1335.719 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_placed.dcp' has been generated. +Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.097 . Memory (MB): peak = 1334.406 ; gain = 0.000 +INFO: [Common 17-1381] The checkpoint 'C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_placed.dcp' has been generated. INFO: [runtcl-4] Executing : report_io -file CPU9bits_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.130 . Memory (MB): peak = 1335.719 ; gain = 0.000 +report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.054 . Memory (MB): peak = 1334.406 ; gain = 0.000 INFO: [runtcl-4] Executing : report_utilization -file CPU9bits_utilization_placed.rpt -pb CPU9bits_utilization_placed.pb INFO: [runtcl-4] Executing : report_control_sets -verbose -file CPU9bits_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.009 . Memory (MB): peak = 1335.719 ; gain = 0.000 +report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1334.406 ; gain = 0.000 Command: route_design Attempting to get a license for feature 'Implementation' and/or device 'xc7k160ti' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160ti' @@ -308,68 +306,68 @@ INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more in Starting Routing Task INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs -Checksum: PlaceDB: 7bcec753 ConstDB: 0 ShapeSum: 1e0025bd RouteDB: 0 +Checksum: PlaceDB: 2e37d8f5 ConstDB: 0 ShapeSum: d34134d9 RouteDB: 0 Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: 16c615449 +Phase 1 Build RT Design | Checksum: 7ebb6ebf -Time (s): cpu = 00:00:50 ; elapsed = 00:00:39 . Memory (MB): peak = 1486.191 ; gain = 150.473 -Post Restoration Checksum: NetGraph: 8cbcc684 NumContArr: dfa48dc5 Constraints: 0 Timing: 0 +Time (s): cpu = 00:00:27 ; elapsed = 00:00:21 . Memory (MB): peak = 1485.609 ; gain = 151.203 +Post Restoration Checksum: NetGraph: 10180109 NumContArr: 6ea36db6 Constraints: 0 Timing: 0 Phase 2 Router Initialization INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode. Phase 2.1 Fix Topology Constraints -Phase 2.1 Fix Topology Constraints | Checksum: 16c615449 +Phase 2.1 Fix Topology Constraints | Checksum: 7ebb6ebf -Time (s): cpu = 00:00:50 ; elapsed = 00:00:39 . Memory (MB): peak = 1490.352 ; gain = 154.633 +Time (s): cpu = 00:00:27 ; elapsed = 00:00:21 . Memory (MB): peak = 1489.359 ; gain = 154.953 Phase 2.2 Pre Route Cleanup -Phase 2.2 Pre Route Cleanup | Checksum: 16c615449 +Phase 2.2 Pre Route Cleanup | Checksum: 7ebb6ebf -Time (s): cpu = 00:00:50 ; elapsed = 00:00:39 . Memory (MB): peak = 1490.352 ; gain = 154.633 +Time (s): cpu = 00:00:27 ; elapsed = 00:00:21 . Memory (MB): peak = 1489.359 ; gain = 154.953 Number of Nodes with overlaps = 0 -Phase 2 Router Initialization | Checksum: 10053be5d +Phase 2 Router Initialization | Checksum: dbaddab7 -Time (s): cpu = 00:00:50 ; elapsed = 00:00:39 . Memory (MB): peak = 1517.723 ; gain = 182.004 +Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676 Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 94ab7af4 +Phase 3 Initial Routing | Checksum: ad0f318a -Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004 +Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676 Phase 4 Rip-up And Reroute Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 15 + Number of Nodes with overlaps = 4 Number of Nodes with overlaps = 0 -Phase 4.1 Global Iteration 0 | Checksum: ab64b9a3 +Phase 4.1 Global Iteration 0 | Checksum: 1246629fb -Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004 -Phase 4 Rip-up And Reroute | Checksum: ab64b9a3 +Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676 +Phase 4 Rip-up And Reroute | Checksum: 1246629fb -Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004 +Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676 Phase 5 Delay and Skew Optimization -Phase 5 Delay and Skew Optimization | Checksum: ab64b9a3 +Phase 5 Delay and Skew Optimization | Checksum: 1246629fb -Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004 +Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676 Phase 6 Post Hold Fix Phase 6.1 Hold Fix Iter -Phase 6.1 Hold Fix Iter | Checksum: ab64b9a3 +Phase 6.1 Hold Fix Iter | Checksum: 1246629fb -Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004 -Phase 6 Post Hold Fix | Checksum: ab64b9a3 +Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676 +Phase 6 Post Hold Fix | Checksum: 1246629fb -Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004 +Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676 Phase 7 Route finalize Router Utilization Summary - Global Vertical Routing Utilization = 0.00626714 % - Global Horizontal Routing Utilization = 0.0102302 % + Global Vertical Routing Utilization = 0.00477869 % + Global Horizontal Routing Utilization = 0.00797101 % Routable Net Status* *Does not include unroutable nets such as driverless and loadless. Run report_route_status for detailed report. @@ -379,10 +377,10 @@ Router Utilization Summary Number of Node Overlaps = 0 Congestion Report -North Dir 1x1 Area, Max Cong = 18.9189%, No Congested Regions. -South Dir 1x1 Area, Max Cong = 10.8108%, No Congested Regions. -East Dir 1x1 Area, Max Cong = 22.0588%, No Congested Regions. -West Dir 1x1 Area, Max Cong = 27.9412%, No Congested Regions. +North Dir 1x1 Area, Max Cong = 9.00901%, No Congested Regions. +South Dir 1x1 Area, Max Cong = 13.5135%, No Congested Regions. +East Dir 1x1 Area, Max Cong = 16.1765%, No Congested Regions. +West Dir 1x1 Area, Max Cong = 14.7059%, No Congested Regions. ------------------------------ Reporting congestion hotspots @@ -404,50 +402,50 @@ Direction: West Congested clusters found at Level 0 Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Phase 7 Route finalize | Checksum: ab64b9a3 +Phase 7 Route finalize | Checksum: 1246629fb -Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004 +Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676 Phase 8 Verifying routed nets Verification completed successfully -Phase 8 Verifying routed nets | Checksum: ab64b9a3 +Phase 8 Verifying routed nets | Checksum: 1246629fb -Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004 +Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676 Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 148b7f565 +Phase 9 Depositing Routes | Checksum: 1219f5402 -Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004 +Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676 INFO: [Route 35-16] Router Completed Successfully -Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004 +Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676 Routing Is Done. INFO: [Common 17-83] Releasing license: Implementation 57 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. route_design completed successfully -route_design: Time (s): cpu = 00:00:56 ; elapsed = 00:00:42 . Memory (MB): peak = 1517.723 ; gain = 182.004 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1517.723 ; gain = 0.000 +route_design: Time (s): cpu = 00:00:31 ; elapsed = 00:00:30 . Memory (MB): peak = 1516.082 ; gain = 181.676 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1516.082 ; gain = 0.000 WARNING: [Constraints 18-5210] No constraints selected for write. Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. Writing placer database... Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.074 . Memory (MB): peak = 1517.723 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_routed.dcp' has been generated. +Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.062 . Memory (MB): peak = 1516.082 ; gain = 0.000 +INFO: [Common 17-1381] The checkpoint 'C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_routed.dcp' has been generated. INFO: [runtcl-4] Executing : report_drc -file CPU9bits_drc_routed.rpt -pb CPU9bits_drc_routed.pb -rpx CPU9bits_drc_routed.rpx Command: report_drc -file CPU9bits_drc_routed.rpt -pb CPU9bits_drc_routed.pb -rpx CPU9bits_drc_routed.rpx INFO: [IP_Flow 19-1839] IP Catalog is up to date. INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_drc_routed.rpt. +INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_drc_routed.rpt. report_drc completed successfully INFO: [runtcl-4] Executing : report_methodology -file CPU9bits_methodology_drc_routed.rpt -pb CPU9bits_methodology_drc_routed.pb -rpx CPU9bits_methodology_drc_routed.rpx Command: report_methodology -file CPU9bits_methodology_drc_routed.rpt -pb CPU9bits_methodology_drc_routed.pb -rpx CPU9bits_methodology_drc_routed.rpx INFO: [Timing 38-35] Done setting XDC timing constraints. INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.rpt. +INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.rpt. report_methodology completed successfully INFO: [runtcl-4] Executing : report_power -file CPU9bits_power_routed.rpt -pb CPU9bits_power_summary_routed.pb -rpx CPU9bits_power_routed.rpx Command: report_power -file CPU9bits_power_routed.rpt -pb CPU9bits_power_summary_routed.pb -rpx CPU9bits_power_routed.rpx @@ -470,4 +468,4 @@ INFO: [runtcl-4] Executing : report_clock_utilization -file CPU9bits_clock_utili INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file CPU9bits_bus_skew_routed.rpt -pb CPU9bits_bus_skew_routed.pb -rpx CPU9bits_bus_skew_routed.rpx INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -2L, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Sat Mar 30 15:55:20 2019... +INFO: [Common 17-206] Exiting Vivado at Sat Apr 6 17:35:04 2019... diff --git a/lab2CA.runs/impl_1/CPU9bits_bus_skew_routed.rpt b/lab2CA.runs/impl_1/CPU9bits_bus_skew_routed.rpt index dad6504..1253210 100644 --- a/lab2CA.runs/impl_1/CPU9bits_bus_skew_routed.rpt +++ b/lab2CA.runs/impl_1/CPU9bits_bus_skew_routed.rpt @@ -1,8 +1,8 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. --------------------------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Sat Mar 30 15:55:20 2019 -| Host : WM-G75VW running 64-bit major release (build 9200) +| Date : Sat Apr 6 17:35:04 2019 +| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) | Command : report_bus_skew -warn_on_violation -file CPU9bits_bus_skew_routed.rpt -pb CPU9bits_bus_skew_routed.pb -rpx CPU9bits_bus_skew_routed.rpx | Design : CPU9bits | Device : 7k160ti-fbg484 diff --git a/lab2CA.runs/impl_1/CPU9bits_clock_utilization_routed.rpt b/lab2CA.runs/impl_1/CPU9bits_clock_utilization_routed.rpt index be7e934..7b65097 100644 --- a/lab2CA.runs/impl_1/CPU9bits_clock_utilization_routed.rpt +++ b/lab2CA.runs/impl_1/CPU9bits_clock_utilization_routed.rpt @@ -1,8 +1,8 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Sat Mar 30 15:55:20 2019 -| Host : WM-G75VW running 64-bit major release (build 9200) +| Date : Sat Apr 6 17:35:04 2019 +| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) | Command : report_clock_utilization -file CPU9bits_clock_utilization_routed.rpt | Design : CPU9bits | Device : 7k160ti-fbg484 @@ -44,7 +44,7 @@ Table of Contents +-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ | Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | +-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ -| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y0 | n/a | 1 | 22 | 0 | | | clk_IBUF_BUFG_inst/O | clk_IBUF_BUFG | +| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y0 | n/a | 1 | 59 | 0 | | | clk_IBUF_BUFG_inst/O | clk_IBUF_BUFG | +-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ * Clock Loads column represents the clock pin loads (pin count) ** Non-Clock Loads column represents the non-clock pin loads (pin count) @@ -72,7 +72,7 @@ Table of Contents +-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ | X0Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2800 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | | X1Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 | -| X0Y1 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 21 | 2800 | 14 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | +| X0Y1 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 58 | 2800 | 29 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | | X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 | | X0Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2200 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | | X1Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 | @@ -105,7 +105,7 @@ All Modules +-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ | Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | +-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ -| g0 | BUFG/O | n/a | | | | 22 | 0 | 0 | 0 | clk_IBUF_BUFG | +| g0 | BUFG/O | n/a | | | | 59 | 0 | 0 | 0 | clk_IBUF_BUFG | +-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ * Logic Loads column represents load cell count of all cell types other than IO, GT and clock resources ** IO Loads column represents load cell count of IO types @@ -119,7 +119,7 @@ All Modules | Y4 | 0 | 0 | | Y3 | 0 | 0 | | Y2 | 0 | 0 | -| Y1 | 22 | 0 | +| Y1 | 59 | 0 | | Y0 | 0 | 0 | +----+-----+----+ @@ -130,7 +130,7 @@ All Modules +-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ | Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | +-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ -| g0 | n/a | BUFG/O | None | 22 | 0 | 21 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_IBUF_BUFG | +| g0 | n/a | BUFG/O | None | 59 | 0 | 58 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_IBUF_BUFG | +-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ * Clock Loads column represents the clock pin loads (pin count) ** Non-Clock Loads column represents the non-clock pin loads (pin count) diff --git a/lab2CA.runs/impl_1/CPU9bits_control_sets_placed.rpt b/lab2CA.runs/impl_1/CPU9bits_control_sets_placed.rpt index b20d0aa..9a4c667 100644 --- a/lab2CA.runs/impl_1/CPU9bits_control_sets_placed.rpt +++ b/lab2CA.runs/impl_1/CPU9bits_control_sets_placed.rpt @@ -1,8 +1,8 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Sat Mar 30 15:54:32 2019 -| Host : WM-G75VW running 64-bit major release (build 9200) +| Date : Sat Apr 6 17:34:31 2019 +| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) | Command : report_control_sets -verbose -file CPU9bits_control_sets_placed.rpt | Design : CPU9bits | Device : xc7k160ti @@ -24,7 +24,7 @@ Table of Contents | Status | Count | +----------------------------------------------------------+-------+ | Number of unique control sets | 3 | -| Unused register locations in slices containing registers | 19 | +| Unused register locations in slices containing registers | 14 | +----------------------------------------------------------+-------+ @@ -34,8 +34,8 @@ Table of Contents +--------+--------------+ | Fanout | Control Sets | +--------+--------------+ -| 3 | 1 | | 9 | 2 | +| 16+ | 1 | +--------+--------------+ @@ -45,24 +45,24 @@ Table of Contents +--------------+-----------------------+------------------------+-----------------+--------------+ | Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices | +--------------+-----------------------+------------------------+-----------------+--------------+ -| No | No | No | 3 | 1 | +| No | No | No | 0 | 0 | | No | No | Yes | 0 | 0 | -| No | Yes | No | 0 | 0 | +| No | Yes | No | 40 | 11 | | Yes | No | No | 0 | 0 | | Yes | No | Yes | 0 | 0 | -| Yes | Yes | No | 18 | 8 | +| Yes | Yes | No | 18 | 6 | +--------------+-----------------------+------------------------+-----------------+--------------+ 4. Detailed Control Set Information ----------------------------------- -+----------------+----------------------------+------------------+------------------+----------------+ -| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | -+----------------+----------------------------+------------------+------------------+----------------+ -| clk_IBUF_BUFG | | | 1 | 3 | -| clk_IBUF_BUFG | FetchU/PC/E[0] | reset_IBUF | 4 | 9 | -| clk_IBUF_BUFG | FetchU/PC/Dout_reg[0]_1[0] | reset_IBUF | 4 | 9 | -+----------------+----------------------------+------------------+------------------+----------------+ ++----------------+------------------------+------------------+------------------+----------------+ +| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | ++----------------+------------------------+------------------+------------------+----------------+ +| clk_IBUF_BUFG | pipe2/E[0] | reset_IBUF | 3 | 9 | +| clk_IBUF_BUFG | pipe2/Dout_reg[5]_0[0] | reset_IBUF | 3 | 9 | +| clk_IBUF_BUFG | | reset_IBUF | 11 | 40 | ++----------------+------------------------+------------------+------------------+----------------+ diff --git a/lab2CA.runs/impl_1/CPU9bits_drc_opted.rpt b/lab2CA.runs/impl_1/CPU9bits_drc_opted.rpt index df76f81..1a0055c 100644 --- a/lab2CA.runs/impl_1/CPU9bits_drc_opted.rpt +++ b/lab2CA.runs/impl_1/CPU9bits_drc_opted.rpt @@ -1,8 +1,8 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. --------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Sat Mar 30 15:54:22 2019 -| Host : WM-G75VW running 64-bit major release (build 9200) +| Date : Sat Apr 6 17:34:27 2019 +| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) | Command : report_drc -file CPU9bits_drc_opted.rpt -pb CPU9bits_drc_opted.pb -rpx CPU9bits_drc_opted.rpx | Design : CPU9bits | Device : xc7k160tifbg484-2L diff --git a/lab2CA.runs/impl_1/CPU9bits_drc_routed.rpt b/lab2CA.runs/impl_1/CPU9bits_drc_routed.rpt index cf64052..aaf378a 100644 --- a/lab2CA.runs/impl_1/CPU9bits_drc_routed.rpt +++ b/lab2CA.runs/impl_1/CPU9bits_drc_routed.rpt @@ -1,8 +1,8 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------------ | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Sat Mar 30 15:55:17 2019 -| Host : WM-G75VW running 64-bit major release (build 9200) +| Date : Sat Apr 6 17:35:02 2019 +| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) | Command : report_drc -file CPU9bits_drc_routed.rpt -pb CPU9bits_drc_routed.pb -rpx CPU9bits_drc_routed.rpx | Design : CPU9bits | Device : xc7k160tifbg484-2L diff --git a/lab2CA.runs/impl_1/CPU9bits_io_placed.rpt b/lab2CA.runs/impl_1/CPU9bits_io_placed.rpt index a4df712..c61aca3 100644 --- a/lab2CA.runs/impl_1/CPU9bits_io_placed.rpt +++ b/lab2CA.runs/impl_1/CPU9bits_io_placed.rpt @@ -1,8 +1,8 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Sat Mar 30 15:54:32 2019 -| Host : WM-G75VW running 64-bit major release (build 9200) +| Date : Sat Apr 6 17:34:31 2019 +| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) | Command : report_io -file CPU9bits_io_placed.rpt | Design : CPU9bits | Device : xc7k160ti diff --git a/lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.pb b/lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.pb index 1cf59643e28ed0872ba5627775b2fda1a220b706..d40234ba984ec7180f404bcc2fb74b0678fd5bd6 100644 GIT binary patch delta 9 QcmXppnP9+TeL$4~01OubO#lD@ delta 9 QcmXppnP9*oc0iQ@01JZxC;$Ke diff --git a/lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.rpt b/lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.rpt index 82180e8..610c4ca 100644 --- a/lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.rpt +++ b/lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.rpt @@ -1,8 +1,8 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -------------------------------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Sat Mar 30 15:55:19 2019 -| Host : WM-G75VW running 64-bit major release (build 9200) +| Date : Sat Apr 6 17:35:03 2019 +| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) | Command : report_methodology -file CPU9bits_methodology_drc_routed.rpt -pb CPU9bits_methodology_drc_routed.pb -rpx CPU9bits_methodology_drc_routed.rpx | Design : CPU9bits | Device : xc7k160tifbg484-2L @@ -23,123 +23,308 @@ Table of Contents Floorplan: design_1 Design limits: Max violations: - Violations found: 22 + Violations found: 59 +-----------+----------+-----------------------------+------------+ | Rule | Severity | Description | Violations | +-----------+----------+-----------------------------+------------+ -| TIMING-17 | Warning | Non-clocked sequential cell | 22 | +| TIMING-17 | Warning | Non-clocked sequential cell | 59 | +-----------+----------+-----------------------------+------------+ 2. REPORT DETAILS ----------------- TIMING-17#1 Warning Non-clocked sequential cell -The clock pin FetchU/PC/Dout_reg[0]/C is not reached by a timing clock +The clock pin EM/dM/memory_reg/CLKARDCLK is not reached by a timing clock Related violations: TIMING-17#2 Warning Non-clocked sequential cell -The clock pin FetchU/PC/Dout_reg[1]/C is not reached by a timing clock +The clock pin FD/FetchU/PC/Dout_reg[0]/C is not reached by a timing clock Related violations: TIMING-17#3 Warning Non-clocked sequential cell -The clock pin FetchU/PC/Dout_reg[2]/C is not reached by a timing clock +The clock pin FD/FetchU/PC/Dout_reg[1]/C is not reached by a timing clock Related violations: TIMING-17#4 Warning Non-clocked sequential cell -The clock pin RF/r0/Dout_reg[0]/C is not reached by a timing clock +The clock pin FD/FetchU/PC/Dout_reg[2]/C is not reached by a timing clock Related violations: TIMING-17#5 Warning Non-clocked sequential cell -The clock pin RF/r0/Dout_reg[1]/C is not reached by a timing clock +The clock pin FD/RF/r0/Dout_reg[0]/C is not reached by a timing clock Related violations: TIMING-17#6 Warning Non-clocked sequential cell -The clock pin RF/r0/Dout_reg[2]/C is not reached by a timing clock +The clock pin FD/RF/r0/Dout_reg[1]/C is not reached by a timing clock Related violations: TIMING-17#7 Warning Non-clocked sequential cell -The clock pin RF/r0/Dout_reg[3]/C is not reached by a timing clock +The clock pin FD/RF/r0/Dout_reg[2]/C is not reached by a timing clock Related violations: TIMING-17#8 Warning Non-clocked sequential cell -The clock pin RF/r0/Dout_reg[4]/C is not reached by a timing clock +The clock pin FD/RF/r0/Dout_reg[3]/C is not reached by a timing clock Related violations: TIMING-17#9 Warning Non-clocked sequential cell -The clock pin RF/r0/Dout_reg[5]/C is not reached by a timing clock +The clock pin FD/RF/r0/Dout_reg[4]/C is not reached by a timing clock Related violations: TIMING-17#10 Warning Non-clocked sequential cell -The clock pin RF/r0/Dout_reg[6]/C is not reached by a timing clock +The clock pin FD/RF/r0/Dout_reg[5]/C is not reached by a timing clock Related violations: TIMING-17#11 Warning Non-clocked sequential cell -The clock pin RF/r0/Dout_reg[7]/C is not reached by a timing clock +The clock pin FD/RF/r0/Dout_reg[6]/C is not reached by a timing clock Related violations: TIMING-17#12 Warning Non-clocked sequential cell -The clock pin RF/r0/Dout_reg[8]/C is not reached by a timing clock +The clock pin FD/RF/r0/Dout_reg[7]/C is not reached by a timing clock Related violations: TIMING-17#13 Warning Non-clocked sequential cell -The clock pin RF/r1/Dout_reg[0]/C is not reached by a timing clock +The clock pin FD/RF/r0/Dout_reg[8]/C is not reached by a timing clock Related violations: TIMING-17#14 Warning Non-clocked sequential cell -The clock pin RF/r1/Dout_reg[1]/C is not reached by a timing clock +The clock pin FD/RF/r1/Dout_reg[0]/C is not reached by a timing clock Related violations: TIMING-17#15 Warning Non-clocked sequential cell -The clock pin RF/r1/Dout_reg[2]/C is not reached by a timing clock +The clock pin FD/RF/r1/Dout_reg[1]/C is not reached by a timing clock Related violations: TIMING-17#16 Warning Non-clocked sequential cell -The clock pin RF/r1/Dout_reg[3]/C is not reached by a timing clock +The clock pin FD/RF/r1/Dout_reg[2]/C is not reached by a timing clock Related violations: TIMING-17#17 Warning Non-clocked sequential cell -The clock pin RF/r1/Dout_reg[4]/C is not reached by a timing clock +The clock pin FD/RF/r1/Dout_reg[3]/C is not reached by a timing clock Related violations: TIMING-17#18 Warning Non-clocked sequential cell -The clock pin RF/r1/Dout_reg[5]/C is not reached by a timing clock +The clock pin FD/RF/r1/Dout_reg[4]/C is not reached by a timing clock Related violations: TIMING-17#19 Warning Non-clocked sequential cell -The clock pin RF/r1/Dout_reg[6]/C is not reached by a timing clock +The clock pin FD/RF/r1/Dout_reg[5]/C is not reached by a timing clock Related violations: TIMING-17#20 Warning Non-clocked sequential cell -The clock pin RF/r1/Dout_reg[7]/C is not reached by a timing clock +The clock pin FD/RF/r1/Dout_reg[6]/C is not reached by a timing clock Related violations: TIMING-17#21 Warning Non-clocked sequential cell -The clock pin RF/r1/Dout_reg[8]/C is not reached by a timing clock +The clock pin FD/RF/r1/Dout_reg[7]/C is not reached by a timing clock Related violations: TIMING-17#22 Warning Non-clocked sequential cell -The clock pin dM/memory_reg/CLKARDCLK is not reached by a timing clock +The clock pin FD/RF/r1/Dout_reg[8]/C is not reached by a timing clock +Related violations: + +TIMING-17#23 Warning +Non-clocked sequential cell +The clock pin pipe1/Dout_reg[12]/C is not reached by a timing clock +Related violations: + +TIMING-17#24 Warning +Non-clocked sequential cell +The clock pin pipe1/Dout_reg[24]/C is not reached by a timing clock +Related violations: + +TIMING-17#25 Warning +Non-clocked sequential cell +The clock pin pipe1/Dout_reg[25]/C is not reached by a timing clock +Related violations: + +TIMING-17#26 Warning +Non-clocked sequential cell +The clock pin pipe1/Dout_reg[26]/C is not reached by a timing clock +Related violations: + +TIMING-17#27 Warning +Non-clocked sequential cell +The clock pin pipe1/Dout_reg[27]/C is not reached by a timing clock +Related violations: + +TIMING-17#28 Warning +Non-clocked sequential cell +The clock pin pipe1/Dout_reg[28]/C is not reached by a timing clock +Related violations: + +TIMING-17#29 Warning +Non-clocked sequential cell +The clock pin pipe1/Dout_reg[29]/C is not reached by a timing clock +Related violations: + +TIMING-17#30 Warning +Non-clocked sequential cell +The clock pin pipe1/Dout_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#31 Warning +Non-clocked sequential cell +The clock pin pipe1/Dout_reg[30]/C is not reached by a timing clock +Related violations: + +TIMING-17#32 Warning +Non-clocked sequential cell +The clock pin pipe1/Dout_reg[31]/C is not reached by a timing clock +Related violations: + +TIMING-17#33 Warning +Non-clocked sequential cell +The clock pin pipe1/Dout_reg[32]/C is not reached by a timing clock +Related violations: + +TIMING-17#34 Warning +Non-clocked sequential cell +The clock pin pipe1/Dout_reg[33]/C is not reached by a timing clock +Related violations: + +TIMING-17#35 Warning +Non-clocked sequential cell +The clock pin pipe1/Dout_reg[34]/C is not reached by a timing clock +Related violations: + +TIMING-17#36 Warning +Non-clocked sequential cell +The clock pin pipe1/Dout_reg[35]/C is not reached by a timing clock +Related violations: + +TIMING-17#37 Warning +Non-clocked sequential cell +The clock pin pipe1/Dout_reg[36]/C is not reached by a timing clock +Related violations: + +TIMING-17#38 Warning +Non-clocked sequential cell +The clock pin pipe1/Dout_reg[37]/C is not reached by a timing clock +Related violations: + +TIMING-17#39 Warning +Non-clocked sequential cell +The clock pin pipe1/Dout_reg[38]/C is not reached by a timing clock +Related violations: + +TIMING-17#40 Warning +Non-clocked sequential cell +The clock pin pipe1/Dout_reg[39]/C is not reached by a timing clock +Related violations: + +TIMING-17#41 Warning +Non-clocked sequential cell +The clock pin pipe1/Dout_reg[40]/C is not reached by a timing clock +Related violations: + +TIMING-17#42 Warning +Non-clocked sequential cell +The clock pin pipe1/Dout_reg[41]/C is not reached by a timing clock +Related violations: + +TIMING-17#43 Warning +Non-clocked sequential cell +The clock pin pipe1/Dout_reg[45]/C is not reached by a timing clock +Related violations: + +TIMING-17#44 Warning +Non-clocked sequential cell +The clock pin pipe1/Dout_reg[7]/C is not reached by a timing clock +Related violations: + +TIMING-17#45 Warning +Non-clocked sequential cell +The clock pin pipe2/Dout_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#46 Warning +Non-clocked sequential cell +The clock pin pipe2/Dout_reg[25]/C is not reached by a timing clock +Related violations: + +TIMING-17#47 Warning +Non-clocked sequential cell +The clock pin pipe2/Dout_reg[26]/C is not reached by a timing clock +Related violations: + +TIMING-17#48 Warning +Non-clocked sequential cell +The clock pin pipe2/Dout_reg[27]/C is not reached by a timing clock +Related violations: + +TIMING-17#49 Warning +Non-clocked sequential cell +The clock pin pipe2/Dout_reg[28]/C is not reached by a timing clock +Related violations: + +TIMING-17#50 Warning +Non-clocked sequential cell +The clock pin pipe2/Dout_reg[29]/C is not reached by a timing clock +Related violations: + +TIMING-17#51 Warning +Non-clocked sequential cell +The clock pin pipe2/Dout_reg[30]/C is not reached by a timing clock +Related violations: + +TIMING-17#52 Warning +Non-clocked sequential cell +The clock pin pipe2/Dout_reg[31]/C is not reached by a timing clock +Related violations: + +TIMING-17#53 Warning +Non-clocked sequential cell +The clock pin pipe2/Dout_reg[32]/C is not reached by a timing clock +Related violations: + +TIMING-17#54 Warning +Non-clocked sequential cell +The clock pin pipe2/Dout_reg[33]/C is not reached by a timing clock +Related violations: + +TIMING-17#55 Warning +Non-clocked sequential cell +The clock pin pipe2/Dout_reg[34]/C is not reached by a timing clock +Related violations: + +TIMING-17#56 Warning +Non-clocked sequential cell +The clock pin pipe2/Dout_reg[35]/C is not reached by a timing clock +Related violations: + +TIMING-17#57 Warning +Non-clocked sequential cell +The clock pin pipe2/Dout_reg[36]/C is not reached by a timing clock +Related violations: + +TIMING-17#58 Warning +Non-clocked sequential cell +The clock pin pipe2/Dout_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#59 Warning +Non-clocked sequential cell +The clock pin pipe2/Dout_reg[5]/C is not reached by a timing clock Related violations: diff --git a/lab2CA.runs/impl_1/CPU9bits_opt.dcp b/lab2CA.runs/impl_1/CPU9bits_opt.dcp index acc9d4fc697168b92b328d7e422542db6d3a91b4..369b44cb01a920a4081e33cabb6316afb352909a 100644 GIT binary patch literal 41132 zcmb5WW0WPq)-77LZFkvrm(gWcb=hW@ZQHhOcGb zvE>IU8}+6LMSE5ysK33YsYbCXy_w?zxJJ#G4!~>{+OW;K%=7eir`h_0L|glXW#hq% zwlUj6e(^uh(LDQ~I<`Snk(h(AL9l`{lmYG1`NAkZm92Zk6XmnX!vBp5;wm+Vyn0tXLGAMN z*q3v(uJP9pCZ}Bg{)wOYK^zMSb$I2yvW5yy^`X@s)-rXMbRS*|D%MCQ`zvSodXp|j z)FrW{nl^T|`Ra7<++CBUqbnGn zW{1C_W+gR6-e%p7h=Q(Ncnv;>zvr;g4mm_8FJPZ1sKvTG;K?F?hK zF@Jm}Y{R#Ga=t!}Hg8|g7F$m5WNwZyzh7*8*W&Y>&S}0oa=5u>>^4S2jHtO=MpV=7 zcAB%PUq5-|-V~(3cCOc+`-eZ|di;I={m*GXLqdS$5~1^#eWK5l zj~wEocbBgB@2|tp%eUM8ckkqP2cECH6vfok7YFx&8ogcz-|rmt zV@GN)0=5adnZn%{9(z5+Z3l#T7`*r9Pt0!g-J0Gz?hf6V-kP;MwRvejQ&~XgSTU?_ zr@wo*b#}D9y}OtmJ-#g?-W|Grn#aZ9Wo&w?9-%sV|C)DmV#dfJ`21wQ`kkIBO^<*$ zAnfp~HuY<@E$jOR?Iq!W?eE3WgWKcl2jf|4+m=?ZREF=`UYRaqH4gg@EuP1}PtUa& zlJ8=Eug`O&nA*~d9-VjZfqoGazh3Og9vimc>h65y zzKWE48Y;;$eIM>9{HlNZT#b-rcGU-s$!=uGZruKqH04TXd8GZ^)g~cG4(7A$_QZIb z`J>^ne>1o>nEG+do8ax1Vg%m8cUTrek&U?Nf9X3qVZT+UfyU~2X4tea!=p-P?FBO4t%ad zdq{llYcq9M&R0D5_+0x0X7RiKN~@X`%NYyxwdOwmsh47n62o3r1aIwXXug~Gnrg~|IN0zW{HxJ zfvuo`e?s4u@N~XPBnvmcw^+e8ftY&zp3b_qbh(Yvn$7~~?fRevJ--^rt+W!;l-uIb zr|IJs5NIx+PwW}=50^Q@2oA!C3df+O48;f9L2;oCE;2NiKef&!$2)BA4*R#Wwu`dX zzIShIPJH+5{<<1``1)L)9rc-vMvx$ERqaPTf05-yhs2Zoi{>Rw_r)`l?kI;Yrn&{rdYU7GGbe|o%c$lcQuDHc z&iF#{CqXuz&eWrYjD*;9x8tghT`t!ke^CCy`u^z6`T6~xgDv~k_}A)m&acL&nvF)s z>_eZ|w67D>3pweJvFt7<)8&rZl>O1env(tbf_b&C^e6@dlWzR%u4;2)4Su4A{rQ_h z8+R`L{;C&*z4M)W%9h5E5{d@hZGy~fX++({1Ji_vlas-lt%Cxg3(-3K@5K7Bo`Kg=_=6H~-l$j3d8b(WDl0_aW3b2(Re zk_#D3kk9`-?ADQe1n5#gepQ-3MMqZ#?vL7rSOqCJ6LwAEpB=Cjw>?{5g|hR2L7Th( zp8UqQ%T4Lxd}XDgLDJnt*Uua8HqSQ`6l&GS6^(9>M!UGTk|%#Be(i!F!WG z%|o?MF6Po<-;qEvgtaN@XA*gdu;h^Q z8UWqkgUkQ*fyA)p{QBS?jKZXcwcEypQ$B&VFovh)E`(a;blZgR%I1o&yd-s_fQ|FoLWy?4xdDo=KC&?t%u5 zg{lsQY*u5wNn7;pLS-y-UNPQYZ>q2yHurm5I9py2Bd zQd#HpQh!_HH*EDc4Q%&$!Oqjqc#pi^iP}Vn3lu^+xF}s0L~KI$=XNweH?S1N=S~Xm zS`VXJk;Ocq17DVP=v9@74Ot@;#J6w*b1I!gUdUT2{%!kB>)bz^`suV917 z_($kWPo!Y>iWw8u#$Ni+gs1+QN9smCoHf1q@lg+bvp4iLet5dYnTi98rbLc4!60V= zAzVN)>4XE}tnP;&-vbeXXrR~E6HQ%9in6j}7Nk`1&5l5?V(xjP56a&df~i-+_B2s-kA4E#^2unnsw~#Laxa0no>AdkDxwaSkax*80d2Xv z2Y1Yi+?0gX2-EqtWT)>|vnqI+@104*?&QIi!nn5L%AkEuf96&M{_Qk@dnt%I7)0L1 zue@VJcp1E}`rCBxj34}RDXx0mw(D8aV&+D|G5(5W|NHazz37hHP3&mg%Tp7%7w?Y7 zbzCM`@Z(cC|C*#NTZ-4Atg1_I9yPgj=kBbl(#3R(j_u3W)-DFwZXm@k*>=`wZBFQ# zaADFoqYtB8u#7ozo6O&c%#FeI(Cz%}8QBl`1|wCTu~vtpL6><*zqnPPB6=Y)+Ien4 zC8LMIM`nJ(cm)h1l5ss^5DCXn+%KjPN;qWF2?S^VIHqCx9($1b(-)2}az;(tn`rc= z0SMb2BCn?QT_8Td7?}C~Tu@i$#g9yU2*l_(EuhQc7W4!mfGt)fwZ5$(*!@C~t%KQ^ zXj`8G&S_YglvXKN2!UIzl&3h|D2*A-Va8l&lW9?4JTWgeU<&Cpy6n%-Vz?kYM+bQq zX|^q9W6FcvJO6gWa;g+6VPeQElm&bx_zr1o7_MWh+*9>!xH~bt7lGWpHv3Z>LcTmN4Kd$Sb5|$26Zr}n|NzluM2h3|MWdf4S9b1 zW8jjgEUN$bC552N=iuSw-r>=5Ykt&T#=+<5MBn-DV|RVgAWcA#wsCW|+98+*9wlC; z>mW8Tfq~1jFrhg`_UZ+bJEZgSQb42J^}3|?Xi&R`&t-JyB}L!X2aL;;w!bE_YkTKu zJ%U2&*Nt?@=B#6&?Y(_CR6@0~NM{#qfzGy+L`51g-$<;MXaS>0;6dv?DjRVRQ%n0^c35qiNx-<_9~FI_R8u#_Fl@i{qtf;hu`oMVRu!{7X9-*3oUQ);gN5E#Id(z8gUo4xK$5TZK7jDJ&S;x{`hl;A{59KwG?xI* zpe~IzYjHFgAE|9@xikmS#Kphi-uSia)xS?$l+}<2chPnVO|zh=5!t)`4Q=4SsDE^Q-p@c@%g4rGJ>g z)&>(XdIP>}(?XGBrCRJzb-+32D2{6{F)4QpTI`_&Bv6(3r=dC6#TANz#|TOmuR_%g zkuD>|36AF}T>T3bw5GJYT(eM6XS)cTnw+!c+3HIH0iR*d#-+%HS}8M(k^mkyxO%IY z7_rW*Lf#CzH9nSViu>-Vc~KZ<3T^ls9AFpHf=l?cgK7RcPO8J~bdi3Dg`BanIKk~d?M`ZTCX#$s)6f_u*a zdnN=6d@{KmmN#QrI<`}SXmKF|k3Q;vhfA*mAxK|CGdxgP9AfkX?fYyN9F*vFpH}vy zwocUerAaJYXyNT;QROYG&2o}>oOH(#?>1HZql(yqF}VuD#!AHq)#KK$(~P(dO9a*f z`xe#mM;+SN%Zgk!-f*TwyU?$luTo>Q=pffkEA+GLxKkRjsKFqo#lYvrgY{&Ag{Eh> zqt%!F_M$Sc+jn{N3&wOaCn8Yv5j{hJSL)c$A>VstqRuGbQDvglAz$BACVr%TlMNGJ zU)uNwP~eV4s_yC%oZKysJAxwXq|efcZ+a|Bb7T$oovU zpRS|T^IPR&!*# z>SO@P%5IN^Rmt68he6bzyQ%Hoh+Ytyf zA74^!hY5m4FbicIOkV{~Z~~C<%#iiNjcY*3MJIe`j$X;rHcQY$OuQ+Ik2p_FL( z@fkJL@)&#LxR8sh$^}lwP>WrrP|DSXnz%~&$|nUc6s!>}a*)@bLNDG19zhM>i0eL} z8WAP2?xdE4RFox9GQ+krkG)k==gm7}gE$$$~?~&KQ*v$6b99 zL}())MUWzqv2I&P9K3Z78<6(D7(jtR%beh#ynJ5Pt$5gVJp&60sTKq$&Jy2TfE-2O zBas=U{$;le8w6c>+JpKBTIs?|M*00Fx)E)=8-#;GVXk2#bD+26%lO5%oDip^al$uI zeNXH3mUZ~v8d<0FG8R@9;m8tX-Dy=^OQ$`F)~r&P*4LcNqO`K4Dh6}LJS?rRX`f1E z)$3CfTrWFI5mqTWMhrQP_scx4Y;UbvR1Bs91DmSyr%_?8MO<88`9C0y^8CMmf2HWI zbCmI65|dGxL>k^L(m76l)e2kk!BL~-kfx{=&T1^sz8``8SZR{mfIQDp9CDMjDwe{0 zfFiaNY2F-qdAh>7Nii}TpG}fN1*8X;g&M1+m~{h!*uwpcs#q1?GSfn}?{egtNnT{> z$!tyJPp&}2Qf;O>?54>dI12NiM%YabjYIMseI}$96-Dg%#lthq7Kc5NdCsCsCJXGg z`XW`$Mfs}Hy{?MRNEY$K2BrOc7~@{_730(gv>#j}rW!0|b!a=*WoEWuD7jg%626b< zM8H2Egh3j~L%_@i;h<;SF;H?B$;kvP1-*8{e!A*FNFAYB^BmzgUoKGZga24khtgIG zT&T^AIJ);e$rP77x||sq38Z5clFlttU=fVYnR1(Pyo^qalwKkEHUO4h&4zklcbYjY ze{|V13Lx4ENfV(e0*KX9ZW2zH(FFkF9_0WSvn7D2ca|xxesozo1|U`fh)S9OV&{}w z4}e%NEv;Ta3m|?0i1O!|!@5V8x#IxhF@Tt&3?NQSxp6vQM)v|d8ZZukIV7;5UJ6A0 zq^VhCxgmTf%(hk0{g_ABQ#>L1-DkSeh(n*+ zRsvztO-xXpxE6MfCOU>d1l4dQ%v%&R_!4+!^{p+lOo#kV=!frEw{+R(biV4B_`bsb z|HklMU5WD7)BloPiB^5a3>K(gP+H>Cgv^U;Vu!xGDPVVRzJHWPZrgl%D-YRn_9FH`} zX;8no7W^v-XN+4khpZl>484<9c%n#j=!ez+M{xh|Xbq*w)lwid`&AfYq3Hx4fD|Fj8N7y$nxU{>SqMl8 z0?7(A;+AktG*;{d%^DT}zi@N~st6NU7HUS;BGwNWVha|U)E0+WkrcX+dE{=i!RhC9 z38fqNMX6J`_Wm9=6X__072A_5?dazM~C)C4HGZ0uwLGPtDFUI;NVs4PL?}@DKMVr&n$FjwU68z#wIN_&=0t;j zpckz=ec$tz6-I$-Ju57t24;4+*bp3Ch>^7@c+dmR;b36qzGZmOjpyrDhGrx!LGG;4 z#m%Q3G*jYXap!eV1)X+9M}k#tL=k6I_xXSt2xXR0_nHdAWO1Ug(|`wz2;-LO84ZlH z>2{HlPciEGmphvm9@;6v0LCBAO2#~LuatR`>1GbN>plHlmJyVa?H}64HEO{|ylYHi z6|O8oPH5;UnaH)c#Bn1&C4Q{#MU1JZ1R-f;Xr=bRd%y`EKIyCpdglJ}q;SPj>5+e7 znG@iI5Jy(?;@&y18$&GV6xQ`cFYIP$UcFn+Eb>WO^>jT2B#8NMlg@|c!C8cesh&5Y z2__5xxCrwftl}6v$qRr*WfDxj1K<~efAFAp@P`Hf&Ilx*6s%?K&6fU;Z!(W5WtYQ*7}Uvb5?5HWfJpF&);U*^x6x#V3NsK_}~Lh;{R|{^EL(ZyIPS6%n3S$ zZLVI>*6D9Enfkp5QirW76ernY4kW@c&GI-3S;@~3nHYsytw%!C2?_?RkO~{Qn9dQg zQ<@>Y0pJqUtVFF%?&d<^+OhQdFu=Ea)7`D0=J zo`VF-CR~0$5=SU}aRx-3NjtVk2(b+kt~AGA0ZS-?@CFfkstt}v`iTh=esJsGF+Pzx zZ9wBRUx)%O{7~HsThx>b=Fu4SHiUrHQ>aQOJhogFTxR8sDRALoC~yyqJhwHOPw8>Q z`bWhA`bHtR@VB2#qS_;Z-JI)ZbM5K{Wk;SmqY5tbccHSZSSd5DM#R^$t)4e`08XH| zGXFub3AbLhAEg~;U@o%kIB2r$Bjzt%96<}(tbJzx8D>ki-lYG^Ij%io$bazPK*6sP zgzV*dhVvZp%F>B)k{04hqhTa zbDvNOWJS?>-KE0acxr$j%JfCSxfx9*WWW8LvdW(@;pXq^_`IxaD9fnIpBYLOY4C?r z)+l|T0P0Kis5e1cc4@g=ElVb9PtL|U$B8*K2w==^9D>m2<&A;wOGQM+4P+Yp#tvn; zq-cdiG)x1C%vMa?U?yW$b|^a$LO_gmgu;W8%?|)#v=9)==C*)P)*4!2RK#ee8H#{B zuhXv`pI6>*LdGIW;zfx(d1k#z#g_jJGvQg*^6gz(@Rc<+Z7P!H_xA`ak_L0L7N$b= z%SuXNelZhZ3#h_{^j0T`p~T%dT;f)#ayp$}9y7`;7*R%Bg^;h!pixPwgVu0V8D6yJ ztWL@=r7vd7g?;hHIE+}* ztKW3Y&Ey}`i`0x70*Bp-NjRat2WFduV92GG z&daqam84YO=g)_nAp<)$8M_Gu-7dyL9Jtb990lC?EP)pe*xr3DTC6+}K4{^=EjLUa zeba-Fk4?hy!i2Yr2asuj&t?Pn<;OdYSH>r*7 z^e_s=x~^$Zy1{XfD9H4P(Iwx7!o56n^gs$cq(u7@N8URF`q3pAT=kZoCPcw+j`j#O9}6 zTzI(SyQ8li1;i0}z{Ve=6SF5<&#Xt`AB?|Dc#gf5BiZ<3_4O}@F&BnF(13$(u(-Kl z_RGv1(T0B298*SyfX^ymwX7BtFxtqAi|cQ!MMd|uQsLl(uT*H_Mgt}z9!dk-GPixY z-F7k*X{7=_vO43!J8#QgL8TC>zIt*~Rt4RNel@iElr{uJZ)utQu$W0X8$4fkxN*9v zeKzz)ObA4fLtwD%W^w;C_5sr#JDWc_JYW7@lCYnC@Oe4kfBxsKzolZ3IiOHIwLqt) zhBl!n#yRbqgvlsk8gW{Ygvkl}XeFfNGBL}ekV3&kJt4C&v*8s3+gN3b4D*@roYP2T zC;cL2!{=XmT}uOwG5ZR68a&Ynx6zOegk|FDb;v~QgqVa$fjC)%z|f&g9knAnebY_x zivCa7*bn+~*>G$01N6FuvUe94t^IUfxN0<2?ww#$T3pO4eY>L2DPRs>x5d^m!~hy_ zC4|=96ThJgU&dBNynSEXY(LWt4yXW{AS8f6(q;%gn6Wkf$+-u9nv~^IB(OILuLRV_ zwLICrU=c)Z9D#gQ8@FyAW-T;}eN^nc5sBU4$g>HV$22BtrEHwtpu}V~v}k2OnwCnf z^(T(Y9BsIHnmAg|){8CrL6ZqzmO*vqymiSKjk@M%0(kZ>q2=qPWN2f*BUWs--%W=i z8arH3itx79s|pydvJGnenwr^(;Mw!FOV^1i;e@>1kyNm}B`4LpRdg<6Ak!y!7Oobc z46fc9$^g2hPJh9X(hcgvTyBG|gjg0bRR2`Wf%edcywo&8!zCW`X5X*Mjj>;q^isC{ zr?#hDs_kt@>TRP(>e?pT!He6MzbYNqr|TTvrt8{0ec>y*QFqi&qJ0eyr+f{axWI5# zePVj*<~l)!$M`^pPj3;}D?cy&42wFTqLVtHqZbdUt<*ofz=wZ4VdBv|VdGVd+pg5U z@*qSfUGj3OUJ7ukrl8j8KRl7(Rjen(ChRX5dVL0bk_Q4mDXkkj?MUIi{e) z0=2&;q!IGl#4vG1kEo8uI1LZQ(3D2tp#TTix9~GV>|=Nu!3}vmj9^7(9L6zWx%7}4 zGTK;*59w`GL`U|v0^?(Yu5@Xlh68^3@Rw&#-LDURW%1tCp0ls*6$%`aiMc|rr9&)5 zt&xAwhS`|42X%V`+MyW#R!hFDYnq6$uUX;*LQwUzf?~Ywic}{8gsX8XO?EbDr-n90IMgiJ;wny< z?qywww6EDkN60FhK{}OJpb=esCvL1NE_o?uZ#{~*uD!QB@#P&eu#aAPvmE$*G5M$T z_winY>h~X_)1;;Cu$^YxkQiPxvv^ElXd0`eUI~vP|Z(O>nGJe|o6mFW`hVZQot`V}HHZ9J;^o?LW9K z9suhz{DUd~!ms~e{lD<;e_&Do%<2CRM*a&MQXXqDj+Thu>R|nycQqBy88VHq#k`x2 z?k{N9c$+Vv@>n`~f^^0Xd%mZqLeP#Mwogxd2=4bz(Faa^DDm(2ZyL3qf_G_pSK6;N z^uSUKIggG~{haQ|!=k`Ivm#YHoS?@X;JZ*=*b3LNP$3}|kZja!O+fDqnSwWNtAF}v zeY2>Y6cgxL%!ulN+3ML9tDjrmXzj3MuI|LnUH077r6pTCqjH~IHW3wyzbKce-;5%j zb^cSoh$j_9G)q4+nQluQ86?v2$Z6RX%7}q7X=N(YfDaqyEb6x8iLXyf_Bwbs$$>H? zlg?jOZ?9=iZ@O64 z27oT@ID>fklhY=t5z(ym)Y=CYgEzH{kz+MW3iqUO=}UjawI*hUvzjsF3o>9LzuB^$ z)_<+~C_Ha2q8oD58=S|8y%l`;!ut5PRf(lEoZ@XRx_&{MT-%%RYpPSH_oyZ-u;q1z zVF}G8!ImqN5PvH{sge*$7&T(Wj@qLHtEg2$^VjCWQ6e@-hv>CtE6-FpDz({S9hh}h z?XENf>5yP6CTFD6TSJOj|AER`AuFSfoFf?zm#~WzQ?5jOyz3laOj;hQ9`{UJw&1sk zobh#vG8evA$oKG_dzknKF(*9&mQ-|B3vuxU*A(^;9`~XjJWQ_W9Q1OC#T3*DC&gs= zy&vTGgO37+GWhTzflzPVFI0WDSKp7pQ?Bzeyhkm(YBT8)C5+>R0Gzn}t}*-V!5a&I zU!W%VoKn!FLBEJWbof)(4cvy_%uAW4p#mLgHiB|IL~Xnpll*4&F};j#_gx9UETkB5 zy%wA*!l?baGWQboDXO#s0(0i9@GnCg=0y%J?fLL$W8_t{8XkkMVYZF(5(n;b9S`r- zOIz;d>*LHrS}~AD3I1MH-3LqAmganPnHG-CoJ*JWOfUTx5^V6Z*i)=5Z~ILhgTwbS zo0$`EH6v74W^PC>#B+m^0*YoS_CXY`lz_Jalzuaykx zCvlI2$d7IO3(?&aV=A)WE9K8ohoYJ;DuJqrvReSPiEqrtcF6am@IYc2a0dg_j zk(gB}a3&;Ix90XEXPYz#qLXj)d-4i%=TsI{HT5-|Xi1N95yId2C$sfD)2VLEAHnwL zrNiVJD6n!&eoQ3u^`_D(88n|m4_T$5G#JY_^lfzHM|@lo87Ylq z4DTPl+tjC#InjKafJce4sfEY3S*10}oT8Z1Aoa?K{53mg&E@RSaN)>9Zamg>j(on z>~6}H+aBAwT;{{sNFATm5?>AGA;sv>C4Io2!2{W! z6Ueu_%Vf+?9sF%^7C^XuMuGBX z*B&q`!pEBJxZv)*a3EZ}_Qm&BY)xYFuG{##h#Kx3L0}CQOtdae3B~nwyfG@a)`U6N zFHZT#<-Z|-#^&?sG&SfI9!HM=6`CFCT+m`swX3lJQEfn|al?v*Uy{HJgv(Md{G)3E z=%^@74f2K8Q<~h0t~e#m7?F+DMd%Xa9{zuA#Q$8`90C=}j!*Nzilv)NG}sj$FDU(U z+s^}3HZOkj*OkXKXe_rE+ST9DX!!p({Pys_VRs5aAjOiHy)1B2(JOl>fzx}1-+u?* z#B?lV^bi0zEc;VX{uBg8Uvm6zG*wLIB^~3=$a_CEh^m0AtSdgp@<#He;fe ztsO`rmrV$421gKt3{S$>5dnqBUI?V{S1iy89vM+{$PyF^5q=Puk;DjqQEnn&2?kx7 z4qS!&*L9;3{r zuESLkqKyzjc$a83@YO^V2lKTslofhqxL$1qahsD#rlQ;H9@+~6hFq!6H#C2V^S)wkzUH>TEr9t z_evP0gj&z#=P}FvJljd9#0!W>_&%fM8xY|?@@FR=)v>(S*hO49fTlV+7ooc1u}{K? zQq)cwN!Xw(Ku7}L=tV<9z@R_|7l}TC9mrnLTAyGBYbWW>yqz7)3m^ z$JwS>umK99u#HmT;-s(&caGX>SG~ZO2u!3aR6OavC%TtgxvywS*XM33<*u5>Ba{3w3V@d=T zRE^;!N|!SUeN+Ti1O(KzHwy&RHug#!a_2W$HtD@v{Bg$JHsC$^i1(fzA#>NO@Yn_` z8hx^{N144fz-#9HT^Ov2>M5|~NlrEqSYeek`tzKtn8)PdeOREzzQPgg>$t+}2HjGd z*D&ih^*?4f8&#pxDbG<@5i2dfS>aH1q~lOoS-^xWdC*XMQiN|~=pa`8Vi+THE`f{k zcd1gWqwxi`3V-e+yXuTW?TSdCT<4Tr;g7aNHpZh*IfxQj`T#~1Wl}9%>1kITgaO6~ zv~%oyvhQoLM=>U(fLV50Q}Hb}Kh_dT9~v!lCMW6O@2R`pGKStZl1$W2CbP;I ztjny0!%P}!_vTQ98ijOKL~_g+UT%M7RlJr3qAf+*(q!4?VF{~eO9;G}Y)w`YtJ5?# zE3Bo9o?zK3DkEpWVOQQ-C*SQL539G154^}wPbpMC#lXf{+$=&CBiTY_Oi#`O!SM@* z`IF2VOzo*es^H8PkFkzJ`Pq*QV&4hJVv4gzWJ_?MU27G#JAV1b^D`R^ba-jyMb6YY zkgB1Gv(JFr%rsh%tcFq@(JF0ZrVS&M+_73T5H1?e@eTpTuKHUvawe%!a&MfW*b=ZN zETdhuiZ1}cN$8CWAX@^4HOA&tL$U-pg0VNUGYXmH)~mw>Jqllo+`+4shY)vGs076j z2Z*5hpr&@|0hEFXZoTXTzh5uXq?{q3iM??$2Osan<5otm%wE&{N|9ui(yrtjKL(25_WWEXxuHia%N6gwrD9^1VQ(M0OpShOmaa*vty;IAK}h`a^NUv>wN$ z2aLg>lfx`)M&+l7zx64_?%-6ev3(ME_$7eU@@>j*!?$-+H)#vX?@SDgFaGjJ-$efu& z^pj5gIVnct4@qM?lH2H(oH&a@J%xITU-C4tMrf3!@gAV^@-J@?xkfmNw^P3G0)m)y zRzNT;OU#M&w=SUaGf4zDc|9KT>_Fh!1P#EH$3s~F&N7-c5KQ|z6+hZ$pEX=4dqRPX zQJYx9<@vP{zSo5oU5c8I+HW-vEyem5DT1wgv?*&n0wv#q#qBqyT4wVtCc&+`!{*ps zGa6%c2gB;dbsg_=w`y&Bg)O_?VGUU0S$iYuXsb=mazq}&tJ|d(#HWV>m$8R(7$w>g z@DZ2yB(y0tO^QcM@w7GtUC$)`uXq7%fk+B-ZpA5=!Wds3{d@ET+e4f7(Pj52_s#11 ztMlP)j7}5&#QqDnNl(g<^}Evtd4!gu#j`v-o9T*WP_BvQqs4=Nol#+~jcm1q5QkR2 z8gj%#I}aThg0bDl-|4)6)ogB*|Ek>YqM|Lj!Yw;ay_B8sC_NE)jNoI`!)TN(*h;wv zYSMlVjKtuyb^~aXng~j{?yS;&W0{QL<=MSx2TUPJV5$B;d>OOKE`+z`XvjnCZE@vT z68n5v#ZRcvzVRmI?gu7l{=M&Wk3kEBqe=g3e!&QYN2aX-Zr6@xSiWf33jYd*LN*{O z<}C};Jc->KR(vW)91GK4iPuWI3P~Se#bfw9WLDh*MJn)ED83 zHXyP2xqqtxsxc*)zePgbHYac#x0iAUhpd@Ezdg5pv#>dLO+V!>=64*7mE8=Yfa32iB(`Na1cQ5V&wG&>&eXP!L2Ykh__LFWxsYfln9|BJB{c5qDvb zQwd>B23n_ZV95^}jVgv&&g1=cp%oE9gMydC#lv za}o_635u9UNpuzpeH63hD=V9gD-{ z&ZxPlh)rhzJ}kGlNnjp+d5kK1(&Wf3`KLC|JgdUj+hJD+c3?7hu)asnFLJ$tDLkyE zqw$zjyadVEe07O*_dTgYuSzJH@x3sO- zEE-nYmzn!A%y$-B9_Q4t-pw@TI1Gfbzl90~MM2W0JY7V_M3lvSWcoIi$>D|8Tv-yc zagp?h_d&`!N?VLvz5jLmOKe|ec3-RokJoi9zE3{t?Fy?3PSZhYM`T`4B;+7WGvL|M zb7XX~mE9+Scv*dbINbg04We(6HeWA0EAeoXTgyO<)vX5aB6+x*?;7|PP7WQ)I12P} zU$cGIR$}ThTARLwHkKPdnHItS1w`Jtr~8U2btdSH9S3XieU!i ztp?Ce|J&{ZS^wKEU&Gy0JfJl&`guID9^j-Z+K;OM#F-MhhSNtVdSjG^MfY3Y!ze@E zgI$M+q&dztqyE;aq5NF(jUhqM%o@>Ww}kT2zU$&w$;b|V&SjL`3)>dILz9x5WjRDf z5fx^wcG?)VuRfAh7Bw1s$4ry&g*$8^j2;r0W(iEtq>VY9UIfigFa4(gy)$LM+WB@n zIw$nrQlJ&KFUJ*b6-p~r0;L{jm61}QdZPdIc>w*S=~AG%|Mt}Z{lz7K!uajK3MT-9 zzEuj;E?DbSF27PqR^oe6K8B3;`g3hhhe^=)7heU8^c%z5)%dnKg6hmQbKxzfDIE#} zyDF9dutb9~QpLP|uW5Xj^xV_C8car}uQh!A&mTkjd+8tkLXa^ltSvU>ewY;xrH}C` zks7bH!AWh&4Q16o7fJKT#Z%QeR{cPAnft6HC&OyE$~0yRNK+(x6J>~FvGiH0Oa|B% z)9vnEM{|&~>c5fFQrBSLz)x6mx`Q1~hsv(TBOiWy805jBj67ya`cBGiEG3qx){0qU zkjt1ar{N>!IF=k)tKMZYz>!(Adu*Tbm%=0V9DzCJ)+`96&W} z@)>!YNQbS!YjBee20~g{%o>WARr?M1vOySnegkX)E3NG>{BN%xHJ9g?Ji7BSI^JCG4M5mZWop;Pjv^DcJ7A&SlnOFAHa#OF0h7Fyoi)_T`B zo-hbg@m4!fRD41lT|#?n)W5OSxeu1=#ilQx^iK#( zAw8mz;Li>E-5}PPF?(GlfFo>xBNS+Wqb7k_a4SppAwAc4nNpDy^0P^)Jt+% ztZqF-f-PIfv7BF;ak{!Ff3Z|5&0w>)$&vIoxuC&yXc@ul>UOtbFHs%CW&CE0+g%%l z3D(YT4z6K%*nm4ja}1W5${ew~r~vP$57F*lRtvHTc7oyVFHZFZptk_DTep81Gxope zjQ@xoJ{MH5_O39%uVwpx{F0!N^g98OU^$Bt1I|q2^gm|?<0uVRaF#kPyDdv^@^{j= zV1B+~)YX}}Ei+4o9)X-9#iOQBs%C+5^f~Y&qH}-sEMj%bbz(bMh?E!W4ik|pG<-eN z6tY_u;q&En(%y^3-qy~E?JE{xr?X#Y+3kk#JO{AG-ShX`tCRQJjGHslS0XHbiuciN z^{*G>Ew0q<4M*AUPTqiZfy~`L$9R)psoT8!%v){Q+YJ2MsvHV;!>Vd=i%&`%^g!tF zG>yaJB@Nr;m^FxUawZhE(N7eZY!&7l*dLjb&Qhvu1k?1gU%%M-6QTYsUH9(#{bigD z+V*-qexMis5VGlmr|o6NzrT*&A>2;(akhW`WHjTY?)iG%#&P;V%7=o+;O%}h{{{L# zw-7+}(H&F*Y#k5|_`v_4TL_rj7&^d?PE1Zn#kfe)N=CYjGlV})NYVe8l$oTFoUk7s zWnhq^V_sn4U{<7*r5lr;7_Iz4J32AlQ2&GW$J7X|lnNuO3ZpzDumMpYM3jOn)|^Bv zEYSZPeL4r+$pNs>1S1I0|J=a9!AL(yp;vZY0Eteakesvyy+T61qKebb8a~>il3V(?q=yafaQ#@x zzOcgqT$Vb+-$`5NZ8eOk&kh<~6aNoa=MXK74rJ?N+qP}nwr$(CZCn4bZQHhO8-3rb zUuUhXOp;M*P`gt53o9d+3iDNlUJN@|e*9vY>L+VOtTpIMrW#;PBYm9Y(UM2zqEhfnm^j#E7b#~i?VFy zJ6OJTmoR%x2WJyGV7lS@#%$=k`Tu`6Vn^u@*8hdXDiHtx-2aXYXA46I(^O4wo9q?T zH`i~DUz;)ZHA%0f#Z_8bS<3lQ$t@R#Fk^06F(dG;Kr}EtOJLX#rOo^8s+h-hFc=mv zn?D6cLbeh~31bWFct+SlN#Kf2Fbf7T&p1mSCRnxMvIgh5kLx={X%bcJy(jLoyfxmp zoU79)6N3AJZWpdNU^t&Fnz2}iGbLI_L zU*OE`X_>I`pG;rnuYa$)tSwh5r2_&4^;mzPa&|-bzE^13t_1Zbt)<=LJ@rZG#6&>i zd;W(O-yHAF58~x2gz8f((5VYe(WRdXj@C#(RB98kYwowZj6LE(3XzgT?$>)A#%n4_ zK~!qxatz#{wp4stc$M*K&?61ouWk^O(H2C?2(23{Mx_eXWducsrg}$dg)Abfm6A{9 zSOTTjEx{u=oL|haXp;>aVL3tVR_04^DFWq$Y-+n)S$6>?Qfws%3ZrJFqp zSS3@srDjTl_2?tJPqXHX+SxwT|fQzKUGa`=|#V&ddZ z?Zy^P?Fu_u*c)j9qEHZ9TEarq{c~QJfRMaYhR$NMSuFiVsr73l3xLuLsI711j?FnC zM=H}en2NadC?BoRArcy4T)h2GsSeW3!eW{;_u)$QgUbQ9qMqfrYD8P2c=qZrHwyKW zIBN<&+lh}Ffh8=}YPr+6xOi@iiw;4`lonpyN%Um~ut2TIYF^0 zr4L+BO7)TFm9o<7G2z?$>EzZ(V2}oYAV&3~p@QH;ELbtvIa#^y;9Se1A&UlKFTu+?D`I3MW& zk~W-Wy$f|9IWr-SOrU25q#L_NP)hZ(BaSN&1aMobF3mc7Y>Yu~Z#s9+lxa|m9P*)K zWY$ANjPFP_Qb$O$>X2G1RV|Yic&T~?haWnnLXcRrBL%gCTa&5ZuNXd>p=0E2u<)Y> z;B{TD4-c70K;_E;G4Ln~C1Qv)PA!cRT)+!0&J4mHAtQ(bZ8 z*J8*nNWF&&<6l&Y*k2rZb%mu&chdc9kpu%MKEfj(hcRc5s5lhhArs!DF9q)uT6}KmFm{MkDuM;Ty`k{=gfVS)=ux11XjD8Q${i_TcMvOi zRd)0z)FUvuHeMI<(kAj5h zlVhPgx@0GBAwDYOOD+UJZQCCu8@M14;{k9cK-~zL0^@`3MpgKN5YIz}*>|k1YU6K; ziQiob+$ZuOG~>##HM);&LU=`e^w7r7mt8RDpGd&7T$hJ$OJp+~17319zb~Y3|U^4?vtm;4$fa2u{I1a^q1k87jIY zQ#DSD&v4E}rcFUM*n^4w!c{@XLATqWmZ3%WEd!xs1SfL++t_%-&TU<@*Q*~S1lJX_ZQU)u?CR{! zxtbn@bkuX1Jx8t|Uvg>ie-?b2avqJ}@b;M<$oKO;NC*!)f$lxMJtrgvxAAg7n`m4a zCEg6U9(&$%dhRa)=x0m7KzVrg@^w@Aom!VuaZ?SUd75qirfTOyR#N+SFDBWYbv{l3$9qmo7`^Sf-RJv+tMz>xS~IKu$5Zuh=8 zwz^`0dsWmVXSM5@U6mLXr#GS5op25D*p+&LDkYZquDnQ5) zEtL4KJwr|(!Bwp>#CPT0n&Po5^sJ_p+!n~2MAdcanM*ICE|X(I>DwcEe4=$5B6^&E zi1SM=rg~pf)U4LFrop4H!pqOxZu55d5%uk=;QWNU`FhR$ZhX4*$cH`mGf8`wK&9(j zQsu52_H>YPPhCgsE+_Q_ZYc7uC-r1*6nv#?^NuxwvoZ0PHC0uco>li7bJ zCOgLh(DZb*Bsew@qO2{|gnsG@LHbHs6C%qBQ&iB~(VF=@tF#RQvoaUF8?^wS1#=+QGe)^Md+yVzq%9T}Y97e2CX z)iX$LyB5wPh`(oA`kKjknWSIL%;)(fHKEtDI@VC7U%Nv7$>BCJh5TZLKUVA9Ay@b4 zDD#6kE9qrA7LA^@4+`Ue*qnX>$UfGHGVdzwW!|!Ph6jfEla>fRM`N@{G zU$dKPa{H&L|GMNkUE$4Y*^cCGWjZi*X*Nru-|(uNF#BfEn#r%a$JULS;`{{Si7vOC zlR!a$GfJexfq&mhvmG^(%VB8Wu=^bW!l17GXT|b8{LyMndqzq4-DXX}pqPrkL_G-?adz|*M9Pl8UMF5e9x`)ZNXD9W!w zL7^TMY}siR98bbM5!qh~ptZp1%z6Es8P7su0ZxWU_X)`g8H=Axu^2gB186mF)>{R{$Q{-za^U+;{Jo-<-_- z!$Y%4*Vr_2&=mZ-ZXeLUb>F^P!=`%p`}}Qby~)=U)FIY<5NkEb#Eb*L8N5ck;ZC~t z1st=DoH-|x@-!E%yHBv$$mw)wLnk!epnLIkYh5N3<31xkpd~%Sur$Nq;t*uBzKlKYXOH=h9|^NpO%jjnOb3jFIccZPl26A7UxVWp_5Gh(&Qd&Jpp-ZO zfWUtf`hP2S4?6$|fww*l8xJ)`4pAY3|Av_%1H=DYSs2Y2+{h(CP=`q$lcwk9n5ndX z5bm$_s*@)F-J=*a$mkpew??-saW+0B9ZibDg{xCO_&LB`xjCL3f$L5mh0$$mbV!0H zDIn@ndVcCw+22RJwP7$>~kP0;WY`Jhoq2pm_&6^)H;izcMO6rxq z0TcTo6)EJO@RU_23^uZnfW9FV9Z@wUkQXY`HM%5?EwJ&uVhvCvdnrN;J_Z#Gp&wGD zr2kxwCL_tg<{s33e!mpDH@v}39Ja=VzsOCP;!4Innj0x&d_>eNii~P2XUE&Jb@W`7 z!Dz-50c;iZ|-NktwXNc~MC(IJDIKW7;-o1VEK0+$NZ{?rBKJq+t zCd@ZDZ&nkY+~PUxoAlYB@~h%3v3*_4WNPB+XfJl+K_)yOd! zC9QteWY5TkaAX0HOQ}0tXkp2Hx#|8^RmGlzh^0`4Kh~-ZxIyd7Sry!_AV8ExDXUhz zsKHY?ZJGs4b;9(h#c;3+E5JX_=n^WhNanW}%@R%Ww(^(d4vBcg3@+_OTElnkd5a#z zMnY-5wk{r5>EBbntf58 zJ=_)gD-U%YaN12Yk#UtWd*mAi2A@ZMwM+?e6vSBH=~GHBql z$S6Je<75aq=0$`LtYW z5Lw|^(=GM>#I5t`Y#TGN^LV-CA^?CS@XVzKY7tC!HVrmVOp;HO63*T_Q84OtvxQHPTzn$PG|LeXtM7I<=b`5Q zH71-y1x&v|B@Mk`!Pnq*V-vh4DOHx86$wFRCgg9JTaSWMC0E?0lu(D-CdHX#@U#Fh zpON`Fg3k0Tb4q_nqj@8o>sxS@=_&~#o3JKAh(V!TFqXFC=8`7K(hOE~ym=3TAzccv zf$K9TJw*#ZSSLyB>l(NDQX|354f`-6`sqla%NfHXoh9}$f6iJvS{$`d2+$f%U;C7y zrUOZlxw^1YsT~Em|50n@|K*g9H8MQ;Z%1XPfQIQZ(8gcb&YRC%894sV9T z#m`18*)lQ?;h(_{Oo6$~cb^rv2ZkZ~dq zh_bH?@1y^E+b(*ZejYT?f=3SA9~75BsLj0a)X13}+O?8m4_q*|8+NIdyRzk@E z(eZ@2j7}U>drdC^0RA?Kmu%JQOLu8xjfH3z5A;6XNz9r0DpR91<{xNT1}Y1RA3 zgv7cPF(J40>S#~#pRd@Sqfcj=pBLpTU(2;4d1>^EOrOjd$@g+9lp`23d;x|I-A1q9 z3TDx3F`jQkPVfMb&r6}@q3}QAQ!lbir8?rQ@Q!nl>M%&%P)b6@RpV3^t3EOOeLDSd zMKCfG%KTB;R;S5203wN8Z|H=GY^H4gQp~Mx4-K#xCoyxCM4Ev{swnA3Bu1}yQ5p?t6d*I?XocF+( zMmw}lLBR0rnWbAx)+D#luYwncw4QnBq!@Yyx=*Jv8!Dqp?5ERdN(tA;-vPi^n)Y;$ z@#!UN3d9%jzXYq1*%(k*6(#b1Js>)d_Al4xeKi}P6I(K$jRY^&JiSWUC2}I!hr%lN z7s4UkK6QFo%ETIc>#g9fOgs=^4{Pz8XqG+K@ZvsSkOy;IF(D;JaR~%2KgR?hr(fRh z4viIm#_wR&r4Nw=cR3}E_X?z&65zC0qXTM5=hk@iBb0K&1WN2a9>x1Qpb;hQLD!AQ z@2PY2-@{(Md%XXN!zvf)Tql;<5vHR(6G+vF?L1^^eAt~kQiM%9S?6o2{k5fMoKY6l zjti1oIWU-h{~H9N6M=DMm4U8L(u(zYT&0X8pzFV#M{a}H;Zv$ksn*xcp*ApKf#I%y z$Dr*yZ$8Kzx1TPLNj>?q1>eGc5fUg8(LuSEw4iUTUPX{gWws-Sj>iJ!TFL%kGDX9E zNX8V~-F?B2B+B~G?X+kf3Nr~~yxUQ$ATD6I(n=34g2qvprTUe7{S^x***yq01* z@WMu=DKrn`HwN^oTeRt^(4HQXD+(e|er86XZvNy&ojZeUI`6%H@LzkSo-%s)nqyxq1b=S-K6+<9HK)}xN z=|zHJv8Ie6Qc2IZC!N9kJ32s=xz@VtkpAI4 zJOa<97a)5gFvxM4kL96*$5P6IvN~h!hRL8w7co?S7jdPur)Idh=wgd?)jd>K-j}`? z?m$Z|y^Fc8)Ot2?d^L_mzDrwKUZLOSEl#vY_n;uV*IH0 zIR~+`{fRfynl+u38FEA-zDsegVlzT0*0H3TT=9c7zAm?`pl9fYmJByFP4nuZ+5i>E z?xB<%ImOdl*?Fhmlf$lJ&KX>$AIQPVit%9uJvG~r>z>rgiuduk=Tg&VShPb z6<)#CD1^Zha5azK+Gl$N1j#68(}~%Bd3o@%juoW;dqX=mQ@&L0ub%SfrD@EO=e_>n<0r(72jLrjBat2i!vN7Xk(QsHYVuR`?VrR*Qp+B%7; zQYx)>g{fw!C6nm_+QBK1IiC3Iz7c_yUiZvjBl!ErZ3VsFHWj>$DanPm)wOa3Sjq4y zq};nXSmF%0Ts*QIy{?Lw%BZF6;7zgZ{(tRX@~w-Mm=5xP$2<$H#IExWWW?(+G2|D7p>6MGc zV9~`^kf68ZE-w#+jIFJOIbjV7lD*8hHR z4aFm%cfgZw@VX5`ZRGC;Ob#oW)H%~G4G#>88Z8k9A+>oT&4k@^50Z%(V?)udpOy%^ z^u#_3Q1qyg*hRuOAQeG1QYVafAq>L7b8+JAO*)nqqaOSINKa6<-y~4E1I0-IG50jh zzhO@9stqpc882jbL(l)N9hd=SGleF@#-w_tv#{ktAcN8jo~063}y9aU8%x{L-OXda-wC!EwRk* z=T}e-YTpsHfKhi?x1ImF?`sG#<^TEo^|!oc8I~?cMHb$ZNO{G)=;!tZUcy!p#$m#0 zD~r8gjh{1$oKHG3E36G4?a)+ z`_5OApg_;#TbGdAH{^S(4S#wSk7T_#rq2_8q^8#b2H?#&OGay>cI z{UXN*txz)oxYrqAhUh>%?r=!aV>Xk|Ati}IwG`>n@)2ew-K_S=wm14|N`TEo_?be? zoj~`r@ry?}7f+ka$>t~OdueDNN}APck z*u;?!gXSnIdAi|i4P%L;L`(=aX_^ND`@0;pFUARF!zV{njNZkEK$KU6CB`vy|ATUciXo8|# zG%Og~tKTv2|74&t#lTLtH+dc7Eu?B^36kV;H|CXD8SBPR+o%b51GS?_0si6a{|~1Q zTl_E|Efe3JLYl@zS`!Rrd|U@T?wR)l=n6NO7p#%j5h}2pk)F4qg9-uzy6t zWT|N(XIyb6p*Tetn-?U`NuMIo-fnO4I5(+xsGWZO|20l9P}@FBj(b7ziKd(jtW zbFvj$dFFf0#Szo3>@&N{_tiXPX}Cl3blxneQ6lcjSmW-O^Uovf*Srshs{(1cvy;OFXH|P7F{8}6jC`@1mPEpgk`OE zLin$~+W&n4ZfJk`Gh$e?atE|U?2X%z%j&`4FctqWmY_2fEaxxHY{f}=8X57FsUPER&}AUec)Rk4WPs% z=|*ovh6GrLsi=!6;1z;&Ikpm|Y*39G*U#Qg^0^MYy?+ApvWDG7&4-Opc88Dz=G(lJ zU%$S6qGbZ{*kP@EEj+;d>mp!fpS%KL<4JX}sI`LY(NzOdJ%8}Ke=?*xgF#g-OgXq~gP2$jDo zFMh9!dMrpZPq;h3dAdZFi^8~bgD$~TbKH$i-H~dE`{ZNlHh(S`qfiizD2j^2+dd%6V}mJX*Zr&(8%%qoy6vAa7!zY_iA2wEGP+u6Jo6UZ;d z`Fre^Y?q0sUZzpac1MBL8dMgoJbm!xUIX>b#z%G6L0VuU*N2(!J=v4VzkRsa&!CK3s#dPd9dP4@Yw+aT$2qs+(i362EZEt*? zMzNb4`(F*%e|PP6%O2=A1+Cl#d|lH?Vvy|g>d;L#XQPW16Cm;@(95&})9*prq|gq1 zG+|mStZ0>Qcos)S6dMJ7Bg_q;J(maUZIhn8+;uOr^-V7h}(U zngzAMUJ37evi)~Q!+CqBeR`J_mo65-VL*vs8Un@5WQ%b_$;)K_DOo8Q6Nk;dVdwG( zh1myzy|dmD19&9hR}KVHFYo=e-$vfb(!A_E@LqC(ukI|YyWM_s@p|~hE&tw7OyAs2 zGLo}I6s?WRsEE}+Q>s|w*{5U7(C#O^lxD%(DS!xmn8l?Ar6hw|tTYx!V6mG_%cW&s zMuet_d~m-=wuoXO^Fw(hWzcdmUr2$C9t4vOY|pE6XNK*#mnva*9rR2$<>2k&_sWN5 zBh|F24WLU9^WEU4*r;SktPb4LrG6?e24QjqIi!=Z1@cQ=T%%?z8utqrND3< z_vTI3t=^^6zsk(3;?JVFz;(@1RDBzU_>0Li{(;}T9!=Uy;u5B!P;DUaO`g{q&Y6k5 zSyVl0(bvpwpm7AK}mGh!>Pm!=34-=1YW zmI~*pi$%WPxA1zkA~dd(RqV$WE-2w%D-D2*psvIEYoRydVhHs*va|PT$#H+JP}#Tw2&aWR8iW35gP%|*p*$wa1h2K4zV>Fz%d ztrG8Yr)IMaVDvOk(}i?`@G)Nf;Qz-O8@ro=k!cyHB z-zAU=*wmFI>1JZe_f(GHo4wNNn7dXuFkv!R!c{y{o=Y{TGVBV!|11F9Kh+xt$B62) zvd(Do2pKJ`4M(q*(*ht9?<)J~CfhN10gDGokVk8oe0%0bs)W!P0Br;vjC*yS=Y%h_gxZn>&!);JL*K9KQ|28cG5HcGeLEY1ZDqii8{dwDY<2l^~$D{%YCCw#r!LK z|FATaV-!G-S3Ev{XV49SK`T9uG6WGXBP`yl6Cg4?^HCM|0m%*JEjiXs`N6eY+ZYf=i`oW%RE|gan`eK%8niGbI|3kUta+0n%p#*MgYxWsO zu5fXZM`>0F|JkJKvAgGwJU{oywy@6rPPL%oH}esjl*W(F#Y3LJ+a;%4aMoiSW6NLH zbS#Ce=cq!LZO_KA*{DA=!TOdcoBcCWE5K6ri^!XXm3x3Nz-Zmojz!eXz=M%E)vyeM zR`SEc|6t9}c*)=+<7lXq5;(q;$h zL{4QE-e;d=dEHSLWP-`WJK34qATx*nQ6+VpU*%=EKYk*E=?F$vEp2CZKNGSDDc*u5 zoUcGnAAE}Z8DYyXJGIjmCI|5b$<-_i0qusn&ATk1xkuRu(1^N62h$&JI2AnCY(A-< zyRoBcY$lW$_04egiq;SVGr;ZY7lxX8ephvXbAy3k_~}ub!!3T-K#-?3(ngsjhcbnv z_E1+nCx~|7DpN(mIm};*@rLGi{7TN&#?z?Es|M6YYV3mL&mO>DzDs2`4s|Lh-)&Hr zm<_av@HumCjQL%LojP5%r6!ZxVYUu zENtdn$^7ZJKaH&5f7u(peOfC9OR$i8(W#fyg8xD?eh{f9_^#f!qJb9CwBgnz0IwCW zh0h1abHol9q>vw$w<78_c=k#F?q04vEqbR_XDIPYa9r$GQ8P>oYe&Xuc4cb~EAy&J zIOUsi2l*mke5Zc_-9};P(0S(Spj;+RvaUYz`eu&%1A+Fj3$CRs_@`!4#7W4Zu3tSC zTJ+YhIH(KSZ1EQr7-_(Z^zjiyTs^0txb?{gunCzkrCKnYR)g74;yx8Nsk-5!>nvFm zR;N-UR*lbKS&BS$O>6Y;t=E=T6kCT8aHnmu-_3Hj_iaoBsh7Qd;Oxy48bUC&$kX1~ zC=RcvDT2FKOJ%abGiJJt*faf3`$jicvWg}idozgVBjPYmX4_5;(j&C)B&GQK!r{$x zr}fpRRxj3};(5pE(}&4RQkA9D{Q;KE@A25gYENensv*=CF`@y$&(etnTJ#=p6JjpI zl{_3Le(QcfI%&wRvq}X!fNk-}c$e#*hSiK7e!9_Qu)0}6Bh2*YHsX?$kzeHwna!SU zaL}vx<;koJzE)y`gmcwuqb#R{4*-LnNb`xoPWYd0uzURX8DnGw+Xb<_QDILRjMTax z-bF97&GXXOwyi!4L44H-M2*R6P=1_n6tqD3mFZJV%!gLR3jVj40Hk?3;W5_=61ii# z83p4i*@D!*&hiqYvAO7!;8womVSVQuacPWsMMrW%YHJOn{9)Y=?+?GP?lFHL=EUD( zt!MheRNy{?@NF%zJff7BS8 z%z8j^hxn>$Z`D&UMPlLI3VQe+uvlkBs@QQlb!w>|Qp4}_CKbPD=Xujs8dSZ1Ra}pn zfR`pFu()&^!6A}wwZL6i2>McnN)GKFmL}3fsx99CRcX&EV_Fk8KNnDpY7DOl_V?r0PskA|d(D;TQ8z63$T0VSf1 zl%`v&{v*85=2{|r5c@_NW6W@TmF2VI^m79dN4{CG;mb0HP61DF3wODrH~F~VU?M=w zZZY*=0GsGXz|3H*K&YbcKyNXUqD*>ZC5}mr4WxZYhlp@;RKCvlAdKa%vd@b~kCAOK zK%kczInQyebj;||^+n4+QgEe*;cmw4xQm7bh>1`+_PXUr&{}vA(?tUEc*(*P(Aaar>KZ#km6A(){M zorH8gp!ow>JXGT8+X`#$$l?Qvr$(!p>SFkwSWBnhj(xIvh>@t!*kw8JYm}xmmp^1? zXh|4Is{;X^wnSv>We{782ERolG^i_Wyk0scbUabA*-l_LffF)WXhto0^v?5EEB2=A z5uB6+HkH&a*1KrOJKWh(EBBax3NOj4Bq+RW!zQLZGkG*dnd)fgdU^FNpj2O-oQ8(y z+i@;-Gy4lflNM{XLas3ENvoAH_}%i+lyKRA{-fgTe&NN+c7T5GG?l3Qlh@d@M)nBuNaR3c}G4-+csldzoxY^O!3yu^P+ zY}xDAXHKK>Xe5(wB(*nsQdm%kS}w)3v^v}>!|52Pa&3lzs1>J$Sh~$@*B%QCx%5T2 z2u!NT)RNTdvETfA%rk+ZQ9zE4fU|2JSgJ$J6BxUyXjUgsNUm$NVkviQ@^D zgjzL&i~%A;hL&|xvq<^UTb|u@kjIZ*Y)>wzb`s_MwgXD<8gZT z-7J?*otnB!;5+_IZO}yfn?tBZ-1r5rl~Mh3(^eDl7?EFTC0T${T8Uj?{Ev!z8U`Zy z@s-1S%gRg>@;)i0;W&X;2v|Q(6?_bx=Hhy`pZ`GDcz%ctjsywnOHb(~P}ySoY;sSj z;ZVlwe!=Y&r7G{VN5!%4AY0A6XS~tl1mgg?p zJm5!JH7t5P=2^;yN{z9fWjXT0M)0fIj3E6eaLJgCk4JDl>klyEz+X_rqzbgHLZx`yf1C zgx_60L{e5d#uau!1EPGC;FxH^US&J$uOS9Ia>|d3!mHq$luRNn z)?b;{IET@sWiQG_**Urjk^{r!7ywJZbOQt!{7W-STsueUVez`V(gTyyYV#iuVN7pvfW%sBb|1=Y zqe{9V+Ba^P`Hv~a9}<;CYTq9ZABuMzgA%AR)d1|+;SuLgF!K=~dkaLcR%+l;;S}rR zSvT;4rJdc+fDPec(f$eKr4Z(4tkX18gAM-9A^5@RmPhwsC1gijxcwcN0!=S zU=oXVZA}gT&^oabKntfHqC(W{uh|S`Y?Qqu-s%bK1!cq&cFgDqEEB-@w~GO|yo#)| zdXdw_^g|_>;(^VKdHe&!sNtxV`Hh7NW80=BCx_jpOxPX>a$bQHLtd0?S0r=_1Xdxx ziGy5kJo|$(J@^Y-xpC+(Zcaqr#)hcDkKyo?9~8rsuEQ5p``;S30Bo@Cu6{lZVwLep z?Qk60fHNXe)wC_MxKY|TLzn~7S>3VfZL~ndIqP3N2U#@Gx91xbgMO0Y4 zyKb(fQo%Kqn^5^4T3lmiqr^fTW?hM9gxdiG2Jbs`x+WSbvZ5NR)X?lbd1m9%x5`Cg z%+!)|SNYUT&hXw%GjWTol+T^~045e?8j{t3CE|smYntv-)4!>0ZC;B?<$)Ua%lp9d z)gtj&WX3e@7mfuCbXOpefx(GOeT$ZO`GAI6b;c7tg}J{SFF*EyEj40UJe;Uf|6Y?k z)ja`pbxn>-E(%leBv8kt7yC*a$C_dJMjk}Kl^#wdg%;tVWTotznJ@S-QZbI|R}y5) zo}}WBvxs? z-PcG|nXG%f2~<_{x=d!q#HkcQKG6?aN;&~EB1+Xx=+II`@_lVEiA}$Sx>Ni;Pmlp? znzP3y62JPZfE!udBwV=AZc?hb4%3^162(YB$HPc=9GjH+|NDxRi%9VIJ!{uSAbX%^ zzdhmsUnzy7B?n%GgRLp~=OxYaCIy4iu5wRCxH>W==9GF_7lm=rIsBGgX_s>~EoF9D z$iM%yUXMmtqw2mZpqM-b)WWu!ts{2!z<;rwq2emJ7ozhW{}}bqNCsCYZNxA^=;_Bo zSgLtT=Jp(b9HB|c0KVbAIK=ko4(z=Sq!P{08eD}GMd3!yJ)C>f<*l)$+WRg%XfRQ~R z2CfQxqmW-*UrEv8mhwA3$bq<3)30-x;Gw!Q^SW5%i}>O_o&T&bU$n&9Tj96S@xiLZ z6w=mS=!yXle>eXMbAuyrFjo2W1DQ&_YTJYCQncP9)%B+~KOsBB>}b=^IytXR%l$HI zuAJL*Uv2aL21e53w+Put@+aF-@W}Fc5|c}PZfjePXj&-Xsg|2X#^oJUQj}xFMXmQ;zrVy zItC~=CeM@<1H7buX%^qH#D$l4VKw1V(e3e~b|&r*hE>=!-yim5b&TOTi+AZi61b~} zbyDb(Hr$JVMAdr?@I29lYBTX%xXJzSTus#=T}C@`)(!JVYJ;;QGOtB{K>?Z@4{g6H z@PYWQlnQFQ{WM+E4>O$5%0H`+tyah>n4@fGJ+*Z>P4k0Hxt)2k3blM%2y{P=hgT4R zM7~KSy&+G?rK$xekS#6^Tss-&MgYO}&Q#6|^b$H7^(NxsFMBkJ#=2L4RAh%`a|LUg z$2JDOn&h6w%fqz2fOfp=9&|Z=GjY9`v2SR31o@QtOlu@jRe@$${h(zlaNI~B zHSl+)bE|AEEY^CsvUb5UR_9sEjN6fRb~IN+lQiX+0?p{|Q~|n}Zc)pIX#AWk{y40C zHDfF_2|arsPB>w+*hc_#i+=DE>rwn$^vu)@i&(XKo_EeL&WJl~eF64fPKq&=XgX!Y z*FC;6^N?q!#Wuu({gQf_SPFj^NxF@v9N({$d=RsFJlSnT7mq9_8&1Zx;D+V!aty4m|$+Ws?h zBZA?+Z7Ti@(S@>R;3}t&}LC0ykl_(TO_aLY(_iyaLu` zxeVhh$+cI{srt=_NaNht;0axuc*^G2Ppf`rB?g;oL{YLY8KTcv&wk54!M~(PYu->b zZq8zMm~HQcGn@OwI8iem{EH3`Ap-9m78 z*Wk8naF@k4xGpZiJ-96voL~WhySqEVEl9AS!7jO{>Ya0WGc`3*{q^+xGt*Tw)%`Vi z$Kp&{`Yo%X)~cU8PuP>0nT|+sIRx*ysnmXGgV%^Bs*eC}T_!GOt{bWXXNZti$K*)A zvJL)QPe1GhfeW!BE282nF;~`@Cv<_yM$0f$G)=Br-yT&nN7}GM<4=i?ADEP5pQ^%7I>0dPb(i22FSkn+cIT171yM|w9?hVsa z$+3%-_We>)!IG=HY=6?ckmmTv(Oq~A|{$X=)s{B;$avkZGS5gvD!eWscQZ(L4mVedhZ z1QU{MYgYVgSMcOITCg?Sc>+Q)(~){y5HGHRBf7@2L}H@bSE&7k@0$oC8*ceROy3Qv zIAhic+d+DT0USFmFRA=SlSsPHT;7EQUWcNRD4A;t)H6yDr5Nms5yRF%uQ_7( z-huy3Z@k{~Qs?U~_WM9D1(r8e*+rCl)dx_wcnI{2A=0PcysK^;+#X)XAzvTO zo+H~*zXmEiqhvlHy~%>3(Mcf=7l~-VjaJL-nr?@&;h$U=VmeR|F3$brK2{1FzC7hF zwS-HGhB<(ZT1faF*P=O_Uxj{bai2!o8(GNPOS6VDd1W;F%D=yGVN49h_1Bl1inpGE z%?oV&2G;fO#t%*D1I9r&N&RaZLxS_+WYd*YqgLC#8oK_h4kJ`Ouw)D{jA1EMcqn5R zj$~$OHWI>hz?FEj1jm8rX7Vl-%$?MX9Cwsnk;?4xQJkMoh zO^M-r)S>dy*!dHa4Qz(t5slI1@Eyc+VhU~aK^&@w28rDn+4$qs#Q4ld_JUY~_u^FG zc@$r_OiRMwO`F>W8Yn#kv0Nw5OAU zyNVzL+=TJgiv0pQc`6o*DDE_`S2AzFX|F7;<|JJHsA;o;zLK4DgIHrwcA{E2vcFlA zqRIOHpovQK6}@^bw@V8@jAT5Vo&Y{+VI!OI|4AkJEk;oMVlTzd@rF(syqInUvvs9& z!t&6yYOIF)*pAlOx1ov(0}uI9szl#kbbn*ttrZ}Pk2dZqE5e3vwYgxw&l}^;Q$X2< zQ#$$`?JMz6=yld<2Mx!iN%J4jsO0godnOE8$B_#}%>sNDow>}aqoYj*un>*XNUZ)o z^XiSq*NBymJtYsFxoM`ja%>(_u$~j;8pg&OEwP<^4^hEnVLyPrP+1{yK-(=c$A0%% z`37ts8OE2<&tKZZ!%-(P>=-(o)s#+-JGOy*GGmh3i(Jl%J6m1W4`s&f_-1}qfQ9A0 zaFuT~Wu*FP=DjIL46-uPT2E<%z>*;6!rY}z1K&kk`bCRByBP%YtEXCE+wX!~0xjJ6 zUaUcg!g)b7j8O^Pi2Frlx`zd&6NCs z>o_YUyuWc~s-vjhDAEgrir^ef6VM4bx+)XxFPf3!6ncs4IL<^%`a)B9y(#4=SjhjE4Xgi$qVw8FpA++XOT9PaQ(pleR+bh5#?Ji>OPXuE%DP! z!l=_Guhv23@d^h=0JPv?UXh;DXnmmbGs*6>`pW-RlaiNzX?#@l@JX+@qtPpl+*XZs ztvkpd1ATcUnGT1R<$dNunmVh}f>d??bYrK{r?qY>H37;NS0_x0B^{-7x&HF$*18R> z^SI3Gktz7oV9kcZdZ3uhoG{ijN(BmX$aq4S9s6%=1Q?RON)Jr) zDa_kR9`!clNXhJV(RLL;FZ14MFb0I7O5$h80+F-4s$2qPuDk>an+?JR1Um%%N3tdP zCFWiiqUR836&{|`oiK6#d_CIttQVfh35Ye_W}EPHN)%;;Tsc6vsA2y+i5OqXlTmLa zXmU!7n)TIfbD3Ras~n?Lc(9_*?fiD`(YPlysBN{zhX)w1I0iEC-~?K>mw0=Y_k7f# zKG(GAI^Q5l1tGU;GhNX~o=FbcYV&O=E*9(GEsW2sfY#=A##=af2YQqzezEP=hvemH zA+KL|A>(+U9HhjPc-Z#lza|K~%U=@pW18kpjPFzEm0P4!;inic%^TT-0gqQF87B~f3=83 zJ9K~iO8k1DP<_0kDQERyWx;C=jb?zZYZu#jKDgbnX1Bk84_V@%z~7yYq~sI>DTc^` zSmd2{H9I12;P6`!`XFa-!SCC<>3ADBVj3QXa)qPNN4?4USlQlPY|!ZQ?_iBMFnep> zxM7(87$-W>t5I7t!?ul^(3~bnTNI!hecST`eWP!h6+z7N0LLm9vkM0GaL#(8|VNGSY*q zaHL|IHy@@??>QyReTlX})EK+Tu3nSLg0|k?e->xbac$|tj`9^+C3<%+e`7ImvFSXl z=zjMv5QR3Tr_zt$<%T=sWZnm;-x|wQa27X@Ts8e_chYt>H{7#-1>azDwyU<#)(WGO%E;gH|zEkQKaDjTO7Z zu&H=oXeJRPm7d{|*FBnaFr8E;RnJ@)@;X}b1gT^R718V5NUS>mE4PM9MQWxIrzHz? zfG}`U_RyjmFntNlT9E3T#kh0rIjZdt8m&?nwzdj{udV62J$>y|8uw~1wcDy=fu<>* z4wmWqeJFs~l~}aoXjlPtpD*=FrHA`Z*lp4Fr-#H#? zP6pg6p1SjP5cYb6LxWSDXA@E@C7^AfsrT+KCa_6(y2C~SJ{tYQF*rleH>)w{hoNp& zWouSq?<5Os)yz~EA|9pMq%^FIkVx|mTP^h=t#~l_shf)Y<&`njC;rZrPuzc!Rj34! zLo7>a2c+as7=8zqV+q$iYUGzqM!Y{yM-kL~{^qCncmM#y zuUtE4T*d^h(_Ku{`~l3(!Ii|NS-Y4 z%{BP({w^Z0m#a_@431s#FRItuG_HkrG*BaHwQA4`ZxQ9R>J%iRQjlfTP6f{J=MR z4oMy5y4SIWFGU)<4&LYGoQn||<%Hc4Xs~B5A(aGs*AoOem&0;g-g#S7j?aX!^2?vy z2J_>0weN?U`#LKRpv^|jlAfs1J#D?sZ%e7RQi(8ql2Q(%a@GEv3M`h#u%QOf5WISo&ZnE}}*`kB1 zN;S4wbXAH_@3$N-*q5f8&ea{)@RU^^U_7u0Mc(6g342X5Y1OT>K-3nw83@>8_I5+jq4+wWjV9K?Da7HY~>~ zkc*@zRk%38yny3f=%_!rBud7&CdY-m5}18T1$X$+xusfdj*gR`XXLAasTQ6s)QIstnPSch@Js>AQANgjLp7JRllHpI(nSg#{qB|@B@u(fy9$N@Fu zZLr5TN1PXEv~Tv%JvQ+*+;67l-1H07&H;=M0GuBdtHe0%7U;@~6t*F|?^GQ9G zujYoA^nnLW%~@ra^H(XojO>>D7`yunQr3|xt1{&R7Rv6-(PZkJ9<-z$)&az$w6DNmpQKOU}JA=V#V-4^W z6it@`068YnVa@hV2^C;RjUAl91juv?T|zFjaSzw%6q)iZn+HqwSJB)#rW5I&VPEsp zSp~p@>M#DVz>(b_7!z=eC_9Ynh)0M*h;~k0QNhZyONx3C0H{4B%LQEveMptkuH=1U zFcJs;$!Wz2XFZwrL$R&(QodOUVTyw-v1viv4r##Sr&awmikaFOdxh)$HNlbyE}j6n zeO0_y{0RLw4XRrYNYx|4UN>|GA1D`88M~_@4Z0pnH?bXW6{df##We{8+2~b9^-Ys; zQ(Ep4=pBlcG(qUzf0HC>{few+tW0{8;DUBZD!_gfPNA$mtkb)7hdcjEG4njMz~tSZ zsD%%FXelzX`>7sioRvS?GJn-z4o)}X|H&SrN1n-q3}^RAHjY1y4z2xawwLnx8T!YS znWfY8&#?n#gMP3D87@vwshR{&c4U5TDY{UMlF(d}E82Qw$2?OiT z!&tihD}&(R5&->`K~VB=vgbPmJ3hpP10xhVX%IU3FhpWH)vb69R-Xd&2ZH5{(q1*A z(>h}t=%Lgc`0TF=`fOnwCpR5ZuTMlXhs?f*7}!y0K5#YkP5+=YXYq7C?&LYSPj49& zZvuSe*IFMuT9JxVlvYoyc{?><9XOvDFj43_S|D+t47+|AwWTDzE<`vAZT6iE=>R94 zp5lKzj39$^Rk&hXI?o$y8|SDJ0SgpStBQxbiM-_mVr51IelX73yp7CVqe1W=K>-FN zUmkfw(aVLqW0ZMt+GJQc3je4J0s7l}EYW{S&4^F4#I7yW0k|D}$ zPGl?)LINEjaol`j6&wCSZ26gzG1LIk+&MBu@8ztU^ z_5%`J(@_nXz3%T*K&DNwCokwYXqnu^g2X6&HAL9AFGciI)Y>cZlR@@eswvXL%Q<#^ z_zh?Ex(wJc-*pWcO_z@bCBJUag@tXe;ewr3V#D5l_#&b6@atRt?S+9&S~!hd*cQU% z!D-~DC!&LQlAp8G@Qf6>{I@>M%->KTFMq?XFF#Y^F!yqF?g|-@uvElI zOW)REX_0#u*ErZ=N|x;~jz-p+*bM^6d@e+||SkHZtWAZ)Z9*aaR)C;li`wI%DWSNfbKn1e}o6qg) zeX8-22FI0w2Qh=>Q$;L=1_I` z_wlWH;5?m&Rl`LU;1hrL!<&~QU6*=x!DN{Yz#C8*chz!=7H*4=R9O%rO%Dm4776kD zD0I~p90IS^)C8yKY;f~Tm)<2Lk#4duMJJU8VFBYOF$MG*G1sCFhOn2%9O@jMe-6Oi zgVsLI6f?pLHZ1E7mHjD8?Fbum=_=OREq0Q3Nf7d_Bz&)oZe`DezN!#J`M^YS)hh|DD;=~L2EPQ_|HTcJ$Rv`hWjhoeR>h(^=sGU#|7Oacn{>6qm zQx`7%igdP9PxMpm0l~|XRiY7)-|j)dTR)#8bFM;6ysPhiP;v}j`$9+AJ{|{8i||~; zNGVJ$_w`Wb#0G4O{hWE{3t@KEelRCe*fB8(3Cz;0J=76j&lmx|A5g-~pnTe5ueGly z4X+NGqoDS6ng80WA99Z8dPSfDGv==KL1>|$34D_Hm_!}`itx=wBE==(rsJOo`OMJN z9Eq{_=!7jysinUyDizk`mDb8Mml|P$_ON>_+G2XWbVB7&LE8JRuJc}B#WYle#OW@*L6dPo8+2lLxGT61G`vB*d zox8=7O*5ENcWtnzdr?QK5Gwt?qP;2t7);s$L-Kzmxg;7=O&ZUt9o^@J@IT5OBe1HK zhcm#zh1JR2!N$a6YX^C2ry6mXSy5?Fi=K;^02Q?69KSdMXYL>@RWu7)^Bui+rC ztf|Vb#?D#CSy#o$sdb8m4umPei$_#p20Ad)zaAt`*CWc%CQ9=+W5y$V;ZoihoZYy7 zE`s~B917#VMR9gA3KTeG>&kH$b(%Sfx{1;dH;5PI4ON5~pLV_isThT!tAW z<1OJWbjR1;J)HQIktmcTiA$tU53%9KV^{I&P`P7YSC-S-%G$ zA=*Q_V&hGjw-4nU4?^XnqpAZ1-wu-nfYCwSiz%t(AW!`mcYjDAk14}Ihqc<~t4!Tl zqI8gF^_}%R3;i+46|2K^uwWI2AdbSxV3xJz=JSL8>e==JZzI0yy!~G@SNd1TW zUjz0__NAEZFZ<7>W&r=gK5N=uk}tho|DLt(Sjg`EWh!wMtKrD(#( trU(LCami{rLU>HvIN6zObh$P7J~}#Esq#nx+yLzSj-DJ4Ycr6@{{Vg3+SvdA delta 36496 zcmZ^~V{~Or)V3Sj?$}PpHakgo)Uj=&)A5RJbZpzUZL4G3=-}+<{l0U?cz>K9E7^6= z>z-9vD`StURW&PmA!73(0LAZ+P*`AKU~pi=K0fkVwP%$6;9y|wkYHf2Al1a!;j5di zO;m!My}t;S;l_(k9S{2-wBDG|2kHN#1I)kmU41Uv9mM1kk zGkXT%6Fi}V9$H#7PaJN`Xbb0pQHSR=t<0ASfGsWnTEBZ_h zdt0@1jzY0|xq5;5c%}ZIhDj6Q9BFJ`Z$bsKha>V3II~`#zlA8^VV)8* zX`=1Y=hZI{EwWc`N^97Lj(EQN&%gLt9>*h+)61IAD7S^{I9DDjYj>;OND`W~q>6kS zs#qE}T&Q@jtN0aTS%0ea8)@F-QN618uk4$DlJ>`pBF8u25ctFMBP}el@Nk3AE&lS> z>=&$@^@RXZS`v3jS5OSl#A5U5GwxXa0RO+A)a$?G;&y&8Ft0)gFeK2E5?55^HL`SZ z{%UGscHwPlPw_4O@%JY}o^VAwE?#^1;P-$*2&|Z-;EhO z^>P2bZq*#Gc3bp%u>%t1Uhb|UguDM%Rtf9+{PpUh`+Pf0Uw%3pe@K3_tM0D)bM~;S zCR34|jdOH1u8^&LLAhy7rO5z%*RpJ0yx7-&5EQPy6sq_t+^F~7@V0mRdZ~K$D`-#k zE8Fu|&j%^}-@l$t=678;JsLkv-RtcRfX~O>z2qe~$3?3Lt&8*O)$QsFpR?mPF;33s zM^)+5_v}jh&kvzbx5|%?*LfrFm#3xsZHM>-gizV0Rf08ggB8e6i9x3<1^`RLQVw+mx2BZ{tJ`JWhhKd>fi*^63mLmPhH`ndmc z`Tc%iR$CcbL5Ed87;*yKn$<$*%wze2Zq&i-?)>KIG=%usB=?#HYVwtOa=EZJNpf>FuaMlirrk%e_x6KC_SZ;k5b#+2-$CyPB3(OQNp! zV{DRTz(=p)uY5F3eSnfp_guD*72#KYvRkM3JRHD8tvAu*7lpQwJY%w+Gp)UB(> zq_tRmE9BLz)hw@7U7cJ$m}K{~zd3l)9+CI!EG=N5>v)^TO>V5EXtIUcSZCf)XI`?3 zPWafqs%(8)caf#>yj6Km1N}$$UA0K|d>9zE+;IIUWZ7|KZXUXSTbqfPK6?>!Dp@kv ztGlOwkH|yE-~s?i`fADHAtF(X9(rrHDh!w$g*>8%SWaikn{0=C`x+#%kpfyY1!Q} z@AJ-|d1xWO*m)nKKeuak(c*cb{`8aNbi*JXJzQ#Rx!E-ROXY77`=d6SMxRGvZ89Gh$#p>PX8BuA_X%@QwvhXu*@NxpVj};) z+lF99=yyuxpWJtN`d4b*XWh%Gof=F+jEjNe83;9xK}f34(^s#TuB^;9CJ|LiVQn72 zp4@A-K38A2`u9FFNr(v*4y_(bS{_0M%aRH;yMc6MM!7VF!XWjJv&(Uhti3FnJNJ0c z+S_6Mc>SZ%a>W`?2hvhRN^cHQTU_aOR#LsdQPwv$+KegU?)R-q_k(JZD?6O4?v2l_ z+gFmWRRF!zZ+&}bgX#tS@GnH1?l*4knA*pi+>xWBtZA`gW~Kk<~&)S8@2Mx zoi$QDD(f=;xRur;CLr%B?^qZm+%LlDng^g|!Rm8m29~qtb`Gw z7Y5_F0x70ra6xwF_ZeH=&9^G;G~9$I)TNEX{;t-K`-v~rj{7Vm>(s?-hVvNR$jzIH2kR%9{qggN^v2eE zQ`VN3CeWo*)s{nBki~dGqdAvAN830_W;;jtI&ayXVDkRqvT#Bv^KF8=j}W10h!CL< z$$8||nRs6?2R5GaLbNKI*3)7gc$~4DBM$!8|1P>T6&$c*5c?07bqmgub_L&)7LNTq zS2^2xZ5)~W1X(nzKxRbHB2mVqNydaRVI|I^2$NDa_SAB~pRdV@Z~7argUMR1Q(Kc$ z8{@(GNa_!oL15K{!;*gac-6*}In#E_Y~4Ps(umKBC5CXt29~j@eU#J?a5ceYR^Nn` z_+5pEDT=tsLVj$wzz)M3e;P-lQhQ4Gtv$%@UISNog8h=p%H^t4MPya}fquTtX5M~l zT-;lQT4h3y#~GJ7T7Ug;+2`@?UQ9RE_k$r=*iE5x0jHz*qx?B5oeI(Ufq}?on;PXe zCn-@+IL-T!xF^<`cT?67P`a?&ajbU7dqAT2eKS{oa@b~+<5R(V`jfK+n$r_~!9Q)t zLIZK$5=b<8x8XabL=|>7wiblv7S+=|Kzt;j4qnama7ECecmI=DU3mqN56rv(j? zZlMN8Du2glDqPD9aJm5lVttR^5?fLS&GjqJNNdMCapzl=0upk z_?c%UF~cZrlfT%X_^eUByL!`ibY198tNW*Ul_kbv$SU$D`&Wvei-3m`({A6a!)3HB ze{$fA#O|ph(-{(N{!5$rS~zwxx*hn%3mHf+%d@TYlWkNig=~-LL_x9| z4Gt?D8xFv-MudVGJahLGxe3-LRqQz5gI}RmzGlkq!1=_b&rI}0fXX9T_vMW0lJw>K6E|JDH9m787a7`h27ygt+9HJx}2_CEb3DjpemmkPaM0 zrhL!-_6mP{fS14BL+vObr4(ZG}A0J!;CSoG*yy?Z^YT1tB!20`jk736HX&EEhl$ znjs%~@U9R(x;TV|1QL7>IxD5G)IcoQ{}v=DD|0Ex$$tz}Nu)%7*W)oQ_Lasf+WP`h z*g=YUC=1avnju@(%PQoBYn)4fOS|HIAT=zJy0yNccaxC6-CA^(Y7qL0TzwwR_EUzM zH7Ux)VG#O1peoHZE7YE5(?5XykFmoiS6>YxBz1qzq6MRlLH=(-1Gam<$ssWmHF*&* zijTBMA7brIdK`MD#M(E1&M&*qvnYH6s1fHlV;VlaR;X~o1>fgc2M91v)M_OL#J-F( zYkVV*CLuZ$d#mXf6&u|@T7>oui-b^A`91?h?IOsr4+K_)_*Xz?$Sjrh!D2q_(sGBa z>kJRn)rAZ97`$CpFN$?;BTfoqkD@bT%9ez(W*PPr=sZx7BH-BDRSK^m8l0%NXei{* zf1m+28o?&oiUzn=LkP|5X|+qPOY=yAMevp|1OR!O9~)e-*-+)iMVXVSasLA{6X$Yz z_n59eP!lXxeOGJvd8UA1Q@fU(vHJ@xEe>p+U>3#Cn^)AolYJ5r#-Azz z>B|fv#Q3p>VH1BM|G;0`kfjVE1!pw}x(X=pXUs71{5-~@KUZ&4e#DE%)^IF~jP1v& z`14cWt)WpevUA4nSBW1L7_(s`i}uCKK#q3$0?;yramH+(NTPkW!jLjua5Bq56&V$; zqWcy}B0Dy}3}wc4Udh7lTRs$rP#E^HR;8HI@;6Maoz`q|L&z2P#rnTa{Qd{Q#p~oc zMn&`cBP|03ER7Hw%B#Wz>l)g|X}=)qyF9TJ2QQH<2QPgF)Feg(%?BJ)1}sG1S6+9K zIbh#Kac;k)2a7U9k~)$wmAh?!KUXecen&1LUrZ;K5ND62o{}b7$LW@|bo4}|bX2LA zO&TTQ4<4`6(g+m|YBQ+AR#1no0sA#A5pfj7kvdM7k~U6!Zq`mJV5sr^&G3buF6>lt-&RxsiXHARCN?vSgl zRS=g})Ej1hUy}AO6kbBvh_<|3`Ze?#S-(WIcZ_ShWLi=3>auBy`6F>8_~#`*St52S zTT3LZRrwL8U5Ly^@Lv?1mSBeYwH?9syluWVLTPo!KdW$0ykgfm1d%bvj)dyY zHm8Oj{nH`2z-rmLJ4?MPr)#w zw75o$9j$KDZH4%nPdBV?4;n7oDY{~#6mWPyF9kSgRGG&H^j1P&I`(0 z!~4sE@Zh>7rnFe$u7OpanK>ZS*f8(R(>ugPGNa6+P$^EKcA%l2d8@d1(*T&O0(Wm7 z&96iiJMf|x=Y_iFdc&{B6SNw^Xd?sLt5EB{9bcZ5n@fzP2R_=7OlGv)SvtM>kWy~e z=>z&nV19HWYr@nMRm$~1-WbR>mf}Z1<0IiCrL<-snFMG9x-wsWP+=f)q{OlsxE8kA z*i2V3(FCllG-+$g!_?Mh);DD!0lSyn-5$73GXg6gDre*<(IKnJR{DdYhE?W$D;m=Q zm;XFmA(43rau~^OoCnd9 zm!q+ZG7^a@^{d$JE2s^y#mewa2Rdx=T8CkL%5|!&r^|?O%yFr(?Fi z|D;Et1ZYy%Gk5@`#GgN77h~yX`SUv74f1LXE}=U3gG<-zJZQc|w5=O4-hA6cXmaHl=zM)z;C7nHsC!TR|&4yR?Pq$af7D)y$qs6E8#FYC^7@U@x)BpjO8$AG$HSCY!+KZ zmT?{wqlO^-EuOF$+n|oihQ8gh9)BKO(Agdv1~$B@W5P{&aS#-VwsADM`*&{C-iPeu zj(}|Qj$1hHeQWf@#0J@+wh^yk3>&W@QG6w5(LRh~Hhgk8N42Xrr%+%3rqTzIAWY3h z0rdLDX>1gDO&TaVe}|l7GRH#wZ-Q|<`BRSucCwJf zpbgpKGOh{d%faMmYVDhB$BPIaTf|glgM1D0gYbr-r2lTo0V9-1+G9%{XV3TMj36-S zdGh}+i{;w>*w5`;Ci59SUUQl351^C=~CmH{DWABRG}F|~ZHf_3p##COnW67oxn2uuu2!4<@bFn;WW zAS^XQc?~CO!I4$LlLfw#^K4bEP`uPaM;)RBiQBwDY}G%DwwM^LTEsW$bP{q_nHxs>*NFF>2wU?%vzR6CJH2^FPq`yUUlY5hN#e?Xrw|3v zQ5@^mmqNJM-|wyz%*>sGh!X=xY#P>_8`rG0%DK?mj~on0)73vHNQ+wZB0MeIf}oU#yla}deKErJ6}xhuyb+w+Strca;*=YpBJ?! zZE^(WUj^@csNvbceVbnVR&D5=G3^rmOK5$prp7hf2&!g&si}R0c^c4JpH3!ezFc%B zxCo^4c-{!>F&|~Ck1LEn+{O$F zwlI8dKX3O51mCYe!Iv1MxCxJ996gjhpzj=25HS5U?WXmanw#7G^%SvN{N$?g7S6rP z9GsKMC=tm)q;@bI}q|0LivV4Z(G>h$IL@qwOX=; z5cEdv-1qy{dQAUl4b$Pg%%jItA9|YHKgrrrJ*@Pp2%~v#B^wXsaJf&(+AkfnT%OaJ zau#B{7UahmC}S&aJ=p9JgN{v!=Pv({Ep5dj4jZ?Q42>JC>82U!f8QwVFQ!^IAUe zhr3#u^Qoq8nFz}i%{S>FS~*9&pE61z>PjPe9N-iM5ovxp*xU1*KLnHhyGuA3d<*I5 z-J_sz2cFhFeC|x&Uz}P`1M*^bT@8fs>NMO9T@rJaMmEuEreKO3-gEufI$Q@m#qZpaIB9a+#Pkz~JrRQu4weCNvq`Ux^&RA? z{L&+5$~zCXI}fSXuhG}9RoAas*RNgIuK>8q`%cW`RUE9oX4ivs06(qaI?r|VHE1Tm zxdaa>c#X0I|GS3+vVfn_ay!Mms6m_CoZ^Cr<)VuYIf93;>c&f~9e3v_AS2K=s>EWz zlF`V(nI#gB9bqkxO(Vq+Q^2x^m^Iy@s0aeT>i36QO*le@;Q)07?k?0WpScb=Kd@{# z0IRpiv)v1piG8QEw82dpnPMy$v6jRt-s6sf!g3h!l~IllrGf@bhiE;tks;?8*+yMj zq2>=HTBvF{Ct8SXO;2d*;jvoG?lo2AY_Cp0b?29Q`bghvNe?0TFPLU7qyx4rd}wp- zmc;@0Lc#MpGLU~U&N}Si2XZVMtbr7%5-}(n{7}|;)MZO995%&udW5>h`#=$$BMFI| z9`s1OGqEKPKNb^4C{1RP{=U$visc7G%@BKJ-PNwLvR+`W>Pp*?u)Kz|ZcBSk4m5vjcfEl9@_JO#OdSV7+Z*2ZN<7|^R)fSMG={Sl}{u!79)%XpG-4r5tJk_KQiOXM4z%y zZmR6EfL53-Mc#0puBF!!7L$o^OH;Bw6Q3QlXjL3VFAOD|xdWLIjq1W5Vq}%QY$`d3 zW?}bQbL9W6f1CJiRYw^B1lAXg|5*;J3i_cNZ^}dQu08*&x>0Ym*drydM~TE_-7D6h zFW}S&9@`~ljQcv(?6YXYxbOGRpv-EJ#bn@h^k}z%Uc!dk#FjE`>lM4IVp8HXhKGQW zj^e0<)zBJ<&{?^c5y5kZ`(sC_nH$dZwoc#lc)Xy@%KH+A76foGQqq?u1;#2hYC=XeM+NA_JQB4m`GS>vY5JGnxvO@3S@{TnRfJ?f2ICWloy(1;;;)bYf3y{I&8Ql48|sb^A6#A+kW-C10vV-L{sSl}NNAWj-dDDNW#U z+B6&;J0B(PvC%h=o$3xNWFoQ|EuEjsbB1D z3m>wxP0gISL3D&w-oAUXaq_mA6}T4T+pDtUMIN=KP`UF_ zLcwbR1a_oh^A9&V%h{CEUV)^d11g5vq%Rq)#%Dn*?53zqL26)l)M zyCT>vXAamtya;$nwm*a-GXyj#0W35r;ypeScX>wi*WXs$FpT~?Ep6tFz ziP+-H;$e6$f{DLHmc?1|+la&IXC7ivgQ`LVU|a+jM03u_jOP^8EF_D*sRYQ}0R&;H znu6aolV9Q**ebfiLWtyH&Bp>UFFaUb@QhS9H|N^iz$}$iC}h6R@5f@?Tt>3u7g_mM zGeb7O$x!5rt+BohZ75u_w66E<}lpU%ovpn zh5V@+@gp~y-_Jo+Sjc_eJBoO$ph5Q~!}# zQ6llYuF@b z0XHGcT}UMI!L+Yw#7l8U07xqJRw539j|gzqz+(fWypGMnbKY|?ih)b8bi#&=Ji;`G z9oj4uYJ-dwmy9x+b7ZraR?-gCc8CTCCG_-OnE?N7V^d^37KI+8rQ z*mq;&$4eq_b7*wVeGTfmc5`xcbMUDJFV@z5cXe;HuvN5bs$5PkUp&ZO0;5vOPmt)Q z9m&4X`*5oUK%2aa9-zH^KKI{_uTvY1E#D>Yp9U5>K3;Dw&2B!%-#?kEKX>T2v&RfB zyZ#>HyA^&wsP%n_EAY0oK~PN=la{l;)8DwW)0b*oRb-q(sK!xJa~7#K;feU3_Dn)r zhD025p;G>`RxL2C3=e_beL84Ju{_58Q(ZA>z{3*^kO@fkqr$Fpb(EG}2>V1jA{Syl z_te|2b*T!V2`$t9kgos66y(>i>X4P_*8(LsbSWIPCN>V(MO?u9J(7W4>(J5SakH~;$`(7vnA z=;5LCcJF!}u3tNLB6&0KInLlSxSI!Bc>wk*)z8>xetKBKooAgOa>pG+;=F>$^F!V{ zXZ=WOxYSJ|QK8hPgTR@DFW`J9<9YKwnl5$8lErs*$1eIfnSVhFpy>iLohfhe_%+BZ zTKV@Oo^e;*;YWr6qbu7oga%=w*PlXkf9BM$A7kVM&QBdij)L!~cQ5;NNJ3 z=(QOeFJ$=5LwaqLN9MNr1=xH09G(>?SrG506Qq2td8QnXxBK(yi6!WJD5BLLZM0_q zbjws*r0hMIvmWw$d~E6g_9Y)@j|)KOPQFDhqNQjy*|IOrP^RT2GT*368gNW0Hw2Rp zJ@X~D%ivol=|uxcG48gc6UglOvFBKv-*tM$@AJWXy=#lp5%|l*rO;<%qFI!aE$1v3 z|I5sSVieM`M~M{Zi&upLvW>Vb4qO*?am2SO#L5Kp?aaw`I}BKU61!h(E0^@hO096! z*w(trV@r)O6ru|=gZ>P-dEshb2YR;N=4dE)y!qEnAog#hT7k| zyY!@~r7+(HO1H_E*M|E28dVCdWTp4hXvB}e(t^oFNLY)}fU9w#{lsuw%#W2DU zO^y)tH#Xxm=f2OQYe}1g7Bv#o7pON-G-$|6NSDhKHeUtYH)UpusTDVeMWh7T&ku*5 z3S&rU+CjrXG3M=x`RuGi{lv3=wN{t#o9Q8^mpAC%!qRsj)(3kPOn($N=XHuxUOGiunbBIna;07KM|8V%b0{FYW4- zsDz-x?(Zhz(|3(#&dSfL1Xtlcg|8%Le@)6H0!&R?h-UCy?EfG;R@4fG-A_P9b!=)( zn0Nna;0AZ&D;BgvCO_-yBo)0-l>=wy7JAo~nW2&Zk%UM_tZ2X~Ffh7phY|^XD)Udw zkeSToMX}BxkyKvz)7uE?z%TcVOaWFG)g24;Pk1D@EG`JuM$A@Z?qP(ag-s}{;GRo( z3>2zX*N;Tp$s->26K+5l3@<{%LBmBT|0vJZ&GLny$^;+TwVAV$_CSNTe})Wn$zX}i zgn^5|{8mnOqC`n+LYjmmf^!tACO#)Fs5m0Bgy+jB4_g^r@Xb0W7Tg+ir_6oS#M=e7 zA;zE~V+Uoj7H8zAQ+?-zOwbWhAEBSafjeH+s79pTmv1uXlg!Ja>Pb#XQrhX%tz+mB zZQHliQ5+sa&}c^tgY*ZX;tg{V;ghn=CW=yZK3dE~8ZAY7Z~@95=--?Qak2Z7^hQ>q zjti+d$*&o#c0;R6N)@Y1;#jjJky(BuenH>CW_PqupHTUsJ~3htZIVLCJ|Qkr4dlC9 zk=4N!;yAwMEact76ymTM8VEXoQBmtCFi8~UEs)DAC2FbIO&Q-wChTI0GcK`8FO z$Zh-O@IezcRw_H96uOkf(-bPRSsR^jh%xO$iY|&3Sj#f)3dog8Qd!6{sh9=(LmGu5 ze;kN_)@0z}tH@mu~NkNpGxQEAI{RHRSw?^^gH} zHSWxvbLl<@wp}Kk+C}zASR{Ua+aNqrq(t`LC0$*DW-|Z3F9Y%xkXC^P-Z+AgyB)A6 z13=o^|Ayn65-Cp4oIv?IWu9>I=H|x1n#8YmkZZDQMWm@k8rfBO3R|&A*cTj5$}&>C2HrWq5K2uT;KNUVFa1<`3U* zFj!C`y#6tbvi)nnv)^AkcPiAWv>MBv(rP&pS>)MF`9eiNv2oXVF|` zW<@B4z{fe4@OzS1n7CGQJ6PrY^C{7PWc~A?Uq)`j@ z`i?oE0vug*SxgLQ<^($b;!gebm|2?7DtC{xZrFW4LRA^Ef(h#8OIkji_ncBV2M8JH zB4~WVlVYb(rfPQAu8MG}oNd9=?GoQ!H*5^=zy;H-lTzkl%bh4Kti;o{G#TC z%f-Y{bGAqtGFhu0X2lZh@<%&Yaflz<+d}6GmRHvUNK5Ic%GL^A>)9J%&BB_Pq0EA< z7R8YY3|UN9H$T&=B?Rg=o0>&N|5t?QLhK{{N5dAjCg zNL5+aqW`LS?~gp2m%!4UL?skFtEvi2{$-?A9k-m?L4tSi)t>ofB$a-5-Im$@P@dRX|C7{3_c1M z_Ms;Mn&}(^woxPxZxT}etr$uKNno2viK~YXbDf25+fEzRZ|9oHk*qMJGDkxYS`|HR z7$J@{>mE`~mqQLs-k(Br4vpFINX?2v?%qq0GKvX4J{WMr=5KDxx6C^WAh)!UiRBnL zTWG~5lf#s-*_Q^j5La7ODM2lazBp3LQ1v!v28A^0P@9aq(8JJX=?hg|ymTh)fX*FhSsT6@>(-uR|j-62Z*ub*5Si%A< zs>~6Q@Sby;CH6$DX^KRg-!%*fhhRIY@L{#u8KKk88X@|%g^rnKd1lr`>1K3Duv0j< z1O8$}K~`?YK}6sqGKY5z%;Jjm`VyBn{3e~69BMa$*iNEdVB>|_N{E7Bp(<&1Zy zMk~)ET_l9EnUvyVTK+jQa8T$*O`CsD+^4uSK-KqYXo)ZMpD6@8NFh(P0u;n~vE7~= z3R}xUa4&_g$+l}P!Kj-?=8>L-1 zW*53%NoMz4j*&A8XSczp>MA~v!ZkAa_~NJ!w#k!@5FXLvPu;SC|@~=?Q=tE@~NoJ#`gXxsXuD-OdR`m(%lXW zr8+FSR|{1fmlvOs*FGy%-2i5@TRag3#cPZ}kpxb_?jOxMV-qNV#2qi3kzkN1qG4w~ zW|tyHC(bl0ye2K)P*t~`Q`Q6>-G9wSqmtT*t2;JuDJmAFCOvzEMO&1cw#frQbWK{; zcFvAn&64i}zfA?}ubl@XM|#zQ$pu&bN!hi{@lR2Y_7kQVh&C*X5)7mks;R$JrJ%iT zNx|g*jvL2i<&y)lZ7aA#D!*>Hs3d$6t;XZ|9vl z-;5nUhgPAjFJI;@0ymUDRlTMa%)0Qq9&FqivQen%d_IAi@Vl?V*>ZpJ1=~@kTb;7q z4|;EU2fZv9$%wrEu_}G`z*bT{Qeh-j63ay&7Sq&6?05-Gbe|tR@e+P8J*icyzhrmK z1Fuv664<)S3a3ZkJWy>8ESzroN1ykv_b;{%_f{=F=6gq5@!HoxZ*AoIQP+_HgxyBN%*hadlwhZ+T9CUx^Uz z?GcbUyHSqE4RJ0Ue+$bZ2+h=kNT8?M$g`0=f=CB=g?Z{4gkKHWUS~&8P*Ih7Z}y8J ze=*wq^8OS+-AQoPFngT8R$Q_~Kv$}M;a$F$SkKv9xRIH%b9=+#BL6;RRsDQ%PYUP+ z7W91BfJcKLqFl|t-M)Ho0^QFw9oRCAcGXPMGV4UIujEhMW_2yoC?Ll@>7o$1dD*U|vts9w5A?~lrBko};vwCpdgpT4;mW$@ zj&tJ)|I!2bsUw(QZ|~i1#>UxmlFQsQQjWu%Dou`q+p4M6v3^pMB91yOoog+Fo^8g& zd2)!q@x6QWFfHnLnVww2Bqza@%BmlAI$+K9w=^DFj%(Sb<9mA4Z_E0dueMx&3nz!# z8&=(txjTl$+d#&nP|Btq8_rH+;x2Xxr2Qr(2 z@>PKHfx4{&@k=%xwX^9?YwPv6qV7HBWpVT3 zU5hE_<6!xdUg(p%tH;&ldGBMoz7i96!iW?0a_MyKrq{u%rV&?)`r=9;PUhb~0h758 zEyvV`?t3lji)xcUAgT0u4k&JYwnRP;>cD#6=V`7Vt^Fa*ti+MrEl3FS|HUxH_iw8Qx4 zl99fUo4{Z9>QQM|7vZ^}bQU~;a#@R6co{hHb>)0OY9TnH?diHae_*43OP<&{W+kz| z>zhrP#TJE-;fA`5He;YzN0y7=acx&#Z1jaj;hL4quxnx6g@;wd<9wl;+~DH8P6ICe zfbqKm8PSo7TE{QLbqq=(IqjeEh?@L8TQF)wUr9SlZncqlVVfh0)G03{Q2l z$oEdb!A+*4n>l@5#%EG38xUuB2&`&pfs^r5!|htKC>5i$bDjlP_#Sb_gJtlm*Bbdx z8QZRB4xhoGDjq^j+e{_e0}WiJVeDL?v>Cf-pxD_DP^>=$6eC6`ZZIrSivo7(on#2*LOD}vo%Zdww+ZQs zozp-j0y4xZ+k^zbG}pl!-+Ez#|fNCOI5@)c@$~dpmbq%GcK~KfS!_Eu)bqQG29&;D~%0;A?VgO511|9elJYJHRhE zWgJQt{XS9yn}~{ydvdAGGxeyTmaD6|RiP;$v~mh#W2^ZM?G-uM@wWL?_}9sG(@>$K zam~tM9%N1hVv{QP@Y}d#^=cXwF~D8Es{uqKx&&0r`&zVNENxWf(W2E+@kgI*YYk#z zf$+*JZkN>*4=n4t3?i_-Mf$tFJt!NV{LBP>Be&n5<<8Ov8@ly7W8edLzz3Qr@vdF8 z@rEj+FlWTq+pb?}y~v=l4KB!{xx;r4C?{6>kGg)s8!IovX)QPRlez`>1}XmK)&n@p zcPIG>Qo4v)x}qYxq#=CIx&LAs`J?K$8&z?17GFJ|OAnOS-36}duhjz;@0L@Qpw zw_y^3S^m?O+E|U>idK8G*d|@(x5IL}#NZ*|rKqwWwKs>18+o`S2+C+Do|2jxNpIS3 zu4m%Cz5R73V`y*n9{LhBa2lD|BnaG5Y(WHg^6_}UefP+fC_wDASlI2+<<}y;If{HC z^cvM7R8gqosri>6wW~03$NL=L$WtO@>S*x97&VZ+vh93LW$mcQpumK(0bK%(0o;a8 z_a;{iiZV$z@;EFP;z+;2^9jM~*Wti6$}fTvSAi1e6J!T4YeocUby+zA+f_zPvCodt z|HoEo1+w8bgKVM0N88`WcuO*ICND~rD_?v<`_)EYYSG5DSW{`=Lx1m={_$2AG(GwD z9p$?|b#$SNaJJQIOax&=*AGIild7)R1IL+HHb;v>&wnc8J{j9uvG(qSe?YL#f3y5`N39bv7k|!FB?W6=^@`!E|>NBmCTqSYHj^WjpxIZC|df|07|7xL_TQbO#gS}#NR-Jqu{adPUUHs zlZ!4h6_#=U{UJ^b+3LSZr0&{{${I4iC4)AfSj{L3C?*eSTsv54y{vck(P|os#lwVL zu-JaI)Q%Y3Fv$!JBvohY>k=x@UrAhFbXnD`oI#Fsi@c4Dg6P|KTEG2 zJVrE5V$3=bU`=&NoL5L4u`d>>lNX+&h-zKB8j)e+y3!K-EK&t22%=hcRv<SI0tTirP+Nj zGv-igXha~8D0GmmQ=_-YKwOeAFp6{+%jON;&6|sw6x*hxB(MfuqR>A|Sgd+_2&&Q_ z8M_sY%YQ_*=H}b=!)i(opjMNcN6;sQwu!*?0oN@=fcb{Nr2-|f0!MDpJCqGx0&{04OUmR`r}O=MZAvFvDr#F$TTI&RLte}8 zPk2!P5>{V&M{nMqH)lUg%!tC13j(g{Ui2gv_uTmSU$S4Gdob|cAO5ZG-|xR|@0z%> z@P7I@y&s(ZToi8Wc=e?uVcXfR`%$a0$qXv|6#gPtXD9qJzH=Z| zSyVZlT=r9CabT_}_H#-_p;2ZpGK(kZrnO~w-}B_xt5AycjwTM_lU zM!l|c>C$HI0~h6*qLtlM#NSC|!HP%?aIb)id$p9NbI%Fpu*`|g5Krjid;RVdKQXZ}kKsHUvi)O&xZ8u`kt|g5>Ok8O z*I(k^TK`XDg`$AJ!B^tr`~Bkt*=YKT$WD2t!+hEg`=uIFqK$p8E76sx6nYoFYDu_% z-}Ms?!?#mvJ?9SPH;mRqEF-?0AUloJ%@2LX1Dhe&9W}H`1nwcSPe!<`G6u zC&D1{T4etmjQx?n&&sZm*?IOZE!D^q=VNc3YC|$EE|*Mx30-N(Y_ZRsrM~Hif(rBs zlF%EhbQ=J7Wt$>h9HO~obl zn6QWAzPj+UbD1FG^NxO&?3`-NbQgZZdIIO7Blq#lohIjZn)Gl@7MH3J*sUiWzHUI zxo+09*VE0kwd&=XCg-SR5-ucpA+Ttf5>=Rz=tU%w&>Hg4W&`qJ!RJ1}nF^%oAU?)O94W*yrZ~9Dl*B)XQiOSc?dX@y^kq*$ z;^~*?X=hDbpMoeM>+>6(4;5ilHhtacfIM_xcKdEO>JF5TEvZ@bmA9$clTE>hs*x~|nBAZP=o)&jwM>9^XeELtg|ObQzkTa)w< z5U-{Z*5S(&8a?=5W{vBld$bsXricaqlqvl`T%A*JpiQ){<78q^Y}?kv*2K2$O!URc z#I|kQwrx8T+vh)5yY}v?uCBiCu3l^P`#wv9Qe-(fF46C?bcGt3!~p!w1@vSzY=H!d z+7f6^5kv`%`U(9&Q;x>G8e|pfQEdi473+}Qq>DCn5x(RUU5TE_*rBOSgN_$YrenYg zDWb4c|H`E^8o5v(9 z@FWelTz*pixzCj4%n0?Mt5#Dw#WBy%K@hNs9t4*N zIbfoQ&_7L>uv3DfN~nN4sf_cE{jvbFIyU~+le>YmW)DK=Z{++Teq&gg-}Aa;dK_TO z622p6&9g?nOT!s2-@mjRjghftRQ!7^C8JeAYIOBPnUcmZ-v?_WVrbOK1ET z^3bG^T%+(`NGH1OFNFvp(7)Q{|8mK2ex$lELLmK_>p~WlR$FhF4(^ANk~yxUI;SOj$jLN%WCf*T zc$RBUVAda9n#2dS!yO3B*RDg=8&Zh8iWxv@M-SOg(t`17)W$~+G>wvF(I*oj@|t2v zR6zzAszJ#t9Z#Q;Wba&TwkUMR!}UGR>t|b{!xDQ4M$ssP*~OyZuwO)JQAYss_KABo z#cBm$Ni@V3(%;io^t`wMNRr=+lhgekFvlK^>=2x{r*}mE;&mUjxZyiYnY6R(R%r~f zGqu$>McdLXA@ZuL4rk!2{K%t<373fb9HiY11jyrm;-vJB(C;rQVDL^Y5e+v0Y=b zSVKGkh5>JfVwN==J*rUn2tqp70m_@PJ{tp`Jtp`ZHWNQ7x;^C(pKu^tjaLKw8E2J~ z{lwY>{eDUurH3*CR>0Qa*>Vf?$jYt5^}kw#=N@Z_tOFKwC#Zn+s4p9%;o(t(utN^9 z4?6_v4Y&7;?5<}wSpXjwNG#xN!)E1_P7rzVuPl1TT7hHI+!$$=J!tEIhKA2 zc>@!3SIEc5^qU~(@AY7Vk4Il%-Pe;j?XvhS2M;R1GRSZnL<~6X!_qF>-71UV<@NNi zR_4}VMQi9*X^30<&2`~z+3zPT<|k*5wt6^bSyHH!ay?U z_J2ep@~r`xFX_hQHLF726$q$qP~4BS&(gk6DjhE+*+9W<2l|e~&+l2Olok<%RcgY| zzghJ_6SYJpHKFeS#^FPOTkL>fV_=Xvt|(6+-fL5a`16KPwD$_4QDw6DZvI?GHIam` z0p|DVSQ7fq1>IL&%Oy_(cwFXXjIK1*^d|y4#MY~sYgY+ zJY>l{2qzwqRElKv(=C5<^~X`N0#(b4eBwK@S1zI$m3yIlVho*E?!Y9$>5W_dq@DQR z=S;@iPPC(8VX79qr(g8NXr{2Jb9PAfBn0OaN^h-%nBC)@~8btOUD97v@ME0W(sM`M>?1 zsoBH1Ny-DkQRCU?nRplS83-M`{ypHGM6g$i-?V-7Goovdity6Tj|QR9DuE?zknP)a zrFuwPIb^ml(f0y+UxvCso03QbX0yB1%ix!Se!AORWt7W==%DcW!4jFF2fpNePl6^xa>euT z^QQ__s@MGXKAJJq7Vjj{F~X%PK+pA_ubW2&>7o^~LXUn9GXG5xR`hYH0R5u1uEO`J zA2V31fWFMMqKVG2K=s1KY%y}llU`{+4R;le)73S)Xn@igBzh-Q2cb8CGUweqarFHI z8>dPpUFneIldiI@_IWq{rYvg9Y;s-rtqn8K*Hx+~?Qn_$OKLRTkEdk>h(rVM+~0uou%~+gn9gtJ#a!7xS$`V<%+PD zvpc$eX*HBE^)y<~OeUZGOWy3>s5+R_u_z8!RM)kzYjidLE9Lfs{Uh3~oyUZ7=@d%Y zb+BG~PL?wxkff}cUR|3OFmP?5fltktCJbJfP9ZhwRS?`XfJ`w@5fzohO3?f)co?j~ki$#e1pOz>hmuRwZp^(8yNx-PyS zEJbwtxtZ~stvJt4X&I)`RT_=*gy-+2&!qh|W68>_Kw8^+WXw9&Z)bV2Q8}{#LJlQyp@m57=ha_ z2G6<9oB_s+S7-FZiBIl__%*>$eU|T&`w104Z{ISU*qU4wj8S#Pa>PR_D(xRE=!JRn zO+*qSV(gL;t!3^wb5^aHj~*vR(?4*b#s@HN4A5q==IF~riGhoJ=Uh>F_rAg9HBLhl zGsgS>u8VeVzjW=6hRp-0tpcu!5`vbu)}~(rPg8KKI{4_pcFE1u=ARz*tJi6Pkl@L9 zBV0Q93uNDpt6Rno-RJlA5WW*rfoJ{@Heolf}1VGtyPhe!R9<$6vBlx`I0aGUU{ zBPtP3GfBp$WPruSNvBool)`vZ;zOWA=QRF55)$@3sgz|1j%En7M3J)Y5gW3a9YfIr zXg#(vFp2ay&~tMj!&xv69o}&c8i=shOqHd80<`HsCG8RA{O>c6yr7bfF8~69_22w2 z@%tZqn1>xGmcU7$h7I7M#>^!qO!VI{HD=-X|0}C06O$#mkvR5MvR&{vca8rY*!=Q! zob;G5iFlwY8Mq)TpVaL$=x4{fXxs+z?j={wf8-YP{cf)@C(S%}i`JjLD zi)9sDF9kW2>u+8VU@ssT=kqDn;Ij5x7r$7)D;R7QK+d%QXzbiQgHMeZ{bI-@Jby04 z0uF1&m=c-fj;ZD~sfF?sdJDV1sl-7faj;CCp}~`J&uft;41-L0?|Fo46XckScJ#Qg`m^R;W)+W-hr6+A_@(p@U z)MdZ)!4SXuf>_acr$o!!Tr!1qNBC0UV;s`4|nzMwV#~V*&+sc<^$NUpVa4GS@ z*lTCNy4SmK2CFB|*`_WS`Xn!D+9f2l0G%FOLgTe$2H&^rQC)I?rXj6JD>GJ9p^6q& zR^59YpXFQozwT6+LX~lC3HBJe^7UtGHhtUWm!$+cm%}zZYEmAhTLI3aZ|yoZO?z<0 zL=mHkWipH88v>6wCx_H3>O;g!p|`!`sudGJ-8%N<;=~-cr!7fPh5`Ctk49#%S5?%^ zNAPLfamRZ860)m=mw1M&4`ces3Oq4@7o9doH`JTXP?o|R*ia+A@|FHsTnZXS{+Q!i z^ApFD1LcDC#Dh_SU8zpd5{82D|sf^TRDNjt>37Ngn_> z+7q8+P)Pq=tj?*IPqrP=K}4S#&VHXyId;qG-_d?^r?0__$#{)YFe-X=nfHCxkw~t4 zp&>mB9!R;sOHv0QX6|(ZItQkGRcoI^^dMG(A@6HbQBt6-QO(-zezbXJOzXt3eWVxY z=gGL^OR!9xRj3!1+AE$VJmT%bnW6y4mXft@y($J};gNl4GL}~*Z|5L`yMJv)Wsk7Z z-c}ki^1q&hT<%vo`gkG&qsQrqQZF{qKK<@Gg^xd~nYvOGDNt(kwEe9zGy*IkotT}4@{izy)5C8Z) zN`jc%{hvO`pqJav`h+YvY(``LYX*W;^wgb7i`b|S;k)V8BTe2b|0Toe*U&&Exz`W_ z#uES))n@af*Q*A-U+ayQgqOp0DXwm2u zSt6Qk+(tc~f1o4Q-mqyVZGY*@!L3gV-Mdb+e?*>3py96HfId%xs_Z#@OfF#yhSMd#qyy_ABx90YGr<%c~2To@wI7p8Z5p#<(<;wT`-MuIa{7$PzM{FRjTxmKJpL^I0BP|5Q(!_^JaCd5!y ztiNtoN@$QX8%D-`XBLEml! zG57&Ga!&u9s5E=`%sYaX>zWn==11?@Y#!Nr`YeUcBssd1IAu!SrDl>I5p0Hl#i>%} z9e_8GDKF`HagQx~FU&t#DSq?~O29i~KWiv5}S#K<5~zPrHoc3^hN1&ItoJ` zRAIfsP0?R6iRHz$qg8H(QjY(e!StXMAET_(!0ppUjUS>U{0JhXjsO*nyN zqjirfK9t*(RV~9$G(4rM9UK^fCV;3D55PLGxYbKtHDQM>JEp*4h=BJ zyjJ}pql;|Y6D(K+3oGU5as@& z1hkOULOseR`D2IjDD>02BohkXcGIvZMPu2&(EoReo=^TR>AH} zIswcKAGv!0fG!AVYN&azx?GV$3@UvPG`?8?_`H_*V2u;5y#*&+{EoyQ*-^;9`|PHa zxB^8GtdR1$_9y%+5;}g5I|Pv#{@4*>#b&Y(B1!kHDjoCCTjHw-!7h2*XN$S((= zh1_{(R!ooV)rglnq-gkq#-Kesc95oQumC6YD98x<&lh*d{J-OV?+CjAVGgZ9{ub8) zT|Jnk`B6@I&NN^3&L+S%NyAJ5CGK=V8z*6D9w9O}dKC z!F4Y2s^PmsqB2KJ^v>T{a<+ZiE3WNHzR_R7{5Zv=uxyWoPex&x?_!s9?XNuY_dq|6 zr!^{W+?T(8`y>N#S8GiL|fUy865;5#F(r9?IhIh?dNh;|${u zHrn${Hcx2j+O?)et+>q&t$y`?BpQ~4zPnaX($ef%EHf`NkTR?kU)kAxc)df$n;2O? z46DBMmXx@46!Av`14Gj#dku!IgaEl623m`i?44v;RvFs2)I+;&N5uUdcOBo#MX^rI z5fIu&<-6?tbQqqG)o(n-Q&s$A7p{3J$hMT|wq8JmgB|`?*qo9<>Q4eO_Ai%kM!{2@ zCBrVkQ;FVCH( zU1yk*+2g)Ktm%Qo>Bv8xZzTOPw)2R@Pj(#BiU^n{53JhzDg@cy`cFV#&#sE^W;H4iJJK(8 z;yTnU)%Gx`YKpK_{T!Lf9bnF61F!A6RLg|;b8zv}4cz0eUC#6mQsYp3_q{kBdRCLo zYaOw5cKY?bp;R+y+tX=uw<||W#TI_CFr>Ok$HKcfx1~frI;WZs$-^GO2JvB+->s_l z)XLyLl0}Rq)9ucZvIIfMiY5V8O zP>$LcKUOXUua5o{IY-EJw01%@Zwi~Zjsw&EDcyDcJu1tm5&(_1%2ivIlah17Ujw)neHzp0(5c~( zW>Gszl!uT6b14&YA9#rj4i%KQxye4(sl-nA-5-Vxn=J6ih}v0DJ(x!@e2?}x!D^-J z)r#G*?jU@jI=~lfDj=iat%rkD(|^2X5=woZ$U=*FYMt$Kq}}!m=D^w@Y7-!5MBXSQ3jvKK_szQsr#K*i{H>7tc;TZ;=yQ{j z0H-e0caIWUYN?)FnAg;Q-1iK&Q7C?+f(yeUs}$zlm$%Xi=;GxZa_f}A2vLTa24xs0 zeb0*+0|L0l+iqC7j@!{|5V6dWd%6sDfp9bHx_j!fC>&xiFGei&YAms;F=n&DiWSbs zkHIguXH$R46Yjgm5NyZJR&*5iP|6ldoT7cEVXiKgg1a_<6i%oU&wqcCUp zcWIjhP1@p5;_2As#!rMfJnSmM1VJF?WCoVB1N02?uE^71wm!E#lW?ST@`h}uce=~b z*Vzz49@Vdf4Z!MOWU(K3WuoVhZ_WAGCy3R0c7+@3`3Vaz=kdRm-xT+@rYyH4v` zAElDNgVsj6Uo&Lo{4VICLZ!NO(gdE43jVxQ*RdaJc;)LaH6o7kxtjNKT<8KL$`K~td9O6jdG@^r_vc6BR9=A74}Ha zuhI#nbq={bOUf63UbYUQUL}VK`gw1cMjX2Pmjxo)p^iU)`Uhr@Spz#C z`}8bV6r)MuefcB#Mf7L(vFw#pp{Zujrb!)CXUcX`Hw%?^Y`-uwe&>IkWqa8}uG z<%MoB?(4>T_kPHkOXVirFZPi>;ZpKPWncTw(5v}rhIl8-4_bkA&n^RREP`-47kn=V za4c_WOUL!Yxc+DMEo`?2W<=XzK(olg?N_fUE508M7PIN9n4)s>rxiXT>@RhQw>HNN zGhp;X_|SJKl{qX`Hp>(!~l3$}YDz^VQzzE#qm zvFBwlWD`X!{DoAA9_pPFP6#T#+I)v&oKL9r6Ld14JKg$fgAI}tJda4;7V!Xf zbD9!AVd+rgglvlRFLJ$HGB26+>At~qm#B2?yvlD$x$%k2&GYDGA^G#=nG`{RWUAR93zE!e>P^EB5Rhyy+$c9#v7b|Y~2xKSNl+wq*+k% zPHzf6o#V|Hd0?R)T9&FgTCW9)lSKPH_rqR$OnhNbA_Gpz;5eN~z=QCxbWI-L?WWTN z*|*0}#(33((gm|AP;h6`fK6mLs)#2A@2=(`SnVzF!KMQ{UO|&|RR>5&?yO)q7q(n> z^UZbqq{xBp5<(K3jY$;yz;aq;6QwXz`2ATWtu%&W&?2s1;)+Z8|T;4g4BLlz@na4#{>%)&o2SiEdnRQ2ajTtcNdd?ot_VIzyB@ubvWc{Ro|R+jpEk4djsc)o&&vjTO z6W*7F)PxiDtYrj!@d0s^vOeTV=lnY6`;Hp|IaQ|eogl&ZfpRgFAWb*1WvjoBO}T4i3V(6>_bhs!!v(i+c(R-G1Yd=7d#h64TdyLe z)dOh}834=Nxk^OlJbH-45CvObx0zEecG@X4G&(3Cd;8LTCed1ShH%V3P9A6Zvxv}E zbJ+&I>7^@Nm$de$HhDO~tHU->FgbwYtzR(4w@Io4`V9AK_4UbI^?+aBakBUPIz1e6 z3*Qg5P_}t0{Tq9|C#bhM;Sm&TrK5;^H_Sv!4sb0}7N;(KI}?c)HdCzi4098GMMp_? z5JZ`y9{IY(D0bW__{ogI@3XB45S=5j%_Eq4fz2JCE6N4>PAYX=25=fC<`Qxxu=WtS zB0tK*o5O^{Vha6B<^4JTMW$5rRJOy?vEMoo@pGHf0INl1=F_&8zZ!q~RcUf|LTbaP&j$GYBD$S1*K0--Z>EtT=$+%)3NUSkA5uzHLDXhp$q0HB$d8*@x~&Z@N6dwyg&nTl+DB=I zPIdpZ#9A-59k!EuOiNihQGFT7U~i@+Wxx)nYm%Q8T0Kt2s)C8iP`;-@+HU2XbFKxR zb@!-Vw;Jt({je_5)x-SlAKSpYLLKb#IcSl|?;zT9_NpvL`5Ah{#FwJ*=u^Q;h|hDY zi~0}~+}5HB*PAN-vwZWP{9;HXddBdpjv=+H+kZ3=0_C*u@L4b^@W+!&D5_rzLIG5> zf0sy|JB8Jb=+*BKddh6VrfgLnp8F40!bS>v*Zo)!cu7Yi!4+c zcJ;agv;VagSQw{`s^@{x4@6)c`VHh!P=wod2AlUoZ&K#RkAAT&Q{C8obm#1{3wb_- z9BVe6n~Xf811PDw)_-p@bEBcYR4HZIDE}m*&gT$}zCQ|8)WN?r>_eCMby)~)_Cmb; z_L!cH(<;3H(!fpaf}E9)iGqj|yMgrrYDlj6 ze!E>b75b;RKLiqE$d`?}%)c$7tv=fuc$CK#x3>T88BMm$T694=bEud3uru0#5DHmR zG5!Pk;cBabZqeq2^Y4PL?qLrzdQTb^T8C0D%N z?9Bx6{blqcQ9$X(N&K^~88ktvTqf1*u6nQ42d+}c=-AtPpVn9cNP!sjQn@D-9#?(C zernH=NUO-m+m_QW#-_sqi4qH@q60bu1a=Ka1o7IJ%mB|aAmv#w8=wrhwVrMt7{5Ma zH1{PSkV%4oA=f{B99FkLIuqVVgA(+A__0hEh-@6xhr@(c(o}BnKwTr*fYDIs_Tvs2 zGK#Ef9tgiH@)Z?A0B=qSDd?|Swi8xq*7qWO@HH?rxxwB-hyh}KD`rAOYLy2oIFl4L zIBU5x>}VPgHEIGBfdC(Y9(ueh-=uJc{(|!i>lV;Pc?IejxT}2}uVbzN`>lyYPbLla z3t1lTnEh~qELH~kPLmM*LT2?90iL6dDN@87+0O8)93-5?m#VB<+*EIcPGOQxrLWcowIZuNjB+m>#qwWtMpuBU!MkxfB@J zTtiIV-8df`ZZW5n-rq60!o&O2i;-X-{K>;lSCxZ;*|G?Hi?WnB4>Ppg0U zXMIWPF|#C)fKKK{o(PnPTcse?#LjQAY`apazmLozG<1x{raF};77X^gr6yY(8-8Vo z!Y_y+*I0A;3;^M5W|Wg}nwyfU1FYK@7>m>Yjw zD_>IM`?qU4ZNQ$HAvdp^aA=>cj*OZ!Jbzg3=-*z|*)~qJ07iSvxPGfY)m%`jvst)* zwmSVq1nT)m0^}cfNpmZ7Y#UP!M^oFFSZN1ajDj#uLQ#?i&hYeP97~mP#u_BT4ni9K z21L0aGA={~pcs6s@oTr$i4jy<0Y6vD_!$yr^)K1H)&k0(jIGS=yY6N`5#KmE{YPuv zfE^=T6qM9eOhW!Ji_CL%Oh!Vi8+9Q&@c11EfWHpG{mPJ30~BDN3DZ7CKKJRqv}jiu zDUK5vF@r9>>f#V-aSS8q*w-^|g&l7UVg-poqjLJ_YW2E?Zt}lL<9e`-Uhp;;>qs>g zqXRE~p%px%2uf&Ay~j#`@2$s>f9wrXLb;b=cP8PZ%run}DprZEz&rt^7bkXI3>YH< z^cWWVr_x8f+H=3dXV|Q4HC7*>0%wq+{=#)er~9|hGGsRnKHPxdov5w;K=(>T@CW}v zljjl5KexuS!HYpdg{RiFtUDF+61>+bqBE4@ISC@(&EZ|)mi; z)?Mc&y25QV{{UC(xYN)w#XWfK#gfuf?;J!7_}Y4iqG9g150;?>_To0tjpYzPZv`@0 zGK$hb709{pi@cb4U(0SAdxy%PBs$krvKPYqz0_m2lt70CFX2-f3Z6Zzyxtz8m};)_ zu(jVp+4_4KN|X(pEl9@`Yu^&h*taBdBBZm7)Qm7Z)0n*l!yb9s$M zM87D?jMn~rtq5-^st%1$k6$tYGt$+Ks@AE_a4F2u*I?WGvTAkhYg9z2w*p$k!J; zxZ1Qa2(+ zp*3S(h(8@fxsecsm>dfIe9vX*#^n6A11kL9FM`sb&0ms?J7JNXeee0h_1jLk@3g;d zes0#qH~-9>?5no0Ue*l<4mi8C%7?UXA*bDZlOP65d%kg&Wm7P-m476?Br$6KXH19X z{QZhFWzItvHhk!KT)m5G;Ix?34s8K{05^w}+o)su#Dn{5Sz!!iHh=7xDCT!=f5aTo zcndt5EyY%4AD*(`FVlF;=*H+_CM}+=1j<+gB3-G3CDT4qDsS{afTt7FrjFe_{PBGz zgJvcNOmYrijoQ#=Z9Q5!2P$aQ7$nlQS*V8OggS#9K-E$a1eGYvGu>I|`u}$B{ILie=!O=K2 zoy{IJOslcMjn~uP2txt2`$TI8SHxE9C6s%mgyOvJ{^KfSD-ZggN0od4wO&%On`pEI zbcUIJc_{1+Fr&D!0nG|Y$kgGi9X{^g%kTA z3-KcEDn#38kd|xR)tczN4VxxyzuK66H}eIO9@WjzAE9s6v3zXGU_GjJ=45ZyrVIvS zAFW0NTtOglsXun(z-pbt!`rGu`xm=={0(2lW6(c=LFgfau%^yHGdgYgZPTz=&?WM1 zhhO9=x2Juau78^J*c;Ntjx@SPaAjxE#q9f?VkTrUw6L_&2k?tkI!k+OgrkNS|P)gF2LPE`N|J^<{$6y?-1ARr6~Yk|^L}l^dx-y4OOuo1Q&+`sD>e++DIT~7iuNAUxx!*G z%E`@7RmlEuqK7%MnnWG&6*N?TqNUqV%-1&uTpI318a1H(mG{Hpq7Bpw=Cj7NIb`FE zK+h!Pr^+nJ+7ks>8@N6!r|~Xx#HA0tRY{gw10R;#PS4!M=b;jpuzXP<;Kk3+#d0F< z4Z$M~n%#m}FD3r!r}B&uZZ)n!A}S+^TwYE(RMFz^WW&gW#0T~q=<27tPjALG6<-tp z1^UwJV5OQBXg+Q*)&0{(9H!Cx(dd8CUoBztU6moY6AV6yq7h>Usj&L|p1D&8KhwH) z9y5(SAIR|=N~_S>gFHYv0c&}&c)f_hQ^^8rbRn`2rRd*$8iQU`wKjw2n5&zbVUJf0 zIb-2ENO*mXmtJ|ZXH>IM5mfDRUT8-^&OAIv(ZUnf1HJzi+aVkmMA$BA+-k&fG;K|3 zl{IOCZ1Z;*=t8>RpzX5BrN{dYI4SD7V zY61ri)5QKkLo54MFaJG3c~>BR)^ZH7;SC7vFuzEv$AF(TB>fsa-0@)n2Bsdw^&G{ad;^6{atR`Q&a|tf>#;dVZAI&pzF&rduMfc`ok;1Vd7h> z1k&|d4{A+QYQSJKNf!Kp&tkX#z#J@8coN zMVFO(W?(oi)AQ8iFySU01Qy$thS5t;0A(i&^1|_Nc5wkVeY)Bhb%9!~LBV`U3mmN9 zU)`VRhn=j)0fn?Ki#r|eWlg0fvX(K9;`f)8I;Hl31Th+v4Ljbg#`ohSf)>UL0Itg$ zNZ|VWUijBFBxR`~b`U_)Zir?s&7RGjHMmlTP&;<>hx`gRC*{HTuTGbQ0Kk@g-{KYEjo*3Z@~K%?~HpLWAQDah7!$ z;*6vuN~^#m91eigvj+K3Qfg*>W)Gof0sJRB%KfMi6m%>EU+>Ql%K%}{tGmWi8s-6s zcd!|YDrrVT!GC!PI!+t<1G+kgG#DEj84CJWGt(O}fQe)+un+pQC}2madcN&8qRKQg=u`2(A{x zU6fNptUpGQ@Wv8>2$|rGqF?hL$p1xa0Ym@!~p z7jQ@37-Zv5*-*L)f~=S0g!JDQ*h^?ROX=@!+Zm=uo{?(L_fX}lPZaSVdDHY80|Xv< z?W-;QdQkxM1?4Ncc)B$@<_Ef{gU}KIYg3`=wW+@fpM&P%RSeh0OV1p{FSoEexp0Oc z;oNqTCDYwiyTZjuCra#akI`ocKfzcxDN7u_3OEJ3oz)fB9W4zj9_6U+SdPbxOaG6> z{IbHg!P{9bh2ar4eKcR3r8RNS@MTE^P|s#tDm5TXxP$F!yyoFtr@cw5=K}pA@;Zwa z<#zr^Z8&uA{Nmu221oWe5TwB^bMPJq7sH%slSilT+SXh5d|PN5V!)`5TAowxANsd? zcIRXUOuST>-#D8}JEM5HN;-{U$EBeM|Lpm=X@H6vn$+jHBkwf>D3oX_*39|CuBwI! z6%&A>u6GIXtF!gWpY(*&*xh&79#bcU6aS1a0&OVS=6K5K5sBH$Tf0-vVmDTycuF&C zfN64N+zZbQj@vnf_RTOG=+Y)Lr1gqTisRO&LJpxvQdy&+6Yq&XDY}fiaXWF^kf_(s zJ8q*YogAKzM=1U(9$*lb8#tS$`u^0&umsSn`9axoJ-N?j<;gjBT{bkoyPybzV?X|h zVq?>YG9-{-g7J2VD%Ve1G3KGu#{i-zPuzSD)S^VRB|^ zCeI$$oG19Q--A5t+cp)7*r2o1CSu7X8Ln4Oy2fXBj`X-h3(fF`5WI~ffu1U1Bmi(O zu))XIc3yQ{Ox95W^$CGxRw#n|OU3q}EGYl3?GMhiB4(*9U}+*QG|CS4Up)5y}?txYf9ow4vthQKLS@*mvwkqM!l!?Ab5%fA=nIs3+llcpM_y=ul6ENv``rjy~hx9r*^3>ttfSMs?GJ z^dNK(e%2(x?k^g}wP63YYX9rx2C8DP@;kfx^orbUkV;hJ+X*(7<5!TwwwOHdi;Wf; zF;a{Fg^cbk*6EN4zOmFVv)~eKohG)BO{MHyt`Z_6dR7T9h<_;Bl_Z8dTyE-35Ajz1 zl12OWc+>-aU05s%%zhD_pKhm%=5P;tS;_V0#4bV5-3?7*w^YZ?zJn@_DuI;8S;vR4 z=ip-sZe6pF%_9HZIhfd~C@K#CtN+WI#c*G^YDB_vMk~V8#|y=g_VTzM?55uR zZSGOqUORt&9H3U?;u!=-Hs8J#2HJQ0h<=2x+qJwa=;9WFREh2*I)FC1W=yNS1eS4y zihq4gl_T&Cvw~#zbKUsoc^`orexmZGzQkv6_?*(?Q7FA~3ZFUTCHoTK&4&Ba+he1$ z?$$J!_vvNPG{uz+O6B!E`MvRn$$NI6fm#kb=GA?!q_uEx@eRXYAC&gE)db`2KaYK| z41o;L21SjYH=}Q$WEM+n3!&8bFgk-yZ(Y8+x~CUJYZ)6ZNbYI8Ec=X|%&}TRmYNmE zoO+#QxVn*;(@9)a# zP2|tTpF4fC^l%u%2V@A3=Ms;LpjaBV;Q3{%7WMrHxd|(Ho}Bc&Z3OSF!)X>ncGbnv zJ4R}zrSAORd;8Ed@6-r?B=g-mIZ-auUeKNb&b;QM4TrIuiyeJvSx_2G3$O)9()?Yp2vX$vO(0^dZ58Qy85QEZd(E0 zs#Q1#EQPsECZ*$Lo5IZ$H-QP9jS=i*v&p0d>vY*g3?P|@&Eq#y$b7s`rQslQ9+iEg z)CT)Hcbl2Y9}-N??gO#3wpWJ&S&;eyYj1K6)9eW3UVtn6pJn&WlTUlk1I)r9(2P7r z=b}nO39&SQ$fmZ~gTkYk^XPe4SOU^+&c+J`-?~CJ!TbTN`*m^mYrQtLv&?l|KIq^p zkW|DN!7Xe<**UB8q(dU|OsQY#LjC>9sLR?FjIGg~6%r$FyFlb|kA{kPvE>8=>Bvl} zYOp~Corp;$Ap;}&-xr~ zwm%}O0!6Na!96Q|pWL2a9UZGN>?Mrt2DY{}3)=Z1RfbtOn)RpPS(JMZT$Te>1VhlC z&`}y7a&`ww#@HvOd7p0On=bnH(yi;gh0tZ+O7(drIZ#)6HLw)?%?DMFA;=M1+onH1 z>j+10JM3@losa`vMH%j-Y-(ob-=S{$FJBT*R4#SNM1?W4@Gd4YJx7zhT~?+wLCzni zdCEdvs|_kUf}4fJRT(xnK<1Mi-RgXx^~C@%0dIQ9@6h&&e1>ED6s+w+J$THBa=~Co zCTiscku&U)@wA%qM72ppB?kL?S4{9jLMz1!i;toQq}(~Y@Yxdyjtvop&L`9ZASB$+Wh5a zzDp%OAB|eJgWI3S`gQShN|<9_vCkZs+F@+pU$Q)t&5a_G!2S7?_%_yVSLT^@I$4Vm zs%~m5~?@+NH@?B~Ke~Ux<}a za*`z+0m~Z)C;NxuWoaO*xZb+TR4T+qU%RN(oq0?B3GvS-^bEP;S~#cgWQWu*GG^lr z{&eOXDM-I*ugO|=<5l&8DHcxlxCF_w?TR}LioriU^Z4=P1fU?d5~ORu4p+P(FGmL% zRMU{N1By9_S%l6_ImttU(IWwiHYycq*tC_<$>w3kOH$%=@%Qg>rd@{ruhbux`m zKy!ACm_Nb3aCfhdW((sLT)4y}^kyv<&le-%IY+Qx?=Xb}eMl9i@HcD_0n{yzJ-_y~ zEQ9`rm&{3hDw zhM+Uow*9Ru|3#q<=wF|=Q(<@%CkbxT5TVxoQa!G}npB$sM~}^bT2;Bc3=`^C(ycJ_ zcF9Mo#j0}3Jw2i@@1uVZWob+@FD^0X4ZQ7m+aV$(ON=VvA=ccf^Z{JTy(~=&f8EQWDO1;~pL&(XyhVe+x#{BzC7=8y zOaGJSTOw)`iFOz;Kbx|GeXdF>TGQQFR8u`5^7&!kFy^znz^|B#GBKF*yZ)KD1j*vg z#UeQoF0DGCGln29wG$?StsIMOpDZHbubrt&KX;Ds=w<()J2(pffE=LjkU>M>xUd-T`g0Rv z6d!Q=V0Mpeqpj2-k&e;FIL{F_(RrTw0OQc$Is zD&J=rvO)mN7i?G}ckbU~Hi*VU&GevlbDi#FMqq44KC=C^2?fO5&;BsrPx$`@Eg^p7T5R z+;i{u_q+FZ|GM{_-)9ojz5RIG;18`7noXJce(74Yd-ZU_Q2yH0?DZKFV9MfO-FOuS&{!5>)$djoOEL|=Xdzi%u9(&>S_n6Iou-?u)C9PH9*&}5PxH-7_IEox~kYm1Y zd|PlzcY0Vqkz@;Y`iL%RC9W$!Ka&=Hc)fLz(O-VP@~S7tG`v3mPYnOS8nb^whdt|z zMn+qUPSdsxLE4AH1XgVvzGyO;Ee4fbZ`w3E9s4x8(%9OFXVXHwS9-byA__jsW+}b_ zMt|&uUfc!1%i0No{^TvMycLRAuwVR>8S}Mys}{<*6M1Gn^Lgs$9gCTfv{968^KExY zNu7M1q|5pj9-`IoA&gc^5s>d5EpZlS`7;b9t;0pFQ_#2aX_ujFyocnx17WpmY@Go4 z&)9AjOD!2js*&hk*l6WNDS=aprELn?6O~*>6mM?b-Or=6tC8+}lA(sOBG_KIPK$LOrnu zk1SRFGV+JMhoqgno9S+?C7fY=$=e3@SjcHkkV@x>r5zaasG`P14}wc0W;7bJ)ZXuR zCWVE%RH0ujq*R;MyiVahEyiwJ0r4p|+bLX>le58u2)MSDGY z#Ug6WJLmE{K7T-QlARK)L&AH@^fydQKHI-p2;zFKs&G#3mE_7p!WyYds#9zGik)*? zz78uVqyW(qf(un3O<=E3mXMDN6ze>vXQ;w#uB(_1RgbsCgz#$VYrmleeR+IRBJh3< z5d)nyP8R;2ZwownLQS8ai<&rtR#AhgoD4X`whr-yp0S=Om+ShSSAqF+FY`iJn zF8K7Glhy03mPcr(eXmYQnUaSnGsAZ}mP^Vr=Jwi@522}po@tLubT{l?a$O|*wX1H* zi+;(#7s)5k;A3~HUg4WVl}=poJZ*mw^+KFkg!_@y8pMkzin|+w1H_d$cEs=*w;M&+ zyB8Rxu*$6N{-GN`B$4ErCuZY#OOP^1S!4Ojbv17!CF{mbId*)u=T=D<=lS7}|h(`Eit z#v{8M{E-jmGzt^@wOG4_u4svFY^e)hbNpO#+Ky?GXMzQP90b!TQ6F#`uaU3_lz#q(x6$wB; zos+uLD^d@ln)zUxys-w2Zh_Ox<-j=@kK>aAr<~IU>Q${@XKTYE%ftD~WoHLoPDJ=_ z1ng(s&^u)1n$HSPlQzv(Z;m9Ly;C*b-=ng}&Tfby+>$7^_zM{?jhjXz1dp2Vqnh1& zDV-ZpAM8V3LtPF*JRTi&Kj4ju^hleDz)Aas5X2faQWMnrI)r zd)6%C10*FMppKJ7^|6F5m&FF=%W2s`wj0_jMmE_k=Nd zgaSPOK=)$9{Hgeb%Q-I}?-)5}eYLK1M}9Wj&yYqwOSd0K633IwzKkh+QoFabY?iFm zetU^H_*OV`m;Q@>qFw_JIf4GB%f&~Pvn!7VvE>BaM6@1nwDsThPtJ5RHwK3aw>F+{ zm?^=2v|K6$0#f}S4Pc%^E8eG%X-3V_Rw!-ns^|GI+J>EW*yU7R6)Q=G{I!{2L78G<2A$xnJx`k`jqd zIrOY=?w}^wyRDS3(tM>)2AIwVCsU6%#j0ud#*O48tfcdnzr*W?$TW!TJuhEyyL8|~!jrmn%P7=e=~K1O33*KQAYPJ6ITNT}plVWR ziPo^>y8ss{ssjp~v14vyy=LGDhK)QD-AnlJoPx;p#-Ee?Fc_~U+7LJA4*U8=G^`T? z!XfXzc3ws=F6l+pM=E?@^noHr;Dt;!j1qzP&yvz6+p zL`L@^KLw?SOM1k0>E%CwJ##HkCBbE2&$iJZdGf}57q$zkqOgIZDezPL9&NE-vm zTV3z_s(gH(I@8pHd|yM_bcpHPT$M@Y!=1D-OhT=xG$UD8iBg6i4_@2d&V3c-6Txqa zSH49?M2IO`q`&5SntUUtCDvD>?S7y}2Am7=E-qKKoYbhRBc7qmF5~NS17{!PFyNi4 zJvKRErM-;s8GI0ux}&lT)kt1{j9Dwez&E|+0@;PT13OfYFefNhW|c0GoQV4zacTud z_QQ&gCIYl<3pU8rX+Tb>Z1d$|T>KWu7%Cf)OX%>KrS{kG?eJC}ep~$C8umLpS(8o# zy@IRKI|v0k6)hVTjW#vSM`{`;hn?hA0RPK4qu<(TAS0b=*ScH?1+x5r+c`hlkRgDI z6*+zkj*fF`h`InkWEBI!y%_RiB_X3&gdtU*&{!<;e_?p^viYB_J@yp6ir&=50s0e} zMn~h>SOMw4JYM=N3*d+IU-@c(3jyu1+lK#8{)Dals{Ki85cmhK;fI($q>KBk2nRDwXMnBHiCSM427{ diff --git a/lab2CA.runs/impl_1/CPU9bits_placed.dcp b/lab2CA.runs/impl_1/CPU9bits_placed.dcp index 5677e498fb144ac40760b4995b359c9ba15196fd..e1f21b9f04eaee61c8f8cc769855ab06e326ad17 100644 GIT binary patch literal 169881 zcmb5WWmFu&xAu#>yL*t}?l8Cn2<`-j;O_43?i$?P-Q6`vaCg@`$^V@9y=Sfa;hs-j z_1n*Ws(bb9nxdI5`ETG57$6`ZFd*L}gXN4<)jy*^K|o%>K|r8^)X315!PUw#Dq+&v zAAljgd{1Mo-WZ_>c#B$t2}ptnB3W@3lMiO0VS#{(u+gntDT>EwdgMLKNc)DvpVE%= zz%>plJCr#B|NFL(U6H)wU4}*qr^1IdE&x*MC&vMV^;{c1O_eo?%`pSUWbm)5iy;fzN-^|ZJ)I%pO#A3kam(2BTRI37zc?5T3c#B+y$a^U;RHBH#-MA}M;`OK zI5kLA9K~kP?6PJKef(+B!{gcu49$xEeeANoX`JSxQ~ta#S5^MRccb*X0wD5Iaj;8b zX;RIu#W{g(v)?l26p>PI(0`eM*-2mR)FqQ%ddkgWtwRF0VA^lh=~ zm}1pr(h*W>F~-H!Gte+$@BWHa9&Uu`VQSa-w!qEhRsX8*=JTjjT;JdI zWLVp#wYljyC)YghrQq$+@MLJG^Y-z&b17(}rl!l-t&&q6A=v$7c6jk|^WpK)kR_-# z{`xwISkR*Dt<%xf{q|Cs;GD1_*Svg;#4}oxaL=RBm-B4p^y=pH+<1G|yic5>);!I_ z`z6|xd-Uk=Y!!a~(MS z`ucqF@lcg6k*W&f;7$^6l(felSV>gA9bNqFv;MIz_)5op( zjoOwI+J5HY_%LF6{+AG0e-pB|$J-F~iNZScC1EEj@_ENo*!SXs{gB1|lgp`;^8L4U z^Jgcw?l;3;1j%{zn$hm3r~QM6mxtS}tgMVnT|qk4-N9mG0eeg5TaB#mGlTa|hl``? zo^7A6>-o3l_AK0jd??7HlfCxWriUhWKAj!y*xlEvR0$CU{ma;55WnMPmWg^1;ddWieB}b^K|b>8BVMsZZm__j>FsqpLnBB7QSnKC|xk1UUz`;xmo6 z{&rD5Iz*2p?`OJ)yvh29q21fgTjtkUH_G=LhEX_ck1-|W?|j5^PnX@ zCwlij-PtGGuguHFZ)|NmQ@_Q(1IW*wbG@!}-M&gG(&un;Uxby+_2%EEK?3La4ec zQJo-1M2tJR<`wEc%gheioAB*oEDohOUV*YaZHBvOQ(?Zj3dB@

E=`)h=`Y+|kT()I zwCAsnZ`RLVy$&^=k9An+RQJjIZ$=OfP$M-fONnh9=J35Q6ucWdTHCujMx9bFMOnV+ z(hDp?`N|?cKz^P!rUsrpGLCT^MPQE+RA-MqqWxig)*YRG8#~CvSz z@cfo}`^Dpn>2G^oD;kTGxtpuKD}ydI3t<-bk6+^-0pOD~4>TCRHi^DR9~Rf&Msz;A zGJcv0`b^DkcH7U-xg~!dn|>7MGzv1`(|WutW$B*x{fMT*?w%T|FIX-2nH)ZZzTHAH zt+vpVOhz7gbLGfhkYyYyZeiaN$|RnMw*ThA#hdo|@}TF;!tbe)kRvw*9A&pNApq8U z!pMft$AhcuDQUC|Zz@jW)hk8_Q;Xwv{V4g6=sjo(G`Kaqc$OyLCQ_UT~maod+bHr zpH`Ql9J~;)X6_x6U-jJK}yx&tV!+nL!HsB;&-9E zB>Inl6O}uvtU8I~?>rtcb2*Mg#J{?K?0pm#yi&hQg*+>~BuqUKcXf}t&>F@>q4U@4Wak&!agUDhyz`yFmcWphOeO;rZ@ z%D5(9zgA&;Qh~`%%LrdAr>Rh{J#1^PDv2vjUq;hk3-A8*rR;0G@PGsA=|Q#J(1EkD z9mW2tW*$WrTdv>_R4LN0zoy2>L?j|-6(!1xj2jd49AxA}rkI5Yr`QV~_OxE;yx3Nk zMC&TU`kRvF_u_9Tl#_2n_*&}($YBYw_DS;!3it{Ud5u-PXj_w!HHKN&LK_WC5U156 z_fqHA^%o2K=W(5!Npwfk9xH@e>(Z7NK31Iy56bB(M&%MP+(IV0M?wCFyx(} zvqKmB=lMQN28-TOcs{)UNbs9_kmnmDsR?LuRXD~z;$4(-wQ3sw89&Xeb$%?n8hdnU*s{hjibBzems^)lqual|a9nLBzINAJ2 z#lBH<4F5b=n3p6E@!>F&2N9gNq6bMzFQ6ZqnMq$y0&@iw*3!12uXGWO3%N$c%=1Fa zRo3$vafVG(FUaiHVxS}hC<#_$cdfgw?qRi2@q2Vq zXL*|WSq}Bx84A<&pyTUR*T**~Vm!~q?a`?`u<1+<$j#-P(QOgKT0GC1`t7Mou*=ge zqpQ3;4M=ZJoY$&IuVr)(yQ5Y2vUqF}%rnvJ%CKq&df(>kBKqHDCu&}V7N8yX7>F*V zVLM9by2Y9xHvIhk8)o@W^8?FySUp9?cZWW9FE0dqN(Tt4U2eWPGMa;4xIeN4M1ej~OU)_J}_ z7)Eq)sxS8J)>%7`N(}=0_idwj*^KVBJGJD}omF0J)xI_5vS2RTxMAbsq`ie*tP4`= zL#2Z^%#azVHkcPDS?A3n?=O2y&MCJEjjJiL3ZX-oAv@hqXfRUg8Ed&e8g!9|;=rQ< z6VVHe)y8`RE)_itIWpq_=M^xBOu_wtMIsVIb+?#CENP!f4+zedKB8q7jNMDs^hMy0 zoKe&9CK?ff*g81$5cpfFC0Tu*a&T*0t6L zyPpfOx3d_NZ0S=WI1MY4(srPbk{o4%7Xi{iINTIXP76_FP+huU!xQ{GzPt>;%Zp8_n0l6nB zZ9}mzBB0Pjkjnhf?Oj;ejAtmhC)_LdK^|Q_=bvSVddt`s&tRM%<=Fu`x-EzBzi+DD zk(|B$WHZ{!a+@b{QLq+cM%GYvm_)a?xF#+!uTjG;r~0eCp_E*R43HdPE*Y z6mfon2aU0D_;WBlhE0BJ-K9Qkq+8H;s& z8IRsEE=5drqoBy}93_RN(zSn*q_Sen+Pr0SMDp0!@T*h4-u|vX9nt>7<08KIma%{H1IGSI zJy;jltGoBI8T)(k!A=@dd%-cx*3m8oDy2?&sJoYjcxP8i>=LVpd#p&Yt)gUjU_#+B z%%+DC)}(y$#LPFQQ|5{Q{*i1Y7RN?dCf>edT86E!X*50#PFkZB(}FXt@aY(2EsDbB zRKvvIwW*(hmAXE$CDI{Ruh}`ds`eXan_-FLb)5^bO{ZbX+l`oJdy1^HNT-evPKHxR z_=_Z{Ujf6G2vP?;s>8I+m`)Jcg^BKp7z>nZoTy3gL;fwvZ?YeWwh^h!1e|y{=+?7t zDAuSWQY~Uk*wOgMA+XIyd@wGxJRDa3`V(iJ`-mt|e-dF+o>AGX zXmY6!c~hm%#pJ8+MQR$f zR*)94R$xn2NFdBJ-xq0KKd_9Ydd^q-lw^_#UP@A0@F00o!XNK$dkif&V>sHSlYPot zK>0G&f+~*;Rl6dSJau9;jufiBZ?P~9eXG^0@xtPz>m~H3iY&c8E9!*PG`wcDk`rt6(_|53jRndm0BK$Y(jdy_a!=TTV zkLb;iquuBAct*y4C%KD=d2{vxVpF+7D`xG+p_@@Om+_Ypdw+%ZQjk2A&?R*|q1SfN z1)@4ps_)9kuCa_b0gi|QPEf0FiGlQ#B~)5@eLr-`g3GDe8}HBF6k@WO^{idUE@+i; zgJ?*iBf;pj$qP`bk4of^!XHp@mQvjRoS0RHVb|231hAj)DDxOl6O!2K+6`8+)u#)AMkMLwI>GayVb)7Q6wiOK(4=% zaA6_A=!JK1<8X0fXK2q5Vj+niYfq^gn{UxkBNAgd&%X66mRwWARY*xw=C(DgCM#a? zm@c&uzo_D#i5=e1EM4=cJ!-G^U%H|mlJ6t^2>2{WR^Y!yuUf=5TUGh~WMk={&Y|qoG*}lT5d@`SS5&G05BVVAkQNQ?yuv2QIem8U8 z%AB3Y`MjORuklSIn@O`qUx{C6>g=uQfUSY(sb{Sny#tqR-}mvRHejs6^w!s`CgKEtZ`!uFbgaEDhT9=eP$H{x{1Y1 z*C&a)e>U%Z|BZED_@spOzGGfWHAvjO`4@=*(Jm)=%3IjC@@x#BH^o2kf_D9j2|F=c z652OZRsO$)Kdfb_g7pH>bMn&W5+c07AZ^5Q9ltc^_CVnGiKaI3ko_u`Pt^m@f>Eki zB4k=G)b<63CX>->YMN7_mazqh1kx-`Af;9SMcaS~7$rInLM9EhJf>by5=4_)d#4^F zouEDl=pxZngX?48(Z3T%^h6kwl?tS^S~gRsL$drJTG^z~xq=mvd5pP({A=V&mxSdO zRTDAFI&#>#M6Q=)U#e~$R$0Dqy6+mfEZ{tjGWS6eUEZS*wuFBUVu8pE%shq-v{51* zC7VvIv!jGV`2wT7cIC~kNHq_iI2P|b@!9b1wSU;4dnjuWiiA|Qk~HoTnmB?U%jGMR zZy==zVwmUWqxxAmmo9-HN#3)n2-(&pKNddj6t)P7F8#EQ`?u5F6_T9Lz!EbTzZQ zH9InMO!`va`Il+w`X$}ruyN%5n3|lWPGJU(<*6}ikkzqyQB5V;Bt{ctR$5JzTQ|9c^_a={K>lz1R@FWgP3ls#*%cVjb4auLkoY!4NpeccDt+l@sK_YuquB zC06x)q3J}4V zCJP+!#-g$@-o^`Kqy!@8;bD^{R;qJA8&{lT9L1BHa{d^%tp8x>i<9=|VUwbD+1`ndN2OeWhj*QO=%$L>N zL3C7}`~1%exS#4c?gL5Jtt$GU4XO4MWi?x=@_ITwFJC_?=NsT5qgRki-o_J8KIzQw zeb|VS{{J`Vh&-h4eHi~c?Z?8&r#fHwVszb7tv#1lLy})=H*wPS`s7y3*wgvJrG)XD z^X+2+i#PsLJG1etZiAnMIuD>^RGSwx&_VK$Ce~Twj@(I9xdv zE=`4|QDM#h1!>f0KtN)l(4u3w>f&zf`F)AZU&pWovwbN3In#Mk-OTL&miLCFkmD3N zx%qkpuymn+0ZSJqHqSYz%w(Y{%?wz&TENonB?6YN39xjRdV!_8HdeB@+eT5tvT2?d zS#msE9jVD3Xjr1dT#MT{DUGKv4{n6pXx}g--`-~eoDzO<28s@4l zo-h4`X7(%kISIi{VXguUdZVC^SOSCOg@1$UFwA~38o;35zhD$F*s&xNyIbtMc-|xl z3k-S#gPH$=nZO|8qD*W-`QJ<~IA%X>!^uG272(D6`rCfB+uKn`?>ZO0Ql&IiF$H%3 zPlDq30C2(QTBUO6dF|HL@gsCgPs(#K{C%m5NFWAkk2ZOwrm^B#X3kNqN#vdi#Q(Sx zLRiLkg(MX+4gjNsh7VCchz6sdXc(aPLl%tmx0WReoj@!g6hO)WgJmW~B07SJ4-uj9 z1%=Et3ejKe6Ah+wfddJ6?=bM8Mh?Rf!Hv2HgF)>f4f{dTl4|vR`4(1~087@EI3&Z| z90;+fA2UonQOGf`2JpR@h4X3JTVBX=c3AeFM$P;v`@d+#4&yfN0Y;{kocvGb0QQ86 z$F>)JH;DWS0d<>Nb*EFfES1`iif@i5Hiax%f1gG7?+~!T6c}-;G~E`)prsdvQ8w2i z@}0Feg~k`IZ>c=E8%quq5E?dwbCN4dDATxQ^K(K>9rM(N&@k6A`z3Dq(p)V9-S zng7N-Q!0a*fM`(h(hPJ=6oe#C2}3P!cN!JP8Seodlc3}mX5O)en{)rvcv4FD5V=r9 zIJ|*KggC2=SrQKFpC}$ip=TE|cmq(7hz25)nB|5i(r@Qaum3d zn=3>RM~ZZhl!bzi!4}$X6iWV25+pbfXxTPcURgoN5sFx(WjqEMXVNyv+h6ie$2L#@ zV@N#cZ+c;x^>s4u*T1f0la3L~(2Asg&%vxHL&yjpx8KME&sjCJDtWh)YUT4JLbep}&~yN7Ud$8<2LNG)oRQx?c1 zw`qLF69&ApGou2bKSlwWk(VCd#5ctHe{!wI{Dc*hEtWa>7Wtx`bz{8N^7=eB6 zYPMU@VI#;(h=K}AKzx>5PeAO(=L4FMhWrQFD|TI05<6i>ZBLDg2lS0Xano--7R9zj zh~u398>W95&Kei(C`D9EoeAkVs|m|p(KAtAoi!S;Ne4X<;Ng`@97K# zgT|W~^n>6k0_(P+8CbUrhL$)q@avengWwM?bU&AfIsaGbG%Eng$>DtP6PdEscH5HVJE52CI555mG+MM*UT)$4<{BXxq zc~?2DtGmc;Zl_g}BDRn}oX4AK^%RV_5wl=gwdRqRBQ|ClsubV#hrApfb;NYZ3@HU$ z5+(V}f||uicJ*6ejpo;LHzmmMO*aX^md7lTm*-rdz$mjLo(2Dj2Ik1R?>gXTqZA3f zfBa4`S_C(Fa%3R_aqT%%Fn;Fxs?Dz# zJU-`|zYALbJ!yZp#OB@?m*LwkY8Lbas7i87K^rikg)YjpiijyhSwkRtO%*xyPaPXk zzDEziKLah`#f;k%CRH}A^a%&Az?51iv2ZcLE0XIzVTMsfJ3oJLP=rEB*`3;dpppZN z1G5WrmNH-q(H#8w$yy$Nq{<~=4N%pAzW~LuwUNsaJA47v=vU#$N$p0vg|56#;J{fz zm317kvJCu4hW>3_jovK+t|I->1U`fM{JB{{>lGUxqY0$p$h5Xpn{$v4n*G^T`{lia z^sC8s=v2G8S1U}EN>x2swK!2Yn()6fEi(Qz2cW{o(3=N$7$O9bZnVVW&Jl0-%1h?E z8=F1@KjV_hpD*v#eA!Y2ZYL_`v20FT1kq9ZS4Qs}v$0~)zI7i)2S1xQv-h=YiA|;~ zp*Lc}Ym1;+=qBEu{MXcd$#R*9L?k5i`7ooR`&=(D34^X{NO<6OIXoOOh7eDVs3W!7 zXBBWb#R>{QJe-h&3tseO`M>H{VYzHoUp^OMjL+%$nA#K?RC|_v^vsEj2{IiSJIfP| zXfs}3oSx+taAK#$bAL8zdh2X5eyacOrO4|~=#N;tjLh~~B^FA#ap&kv{Y-y! zwAW7fz2V)KqwCZC>D;Pq9E$<(uyA^xWL$SQ%TNz7O7~34N>Pt7O1D9oc29CFG7{M- zzd&7o7BUe#vGV#YisjTQVd5qBj?`%AM~Zo(#^=Alx<(WWzGcD$)PDj{7Ky7@e*@x@ zCIu1{^?;#$+1js;91M-uMJxK6@Uia<<8t9vn0uJD3#D%^aN4`+d#;#*hPOA(fC?{v7)ao%=GiC=%@Y;%EDr{^o=UpbbI+2BfTq5QCXo z;vb!R5U0smFGK@-lL(5ztzFBK?Ftsb)W(r0S9S1f=i$~uvp7b@&l*rT3=TaTQF%>c zqE<@BISh(TRzrWS49L*YsI_R~xy;dpo25x$^lU!cVD3Rrx~J<`WzJg_kI}l;yivjP z9R^nY;a8_jgv_2dTDT&jqZ$L7HcK>jMNTF(_B&+rVDEq)PpIp0z+1x0u9LnFI80&w z%Qyb=EFk9z!OLFjfzQ^(N&;G>FJB!!E5C_$vK^ga$19jZ^wr#wyQSC(F3i>USMY|& zG+z)$uO63}iuI8^-paHwD)*6TmHgy2$b zBi~kUW!+ZSLFx@&+#(ZR-d?EbsQ%RzGI&H{7@OH*{)+!&mju?X8`A zha4XBf*L-#!RM&>hz>CP#fu!B#ETNWxG!p{{@w{QeDXlCx5ss_o&)@2EA+0VdDw=p z%C1tAfAp?}ZNqpF%(n_y10gZ%hBDFQbt*T88@1QFa3^FTqCI-QD`O&iTOf&X1MGD9 zS)g`J+>8*02(LzPBG=dAm~kG<$PJmS0TM$XO_xM4V)wo2P>=Voy6-PN%2I-AT|d1x z7E3Zrq~%Gyly}nYIDHO>_K;oW*C>0g>M><>5%qs|q^HwAtmynPX>69iq=Ej_jlxcEt z!re1-vLGhmP8XE5!FMWeL1TGM&)tTWT?jKSJ^G1lW#93om$lD~-+SqmB6jFK6G|=W z96`Pc)Es3*XGrN;-18Q+CP2UVQ1vzr=GV;s&Pt zsuigDjUUKE*CnWeXYc?&igQ~j|5;E3M}5iO!-VZfDuX7Mwr~N4;3R|%G5_f+bVI*~Km0xMFZTL}nf~Id|ES}Dm_XJOj~Z)pZ$e%Z%xT*aS8>nId2x{*X<-9(#IE~<4IlR49{%ID zQ6nzasQqiarHi3tLsqLL|F9ydWCOf?{ec#YA?a)t|5Q37S!9qX>$=9g<*;zRjzTuO zt^^KznD@2kZB$@w?9XgE3->y8NjCfptBE0#^r%S=6GNrRp(6bH+ENq4`KZ+u<@(y= zAxq1$)fGoW4vs}j%Qj~G408^SC@aIsX49AZkCGI@?F%o#DX=|FuNulNYNj+i28~4k zXyR$!lfRJH6E7l~CwJ5>Q7DC9gQR;v;j&WG)UOyxQM$`^&s~&eoNw2Cq#3p^=Rpa- zrn?cGU7*jpT|KcFX}>=0U4E^*UbUDuWpVv5<~f)~I7bbw&W?Hi1;aedNTrgZT@fO-@-Ink zX*n^x2JG3~l!(xGPCTd8&$Djow~LeTT6_)W2Z@6BS?``8!QS7h1>+h{(HHI=pAf81 zTx>b?beOVwwBse|Gn=9aq{nNJDqrJb*SkfcK?J)dRR=&dZRuM#%qA<$_ zY&YSlOqDq(bK2nT{NyXwRb}(jDa2DkPsL)g3LUok1)Hf-Oj!{&O(7LLY8xuCSc-CI z%PTdHsv=q;>6xv3(sL0y>Ei@zCTlmB{X(3zi}DMF02?~;%kb1X%KQVtA%Y=N)=3W( zsnRpO!JaMBU^;TX7Lv?5lyDLd5Mh&0X_W z!Zn`Gn9pZzd-arFD;YA65*`VW?^}fDVt-PMX(&2Y%ATV3#k8KYqNl0OpJlUsa@VUX za_;K%#SfYGA?3e>lv4Y0v(O8)^3hj_3Xe9Pjp{flE1&%_CfMto)1?N$l7A{EmFs z_j)Rv9205JbpGBaHl>~UGuWZxG_-o-?@T7+iK+A+{>)lM1D31kk*n^~GV{Q$?DsG; z_wP(a$26Pyj}V(-w-o*&4Rw4PEE zpc0IFwOh1dRL9zXHf7@;Mlifs27P6iDU>2dFxrjaz6y2S6)I8~!SR$u$_S~(M^;ea zYDlrv#TyxY86AxqQ)=|GzDsll{wSlsofPXdzl0-mYoU=U ze<#+ywZHN)BiSH_dtwhF+tzcRAfrcbBoD86kp{NorLGsmHZURHR7H&2$ zOy!CqLPc5HEp!p0tBRR?n{#9l+lQ16)<>am*Kdn$NX#r0wMI<76r6hsA}^msK)3mU!EMpM`cI2#|DSV=B;nR(HKhf;>+h) zub;vw z^M%g)=@^^q+d#m7B*cAryTw}x^r*-gPtR(-PYN>T`o7-8ZnD4GA_P=Xzw74fV&Z6S z+pG~2-v(6je4$1M(e$;!BPL!CIAp-}#GjvU;1dXjwm<^5+vnMM1yrG-S&go&BIV`} z8d$l3Erh8O&}^SwkQgdD?nq^D3cRNcDA$iDaK7vsqpLEPTgd6AjBTA+oToHU@oQ>U z>&ANd!mA5`NQ?`M{4C4HdcDG}_C7RPvvd7B@)g z{LL*e-2`urj(~>eUiqKx6%yd&PNC!P$eXtyg>uA$|8O|+|H0nx{|9eMq4+Kw7r2`C zO3r!^fW`87VO#pEjW z(g2bGOXLCzNnZpQ3K9`vQeui+b0`E~5>ORZJuVQ~l6254axz~5I5c?)yfqX1;k(LN>no6C78d~n&d!hWi71d>A&TP)_eWvTc_sYqU*eM zt9#Ni`F>)3`zl&%#!ASsU?b05ulgWl6xj_|Y!vw^tUvK4O*nxAXPP(3z)Rww(viVo z8rhxL^yWX5nM?CTyJ>f7fLiH?62d!Z5kL!kG{aT_HuhEhB;}gN7Z3SL2DTRoPNd30 zR-ebz!K^M$GPBVvjGkR8TndE^KFl3WJ7F7xoIb9a@)%cA#_ZDiU8t+16tGvnE$ycs z=kp7YKa^7j2#$2+4H7w^G_HZ0kwndfe<;-}tdWC64-#>sqtGJ*oC^^(l=APfg-Uy@ zK*A+GgubGetnHTQGoh`1G0156SrVDB#M43vH@v;Ghs=h(y`4`|$KA3Ak!5bXx`Dd5 z9bQge5^!49$%KY0jY@z%5Sx0Li=qgv;f;kByYh1$DsVg>5uh!PFMqYZ0A*jL@e8rS zzHJUlflGD)>XiwX<_xVN)r&-EccksanC^iBKDQV5G5Myj{zOz{JupFG)J!7tYEAi}%Hnpa+31hPD{s2UP?DNn)b3Q?f7I2J*O`-UeV;D|PhK(N;S4 zZ)pxLgLg#b3Gbf}U8-dt+u%`L2?UP{=ns78O79n~LM#ss+vrk4Ola{OW|48V_T^e2 z6J)B9$J=Zt+oM~T#tEzQN@#hoiQK8FhK#~k+V__-&RQoECp(p1VUv4+Yzxb08Uo;M z&*V=&49zsHTq<&Q^f`A_ps^uUs`yjFwL?sTpK8Kph>ukjiNj^2_vPJhhQn=z?KB51 zi=6(BUW#DOWy&+Xl%X>tY)9u-Of~+@TobkV9*V+0_)q4H^I9Mjq$n(<7=7 ziAlpzQ>9WX>`PtMRuqQt4QMt7#KysOW0gP@Mh7|w{MW$8C7GuwnS9Gh z3VjdOs{KTTGgVwX8p{as+ejC)veAfO#H7b2si!Kbe9H_9{a4DRFEq(*V!`50E@pvo zp^p%eMaCt*;<ab*~@E z$yB{Pwd~Fx^J#?oW0o}0HK|BzX@)4Hv~Ww{T9hn~Rgn3xSr(|I0p!pd9RXmO7GZ`E zhcIczwtJ~@PCZLt2$>d`dJu=9Lq@iHBwoOt-=Jj1grY>Na|-pJ+1j1 zB3ei32cr==g;0bsuU#Jf`rTSqBv-&6@p-4XnA&AR zGXvjf*jaw7%ctR4<_FGUvszM8$qM{6(CmmFQ|YHZ@Jt@p>96CV}s zH)4;S^Bm4rlI+^dd`I_U=^By(fGNH9nh zdm9kAuRdEKi829-o47Tg)JOS2hyeW|&0s~<4{H#(wmEVE3|HhasNCFfLk>NaZq+3?;A;(j4;6 zN%Ukfy@Zc8+jDO0S#*kbTC1(PJnY&;{9q*>`}5FYB9PdB?so4^9kPCVaxah6e7Jb( zM_{skYku>uvzJF;JzYL3!u>m2Eg{6dg}<5-dEeIKhb+~y|Fk)Yn<;hg;Z_3AvW zt2VvFO(@uHF{D&5<0M14#PvuT`5W3I0C#FE7#5cSTq1o4jXXbI5x`h36-;GX5AG(l zUm8qC=nEiKZt)@SvKTX=zoj{<7*|>!kX~?y5ssEEJ!985TIme@VkX>&AQ6Kr`rFL% zfg}+dlKHzz;9)}mgh!UFX)q}|&f)oD;M)9Kptz<-WAGsUTW-h*g3#EjngbUA3S^=n zihC4`z!k-bY54`G9H4Nd{O{UBjD{0bQb9iVulGI;B#F~Y$%;*;FK|gvwt-K@mivb* zi)lTNEo)gn@hDu5II;I}CtzNdN4nS^&HB>Di&5Z)zl0y*lLU0mR?^p>RMOV~P108w zToi<#jRFvjhDPE82^(7_0%p`n3hGptM;`z&@BkzK_PQP?ko#b}1OTJ>Ch2Q}NCBva zLd(?%fZ49_gZK%=Y!)P-iroJ~xzTKy?6JNpM4)h8rrPc|nag;!7OmrqZeqgc*c)OJ zWAl1;;Mw^_aI|qch9+82~kQv1Zc+6eALBWMfF)~8d{E8fx z*CHeSkn8jpffxf3MNDk3q>{KeN5sco|zmAxJO z-USAwT|eQ!$Oh}gq{A5Nnoo5#6~2TbYHL*?BNkK{A%!A28WhlR@Nldjup+xw$a=bN zC2wI#MV+8W%uSEek}lY+nG--4KJkT8SE81tD3@$Ch_js-m*OjQj_=|1PnM*f6a?1Q zT71J<>eIhw)G$GQjeo`&=`&fF831cPEb0}mQ=zNTa>1i3)EWe99|M9W6}meay1S-u zNarsq0YM+CwLJ`O<~2+!U$Isyl@PIlfx`7ro0^_*7h+6!OKt(eX`2I`)17v0E2`Uc zi-(Y7b)k8Rqia!^pkQcWxHNdJogn&O2D>zAR(a23vX^6Fa(f1iL5Ear3@VOfzbffl z7T_}_?;P$HDR#{&II1$QSOi@sYw!J*l?A?bWE1mcyGsWTCoF09o_h46b9WfIzA?38 zcK8u@6k$F0Sjg#o?a2G@_K&X}asO$5!)FM9vss4!v2#)^MJ7#7K+wAVONY^QGVC;X zS(%TAPq2O&p4EfAz1U9ww%)C?=*Y7Mab5qG)m0<47r&wZ!1TP1$zs_iWO91JSih)h z87U(t3!P@u$WnvX(p$U=myf$LbfnUy#!zT@I+CeDlB3LLK=9%gXc49f*}j6A_lya(s$3&j+n;5y!7Uy1tm~cwx!@1UY|@v z|04Y^l<-5%;2yBZZ`qL8-jorQ<5>%F8EKO^Vc#bsOxyhHT;0(V^YC@k!+5QKJ1<@(qVpX2 zdejP;XAy^D`g>Qp)2N^k%%V zXSvYZdo86!bd~}Xl@d2M=fesAqX%)OBbE~UPD!XH<7BS4NQ!D2b=oO!6}kQD_|%Mr zXF4g*jjAt5PFEpe_8C%B?{g8@Rsy!g)b(EfwpBa|w8ApQB4yyS+&pTg%6__%!+{*4 zDQJm26;Nl>p%(;Uo5xt9n@Bg z*j?Rp9jd;5h%3(;(^6tzp_6U(Js(q61w{zZJ5|oBSq-X!v|QFAQ2_}cS>aKkwsihx z0ji}vdjj81453vF7nrpaWKmiUBM#Y9^<3P)R4S}rf0GK%K0Fo=5cZ0R#!OkK#WEsTcJ97`woxR4r+8G8Z{~8IlTwr6 zM1-v}a7C7IegiNWX6)ourAvVY!{0t4j}qx|^%dMELv8ea^v{x0`-nS^B}Y;-mNIV6 z0`x^=O5bp2X|!1KJNi0ZF~NgSy~bWx^Oc&60tau{$-Dk|B1*)x|COvW_vu#1Gb?te z`}Ktqg+2chiMFofx%z+wq4IOwnu-=%j)v}_Ml4gH;OMje67oC>q+gY<4!Y98Q2x2b zaotR_Kt?i*<__4V2g`~j z1Dp4>CI$NfNAsf@fZ|6a?HU1~vJCef2u2Gq+QSh=rs!Cmfz_(Z6D7gr2Al1NvEgv+ zOSYuGmJp8?bc8>i43=Aoj><;!x;vVcpvLFX|HhHHQXmn{?-;NPjNuFn?cYB>FJJmN z9X4-0r+eSAxaIgf!oOamXsy-#@W$%SmGq9iEy|AeI2G8}dnGiJc9GsULSd5a!%98j)4u-)<=%BjK2 zpsrqE`v-rOiOZUENA_>}A~i6b1DGE1pLBL$x*Z}goov&{h(Q#y_KIiYafdU!o2D#Q z1BX3F$DEEcwkE9sXles#xzH#NV7{Z=~3h*#>4*!W8_IvxXoGQi1= z99H3ABl-`?Q5SS9LS{i_5+^qj__-ZtSjIv>)#%rBpwYN`ELMsn(5Mu%kuPj`*z{gjlf!M04eu`4rpHo_T&VC-qEd7Knau)4&_THND=EbXfv9t{REdQyRQ7<&ys?Gwvm3=ac zr$r?E!)0MrTFob0}Ri?9>26j)c(nAyV;#^is`vcKn3tf5~XYMn+uCA|+tQAT# zxEzBJK$^mcj2{8-w>!na z#k+1dgY^aP^b~fEecoaGrS@-UhAuiD=!nzPsWYX+<^4m(i1yd1*`1iddsc?6tn$H) zn_F2Ycy=F4CqxY|PN&_Q@3S?+9-eF&pB&siUci@3b2_*;b267JiZ+by95}Y>X`?VtaojP_ETBDa5XwY|-F4mE#s_HmSYSswm#IhyOy z{dV`q!DiuA!kvQp$J^ab@h9~E+(V!o56QnDxOV^-aOVfa|MwmOX4Z!G#?i7C{Y(hK zm#>&@DR>%F*qscC_jQR?ywGuGX{o!ur_MFHf+_UvqQYn$?!(pI2*tk~pCS0RqAfywv}(V}iYr z{-mm`&AK>BB_?cULh&8mkd zCb;eUWoh+$e(##wsa5Cy!_`|r$I%WcBRk+=QuNm~wB1A|{I`EK=4BpP!uy-UtJk-(`uJXXZk@Ob6!u7=@&u zFJV%K#^r`FH5DL+p7?5V6MDJKYEh|rfK4%|s~manY^UrR;%JZgb4iJ$bi`58n(p0} z>o&U4v=Cx8%_saESuD~m>wmtFxMFx=--w^SY0N-(I4k=T@deDP5ws(ltXjYqvp#4m zjVHr9#6PTA$oa5A4`UzHIwcDz+Q$G6-yj~7z`vozvgnv*Ii8oNJALh=b--gePiTWY z&Gyu%z0gCoI=`mtG$SFEM7w|?Be;!^%vs{3e1BK1$JDf~?WdkHa>k3b^by!M9=8(S z)}dM>7|>LG^Tdr3*>XI2yR8dqyRJWJb_^kGL&o9#l%rc<-I;*{cGTMRm1m^+di_}V zYF?pUx-@!FW|VH7rdLOQFxRS8W4qU2gtt!@?HUbdn08U7S0{h*Ro=d!FDNgb(lfZP zTi9uTC60aa4WhBQ+Fp22i{u$hl4*c8zWi5;f|3_%BO4a2)!PdNCiUS1AgqrCxm5t* zUIvG0Hry3aZq6{d+Dlz6MTmk!i5sfE-z zQd%yK6q;imFv@jM{k0y_J4|ccoM(ft2HDXO9Cel)J3qJPK|ryR{kVo;<9j6`FtkLy z7ZCQYQpaIapbjLHAwPybpu3JKOnC%KJfJ+f_>Q|8gZq%y!#oF#1_VKUH1!{B*CzQm zahA6#z5FKdFYorFm*y4^4saxn$3^@s=(~1o^cM_c{9^7NCWEr`J6NMf$lXznv}QV5 z{1sAAjFsf^>)=zDbF~QPyB!9TDpI?^9<+km`eW@(&JBv@~*;wydHTevr zL`r`{kW~_fP286+f6Z#XCLU_!_T_+SLI9E67EWtnU*O60CrYmH9Q<0K6wHwoG*At7 zO4$nxqY=F%;3w?)f*=dURBB9w0Cnf2y$-fW%ET& z(#n#@7rL#6V_K}p`m(|h-3wF>Z;rGZuAM0MYRrr8jROaY1QrH}nH+I##uilmJUg`* zva8QRM*-Dv$GeOFMzknKk1NLGWPedZcrO~&rb(xcrRHYfz~SXv+Te(|UWw)Li1vYA zrNC%u$uFK)j^ZhMzNt;VakqQh$*24sgKNtJ_#lEH5HdGK4zMt=VkaEH{3~ivJ{D$} zouN>9cry$C1xExL_aqBaH!_V$+|19+#bR5&nb)=o7SvK)9Bz#C(6`LUH_C9 z(UPAemFLSmJRRZeGNOYCS^U)|pw(QuyJ*Em4rzxN&WOVvVFR=3gbC!rAsx*EILcVl z7*4C^6nb;#LOjHXLx_rlv`%isD9zZSDQ**rqNQ)lreh~l+=wzfY&xl!r?dHyVOqxlrtslxJE4a=?dem%zd1EvG`?#(hk4J(w(Pk))ij|dN_m8#?5Dos#K z8ZXk|n9ml<@@9^mx-9&LMkzVv_3K{|J~_73zC0!zvyk~X2xLTWlw{d|NT&u58kv&* z&cV!iV;u$)l_B5rh4(8|< z2l74l4y?)1HO{5G9E{6l+G3XKS=q(T%LbdjWU$!|?iI5ta9A_@*F{%ezG6x{)Yn(W z>X9{HSbS4ECM4DCUyNv0lIk}YX2R-4n>?a!%XW=`wsRE4sgXx-qWr7+f~cun|I0@; zH|Z1^8asoar`5Eal1;WePPT=+KEV|+G4TZ$cbb9UCWh^=? zh2LnUvXCjh0_cD6jW*Pd$;z`$GHK9$QyfA1BO&f2g6%2qnAUhz?50}XxoTDpo!n#i z)i?NHcG{3;NK0L`EadCxw=~T5!Ra+^O?{lp?O__1{Poe-388&zQWnU>tnUYp&Fl&E zvT;bJ1qi0=_>z2t7>HTzzc?)VDA*Djsx%M@(RXG&teRQ!-5RTd;80U$wcsgu%7$%P zrS-L_)%1q6lrl&vv7BGVr^T@%>ymm31eMioMb!H=2|l8$Sy!A?ePy~RY%tMBNAT7d z=%u{rRnSayMC)|bAT7=JD7ZCCZ19N_p4|VwyzYzFk~hk6AjhWOy`Z%rXi)ZL$wk0b zel2uzWT8y8!=1=*sfmCmAcC)nvRqZBGanQ=|1rbC-$=bhXq;THjcdF#{lhom0u8xv zSCPF<9g1DVq)eM9Q~2OC@{I87pRw95OozAC%N=vKI=IVCYdta&C|(${wyh=ksx0{^=?~}@@{&w*3+_;vKx`s*Uw_sq~QKm z>-eYIvmZ4r_W@?PMk2?vYh@}(?|fbc^}TPZ&6BTdZC63_^a!Z=K8Dj33oyGGV(d%- znA{-qk9L{f6f^q4KSP{f*Bs5;0ZarhAq{#Z5b1ZUk;Zst&cbtFT90+9g^+aMC z-|`Hl<%=%K(b-GIyJxh&yn4IVjo1G4p! zL;V*cEy1xOB2qRDbRJ}xzognuRo{o($J-w^N77q80sdpV$?u%eT^2X#jDGlAV=C_k zjGw$Y!+JQVH?WMKzEkbX7K+a0Y3NhAY|PfnGww6DOrE`e3pPJ{V@33s9|`!{Rl`p2rO2HaxpCn7y~9l}}XZvk^LZ0`~7S@`(?LM>tQfkJKzSXG!1{w+%*_n5=^%m9A z-tm#)+W32U)DREa<28>7_8#Re;)WSFt}>} zeCz8YeNVPEIs{x%Bl?v-SO6dVE%V5`2?}q;l{@LqH`Hg~9-yrLxT*zn>{3$JDd3CC zZxqJTEyeGwI<>{EmSjrVPROS#_)g6~wqD$x16G-IW)F(y95STU39PgZn)GtKS6SHJ z$|#xbSH*+wCS)?%nL*oOu{iDGHYV-?8e%!7mf*N!iGZC(`_J@^i5X3{ls28>INY&W z&w=qD zb*lhe^~4_rI&0@`#RU~TrM8F6<52And6Ib(YyAtM+U4HQu7lCsvspAp% zW_1vUHny~vg2cAu#f=33E`^XTi%E*-1c2CrcG6k2+b>ibp@F` zljT;OOo)5qn;d$ddVFJbTin?U5-|+GOfB+=sJK3G6$C6WLw9sLVV5LpX&Yk^BS85^BsEU@U6XFj> zTjxmX!U;}6k;qBEo2af0fJVdb%qe>2UP1R=KS1}D#L($rNxTW~!FzvJCP4S}on~q3 z*J=NCpahe^M+&^RbL^Q#ATKM?H5hV?M1!!erYa`nK_BeX3^eINrBaQ0%oS~T8sVVn!L?m zw>fe)Eh?Yub_TntmSc1?wXY@cVlOX?B&v~Zw%|!9*<3N zpOtp8%-wzu5T9id-`+B@e``08u9;IdR)aNShH3D{EHPj#uzoN2Ro86So-g$=_SO@! zkiP{sQ*H888M07xl?5mDKDYtf^dL`1v$0FyOw3kVI{#B(_|KxQi5o>SuVidXnu{@g z1#9x~pHFR*B1ziE34*%lauYx~Ya2CtQ7+Yt$Hk_A3Os$;TEHvy>Q{eNRFTv4@V`{z z$dEw<;znfG{GGMKV_7Hd+_K|g2`l^MUBCaMxUO94wyzBz!db+@i!iB_GGz#o(Y=RI8VkWMatXZH)&vE^dX6NKt~hW~dA#Yj2!i>}?E*** zOTf*Sz}Qt@K@t{GEKYqq8t;3d?ubQU)$cmp;Dq8MxL)Sl(zf8FaCAQqpJRljbh;%_ zMzv|ANL{ucp=adF`MRWB_=OYOJM);a+BL za;2URUv}IWngQos*OaYkzs{vn*@swEm>CUQ@ZGAwx}OuB;Z1Od(0E{$8{q5Pb(bOU zb!BK2JrQw7XhTeYBuXb?^26bJ_F(jGAT4_KG`Beig>Ua3>^$;%=&*^c^-b?J_-2M> z8dcD)OF2M!3GBE+N#u>qdAOtQFeYeY=#$+TcbfPYe=Bz;4AvDWdU70IGU*6u5H2Fo zQTQ3q$y+WXK@dK6TAdPCfL!aUnJ(W0A-QDoYJ<~z;Hp`cSuHkgZ=$NR*6MN&mU&if zS5ZeC1)^GP!5chdCGNvmR*iQ>cZ0F1=xcTLk;zjHcRg{|gwZ)=%|1I&tQ@+>r#7?^ z^H@2IBeZKTEDkQhZpYM|Td;PdIlsqIhE>PrMJ(zFRW()1tK0FiC&xBcvxL??V*F!V zflv{$u_=7IT_$|gjSQ-!fGWLA_T;X*YI$na#R3efcTz?We<#9oVHI>9A{fj%3FJM< z^)TOko++6{-F_l&#|xloz55{hOd)CkdYD6K89h7QOv?4sqzjYXyC;}pQ1p$m9ikBn zjFmMp>}D6s@bn|F6ngg507%wfHlb15-luU@PR_yYgL9CBgv+1gN0 zWT-CdMVDwtymtbu!U=?;s=}*0ERz`n44@eo+4a}X&k7ac)if^A07npFVgSG zv*)=8-4@~Qu#@OeDF#h>eL2h6Hsjt89F`dy+D25@X&%V3e5TV%$jC2t+NbJWB}l{k2Ecn=ocwGCz0n{BnYwRLz5aqT6Cy#LVL5msGN8K%m@hOe8w?xXs9Jpm(CJxN1c9Vl$REh++Nb6pr&cGwxUQeWcjb z114=3$7r05_COqgb}6P53;t{1zh{MDbJ9@$K!Ab8k^Y~lX?Iie4b8PmLUJUZt0gET zx3n%E3ua{luRrRiPwp^DU*%M&$zAf)n2cLI1_K1-JF5)3d_SL))@jwETX(TtgN3VQD-eu;BYmYrNQJo#Z78V~CNC0^9xs5`u#)#=$b=77M4# zt?yUw-2Nw_Tt@AHJzpQ@W5LKr5!`2{MO4zK$zV~gcS#^$j-cJ=Jftu9tCE`6LHh;K zlRR7}mmi7tJmhCdjRp)0Q2+%*-`VmpCZa+fms$tGdt<9c-|y_(h2Kr{odoY`ryB8F z;=t1zoiBz0!RiE>Q@>LY7TGNef;pdUQ>(+i>oArZCWLGMHA0T37Z?|pEqH0pbN$VO z>`}zJ7sQ82!JH0;>Wo3a-bx+K;Q|Z#8Gus@JPxnPR#0MUhicfJ=Q`w%ai}+BlR7y| zoz35IHq*O9J21L*4+0SuJYb%iZ&-fDm+e~?>top;e44`yJdJ?^W+XdJXCy#y|D})4 zi5;h_sfQ(jI)&Y@y9je8@*x9b{VRCe;Ny!dQ{+BeM0B-ZzNHr+ourcM9a>LTuQ=v4 z?BklP+dunpwd_*c-{Nf5_)#kBqo-;{p~ih>^38A#s&@KaDoHAo$@&og(lff}f4$eA zsbq#ZvT+~K6Xw9j8aivr)~VQCSB>^kapx!=I>Kr{LGS(w6SM0? z#+)DuKald^pdU3%oNumFwOw=A$303PEpzaY2ahitMYytHLuk*5eeo?%RkcxKa;!u7 z{BHDa3c2qJh4W_Y0QjXT4PfbPMzSEYq?r}}}yuJVj zD-lQj_p*|QshRnC2Yi6}kR!<>kPnKbjjS8|I~o*S0%QQUlp>Jt6EQ{d)A`&uqUCxD z31^!VOez2h9)k*QGo?gl-ghg>Su)LZ<(68;DW6E5VJ)eGyEwZq(TPvm_)BpCl{|&J z+QS*>gSM@;jgj(-(^zpT`Vl!Ixh4Dc{{5*j%5_U{cS+It51DzJng823^&$ zy&o?#7J_g4;W;{=Hav7R_7!PY#0#iC+Zni*d=2hyo(Jqx{BL;&wIoQzbH*<%3Jh2N z`|M+&Q#*Ki*6XUHswcya-P|s2ezIkG|7g+7iIMFa+dH@!ikjIDwUBKn23+rWDHFN~ z^&k2l7;^lYpSy7|ESqY4M6s~`3&WH~N>@}+mW6dtl2<+vpv;8&*GVxh{4i$cc69U9 zhLBGQTTCw{c*Kd7;{ICit&Tlhhi~nyuvC#5pqMsy@`nIb#<|+b;dPvi<64L{Lp9lo z6EAfPGeqO*C^L*hJ4beKz)~5RHVlAWeOyFEef)h@sB}5+QdvfWNjY^AJI4o| zt%ftU=cOGZet<;Q;U7&r z*>m9~iH#n?8XQz#USY$5y|0;}D6!|rN}2DrTL;q|?|1RwWs54psSdO5;n0Z*U-01N z(C)(_iPmPZz@KBgMTVu$j=w*AN07BrMJiU~A?YK_Uh!|ryDGn&y0$5388JjMH$N;S zx5uj}zaY+88G141xDCjVH-8@ouuLPHL6O%VaO&}%0&)-qg5ocCnPP6P`qiRqvU}tlgwW3)F(_Ic@UJ_ka zUX-H_Zj0c?LXcK8q+;SoxE5|m9cFA^FmqRp*X!3 z`3A*IfGv#=j5OdHXIq>!IiCP|2-CTYy1V?ZHG5xd)i0D-pJjPyhy{)g@!-}Fo&Z`^ z>_!eY5q5lh3DuRbG6cdPEzYu-*}t>ntps$M{>B7<2ZJMLxbrj#X_VDsPaRo_C_DLd z*q_nbbb|E==eX26YMKNM*quo@xH*zr2-J(_B)vR7Zu%A`9`I!aucML^S<_Ao5&Bvi z8d}Z7%^M%-(BzK7_jWu?&1txsDn3%@mi3WEcv-%d%Iqo7jPdz3hyMAp8-<0w$^ zFy>en*&7`t*OcLe30}F`6CnCaHO(Yzxu+ktV5t~jzg3eR{)N4CXxjC7TYmZp{pX^o zu{yzArPaA%S4~3V%&4Ji4D1~1uZ7FgLC{7kaF=FKD(<=jLy*4Je@@YGD$$SslouDw zxFF@&JmqEVxHW^TOnF?w(wGSl#ey~t&auS)(QaPWdnxie4c)`TB*UB-PnCtFF$kTo zM4Ww)1jb}f2f^VcXO#sEn)-((inxY}iKhAa56j9@Rs+~A?l5>>A~o}kpn)P5(6otgIEU!W;@XAPKK{xyePM~Ml*;ZE|jNbOSA!L?hfWf+$(KV zR<+D&ie%lrd|ZI1x~&;tikrwfPVL;gT;jtEu7S{Rn3~b;rH3TL?u@YA)MRyzcF)49 z50$l3{|m3l1fGoi`Te^96e>$#sK34cw=Dn|EH7&mOWis*p2Jze!AI;v_S|o$>)v1^ zHT*i3$v&hAE$ok7L2z5yv(^AJcT=oqyo!Nfja;9JhNXw?E<(Q(4s3ab$8WMH8o=!Z zdv7GJu(7r&!Iz%m90Pst+fZ7-^?q@#*Vyf;bMAZZ-PC{L`tGy}{TzX}b2bt`ua}qN zar2ux1>fU}rfe2D#wYolc1*&Hhs);5GQS5@yXH@A-u8!9^Cp+)Oj);~M{m zU3>qBCE$r`ktncI{~fl=I1U%rpl04b+v@go!2jJ_67c;#S*W?8t7e^YnB=OPwc&}@ zKjvW~!{8%t3vLp$v1L?|&%#19;K5q*tRoPLQIfDjM}c4u41XxO?YDyLuyqME?Y^1} zEd_ZMZ%uPRF`oK|2E(KM1^FUYm3ZKUR3qflv&lJFmi3yOoU#dlPb!K*Mc>l#bv}wj z8GlQ&hm+Nf>k`8gF0O)6UQ@G&t%`@4O0(Sw)k2Hi2^RSh*YE@K?bovN!9&hvD=vQ3 zfH)<9q)pQ7aG<}!_Lj=TmnGvKRX^%oQz@9PPOA&G2xiW3uI-(_H&?OGPn^$BG^!z- z(Vq>)ID*gTLur*kGYvG#9Z?y>4!^#k%N0*6S@38uGm56w2?_APLs=8glh>k)&WJM+ znj9C#g4=?HkyKEy!7!M{_B_@EjAq66{or(wJ-!Bm~$QQPpl=@mM5ML?ds zbQpr9El{i5GNBO+Fu#-)pWGbA1J5t$hHl#VnEh+< zOBy!ud@D{T%;+y%H6^X^qVXG0pU4~i4KND{>W@0;3rx{-r*AQl@Xnb3H0SQgu8{|9TuO8$Y{B~Yj z7_O`;d{xj;ClBjLr-&@U8X&6F|2-k03A=~fDv}+)0eguF`*Ye_Gd>b?H&UfcMvv?N zQe_&md)R`gcn#R`2FaqaAY%j5R5Y=jcE=g)XafT%GG`hS$yuggfCG`28R00Z`)n(WQlf7cVXs_&x= zhjDSbN);k2mmm&66R+0RcPl=~ejr|xB5_i6VHpRC9;XU3B*OV%8;AB2x){gyYn=6y zW7y9}Qc`t_)5axwB+F-!-8AqzMv3kXym3+9sFBx@VRtZnrzYM=`kj1)DpKDxq_Beu(MCYp5UmMz)4o`)%w#^*{AyPW9)0(!iO;^%hRl9X=o z@_*3VG-zidHgL}tz67ee_ya10paBs6nsXP1>{mLU-t4dTF1ve$DbiuJ1ir$qed8J zv3*n{yx~%3#XPdwfIg$yR}mtb3j2_bp-V-sEo#tEeGtWQb_iKstsvyIvAeX255}K! zw|H^;Fh5rB?~|SsStLm$9Q|iZ3)M8fci8n;D&4wH+oG-4Z@=Hjf6$QT!NPQ)&sZOw zS*R~rJmb>E6O2Acwh+`{gH65KiK)D?x{SmVp2|sf*mXA9rybyb2*tX4KSCLMUqw!7 z0)j2dbHP`=_t+Pww(+m-jI;G}wba@TAW=;cT<$_(1S4nt!GL~fKtDL3V5ooYU>7MU z^>j^TT{kwe>#Wrv0Y;5}e3%f?M0=(6nJSVVv8>F|a?2jx6NOuy`Xu#nxC#y!{o7f{q|xvv6Cob^j3wUWaU-l7y8ev zD>XU}hr?V{Cw<7ahdQ*8ERN8tGnMw+)50gT`_sa!rs-IjE1KiMLelDK>ogL|V@?@> zWnO05BYdmXNjSs(YN0@oe%pN?POIk$c#xg`D>`C_9cVu}5RhPW8JpdM+NixZ!D)3p zsesyOz2AddiHK5#YO_X4H>8b)Ugp>$z%#B}!ZO1-P7N5L2tzjs8e;WEAH?~2 zHJA<$L-!^!VzmwkovH@oV*~XfAy%LDLY&uuP@^`;5Uw%X=?XTWsxgAKkonW~g5luP= z^7Fj_!ProQ^gcn9V~J{(KVs;xSZUvh6g8i#i8W8F!J-Lq40-w>7z{N7s1XsXC5^z- zs2RG2L!dQ1tHETDaSUyHAQ)&g0~R0=t91>*ao`xblLDYMp{l{`ND;S>YrrZuu*%uF zR_ia<-s@1-e*SfxiO5qJ^g0ef7paPV=$`l{k1cg9RuiIs*S{-uMh$9>i1p3w>)hge zgq&YS<()-snMG|I^y@bQoJs=@r2&`HfE{VT%8Q%4MJN29K`G9TSmex3?%7;yZ-&IIW_e`Xlz=6RNt=9_U&AfMY$!^0t@v zHJx1(^ZFE{1ly|_Iy536ZE6IPPr838(@-fJo2kkJKNBlh06;(33DmF#U}M8fl0%cR zgrR%^58QE)gocY@VEUu6Qh(Ud>Qc?iePVY`w-GDMD=d5h2VY1@m4bqnbm^zp%5`?F z7m8q3M+Tr26{IfeXC<-nfWqqip z4yYaImn=QVx!Uc{C$z6sZ!Mors8N8EXuv@<;368Z7Y$g$+Ja(yZ)g1c!~B`T{8`WZ zDXY>x#@_jV(i(f`KhqF<=l@Ad?4AEiQ|z7pCvCBJ{=d{^)ZYC3t##0G7RwL(=}_^t zuJS(G4H4)%0i9B1k6>?)aAk>bbsuVN)dkRbrCyu0IZ3y*8Ze?q(Q#ca>sushJgjz2 zD(|wC?(P*TPXElpzHymLW|!#-YWRJBbdiC|_yMi)>Z!A;`KqSzquQPELkv`eSK$1f zH_VjrA4&bJrT@Uzc>PQrIVqTZ-WuOolRxHOt(Z8p|W+i}07TWV#t z)=+!lVZ;22>COXmIE+WlSA@sa)9)RrUTdmQ~oCH;q<#;dpL$J6oAR$gwG0szRg@Y)(W z;2$60pBqpGaw({A&!{Iy0H=u$NQh7JkN2VbyWHt1e`x>^U+vr8Q+$bO{Y@_B$hV$6?_6M#-zWX+OV!CySDs3&C6F;SMixk%_{sY5{&asAet!p;o&rh&fS4cen6EFC zKYApAL#k{$bHMXzV5qb^=i@ zPZ~I$`e~r?3e-ITx)%Z(sL}l{E}pIdxPGzQ;;(i?tPe4u4Ni*_b4W%JO@n0(e*Fr&tiQYurvPts~!a#Zf^qOM~bie{YUi5ia zh6}xB%M=ymSFf0y99=0CBYU11ORG6OiQX%F5itdXu{>+bpNmQ!KZg|z=r z8i~#;vBs;O#*b5h&U<&gldG25s}nw9xcKEjxT3*SuT#1<+u{~_meCihmqt@vmj*_e#W!WZ+|p)u zz+Bhn6I5H&ML2AeB*~0?vEx0>N_ZhD19sfs`QB63ax~Fv{o+eum=KUoJKSWEx%=oB zk{Nn7ja3+bR*h4bc@|Y*)4ID*c)IRl(NcLaohZcxXzjV**h^mSZjrg&1c-x^ty8$d^gET9 z9;cNKwTeZYsmHtL2j8nJu5V`b!$|eYq>*~5%tCfZd5{TFpoRz?2cp3Vph2(!Tr`r- z^#18^5?=D!l69|ebipH=DbSq$qjLe{pg&97_ln;}knG0}OrVD#*MO?Lf1fVhdsm$6 zb@V%ixD)v(7)O2JI}CpvdLd`fOLC3lmbW4* z>7-uM2jTLdwZTX_fM~!k3f^QnbOEfwi1Dsi(X`_{o3!KcMDxh;E_c^e`n5Hip#6lG zr|X%6@Yj-f-Kb*%cR<_(sx9ct5p`aGD6Mc*Nj#BhT1oter4&|%|5~K(OdpemOVCkN zBb~;)1U2kQzF-uFMa(y5tHEPECX@T>F}#i*vzSJ`!DDc0lX~Y9cJZ#a43f_yHgOXV zy)y4siq;O=L;`85q`0ppe|nnE9C(j*gM+>K1Wg>OBx%qv2IoUUMxcayEs(%Y{7@%- zi3{5lBqb#2h{^L@LPBQ2X9dum{UuH=ac9vnr2nTqz-20}y`{j#9_OEpx+G0m?bP_! zonlb!0ixi{5Juh6LU`8{WGV=#R4syJ|9GCkGn757lg@PhsYxI*gUtQchJT8%c~%AC zGc9?~!a$$oOK5>Knc-#tEt;tL?_vuyUNc0mAHw-2#zJFXbR}zldrSKF1oEe8K5uID zg0yRJhc8WE83d9*d6M1dihkz}lgX}0sU3OM7pp4ls%>SBk7Q(PiFFF*!uy4gmt-XD zv<>%$=cnLkT1&EtA8StJihGk4QFHUV5^h+oT=>>ty})ONvD3X_nTCz`PC1%anBghw;HkRO#-|FE)2(_Vlkr^SH^J4RNq>|1=9iXXp|Kmvh z^C;sIAS>_-iVMP*pc5=G-)Qp7I#1%vYr~~)Ce@%MC`sh z8s5jA&3BYx>XsM1-~z+(?EDY|_a6;CB6Zn$3HR{$1a}8Kb>I@@0WK)+=a_^6F6ghBDR3X3xIDsL2e@9(26%a} zRqgR4d;X3TE?wEa7EXL`@-^8Ym7N5stOZDA>)^KC9v>6V7)D(G>7&6jz%-?t^w9rd zZo5Niz|{G|mj6=p(@-JRz{88!2=}59CS1Y?G{ntT{7ZRTX~7n?nzNJa^!V)+{$qaR zd6_ZLQWSw4b1|q73U7X^in(a##ULr{lS+Ju!WH-lF~yevWu17l(dXb3EP2V%UP+~$d?o+I{L?K-rwz1!URo;2!<)rye8J~l3Gg=R^=(MW|J&&mXlPZh7Ksm1 zHzlBI!T`*gfeR??~ej4ddSr59^ z4@{(fD|F##Nd7i-l5j9`H}t)Reowp|U&{Mz8p(-S3KGg>LOvZHUERbV!+poi`}l-) zJ&w@Ma~HtL@vv3{?snaYJX*K6o*a^6i+y`C(VgZ{vo9FPFKZh_s;$2>tnGcAOrz7+ zA^YU%VSJO8vT?4cv_fzjpb8vQ=@hk7pCjN(MC$XF-6ji|XAD zAm+u02j`IN6^~5Mjx(vI91KWsK!R(jHv!{M&6>1xLUJ3xL(7i=M~`zr`rU)e4*sV{ zDeN%Ov~nLkksh3CG?fhPP#O)+nHp{wX@Y;mmFXXL3ls>7>|Kp<`&n;~QHnSR+9RKH z@nrfX*PR%oG{HRb7CCwi*(3MQig{X-rA?kx1ZwgYm!n^jGf6MP$uL-l6grO*?7aRO zC)hc4&e5V|s3}KJoBU!OLa)STJ>L}o!Za64)^s2zx~g2Y3XXIaEw^jMuiUi?6pFTp zpTCTfX82PVCX9C->EV<*X#II=W0)=0!#RQS58g&hkv-kpfJ{(0-J?ACR_M|s^JU{w zS^B9tWj2!1H{OuB4wVx)cnJ-C<<3m^JU;L`AM)bPc#t#RMVQ|N|L6#2vw6b%#1_2N zwm<(@m+7?bL>DXDdQNFcnKx=O)_~pvlDep*M%M0Bg`dkzMd{nc{TFGa2PJt)g$G{W zpxscJSK*$clp*OH4LfZu%Q0=eU$_W@uY3aU%(D8>TZkR6)amW3CJM+AE|WR_9^GLz zAt5J3!o4miI~F?~F?cO~k(%e|$o{ZCSgASvtfs)f=MSaw7rWS+UHe4K*Np7ujT z!qUZwyT_?pIb21*P%qQdpB_`+X25>xVKp{|H2aueDZ8M1eD(Yzk>UA9G+3~khbP>d zM-3G|;g*&#JqxcS*gccy?Pw0Etz0W(!DpU68Fh|9ZsZo3Ad{&Fkt6MPQnNqIf9Pr> z5teWrM=snuiGkskhBmP0a;(qKHa%j7bleyVv0vFT3|2au!(Pxv|x^p{;(_oUux^q7X)Mq+@>rs0c4I0Tz zdhwo4Wln~l4{P7ji|PB_%Ow1-O=t@XuZ(%w_**ss>Ct;S%DDm;*?1>b0qOpGI>fmG zEZHmN0|SzG36LbmyHc1BGQ&xh?wHMs-Ff_duu`&^f-D4DO3ZsJZY47{Q8;s5&)C6J_;ofmPa-+_G z1QvGj2#jL=m_%^%4VCX#7eBo{s)hy7Fv38ucdP4=*Q&L`S2C+RZz04O`RgmfA(ZWP z&;+WtfU7HRm@8ZpAxUl+5FsmAj`Zgd3lB`VF%q28U;vy1H_Y^QbjHcet0#r0$Q0&o z7>Q6mp%)sQ{qc9Q_B>ed_B`5b$=hE346H*bawM`u7PydJGBkwX?wbuUP5wz0r5m%9 z;#1dQm52B%Ca;-0)sJ-BoHGBcVy~M^rZT;`H(IvXe;zV&TNHEcZcdj5Vhi7DLc0D7;|rQN4< z(w$C9+Iv+@Uk^&Z77=7?!ob+IdvJ`PxEsJjF3#u0i0m29ZI*=xFuf>mQ^@uEDm&1su2nX>SQrZ-YHWhV0*HdK>8Q2x-l$)3pO!($Bg_n zo1zE^dru7&g_gn-t8_}Q;I_gO@N|k-V;Zsf=+3XAax44Okl%Pfa<%=4P9#2UnFpbq zAoRjFov?M{AZ*j&##yA}xI;ob;>u8qeD23qQ78^h*J_hz9%u>Rw5@;I{b?>Tj|7-v zmwArb3!`~U{U+!n(u4X?ay6Go6Wy$+-6I#2*w?vYhBa#yW{$El77@1VqrMxsqYyxoG6_X=Sjg{(`zR`#KRrp*hZcBOSNuvQ$usRHBx2B3vr(9>t_VSs2Ud{EK)1QchTae*T;O+vqu|l8;D5IzwODf%(yqn^Jl|_TsZ_@cQB!K z4ng-Sv}*60FjA zdvv;b;3U`Hf^PV2L3rxt52~=BWe2a4{c0$7i?g)-^P^8QVPLQsZQ@b49^rPi1UCd} z^L&jjKmL-bnGaX+OTMa4RXm(B?rM-KsnP56oJ6tq`6;D3X)#T~+|7s|>L+5sT(3ki zB6H%gYH*uqbS{6>@?kPXBRN%!EgZZFp-}5kXs+=UM-4?r8i0D!N*Kv(1e1}gCuE+hCW(N2P358&8%8MsCJ9*xCNC+Dy28e|2KS+Qs59=S8uKP{!8V90K zPDUaLBQ}JyNIC{*THmXDF{2K7CA_q_g6cgw*~s2%7kmjLP2O<2@*_KA1GjXVD^Rv~ z2bGSX?2x$J@h*nw-ePzz$As6m0c|n{D*B*J+<)|F#v8=7_k|jbF(I|-A@+GmpanlX z6WgG9Jur!{KS26^eovihNjQULC&yJo%4b?rC-VG`i01ilYcB$4T$zJo|FE8k5l1t5 zs(0;;!sJBN;9VEP7COI63oGAzDs=e5WWU!9hhqvbmI&YJDCP!|oLP z#P8uM^v^gmTn0S684XoRMuMWbu<(Bnm_Od#PZ@v4bJ737k^i4PNRm$hS9MYu0D9AZ z9Rfn|6ZQhGZV{gK9f__%41@Jy+fGj3h=c3|HFIwl%$lKJcZ@*$G_?iTuR!~_HwRqF z<6dtJKz6R_b-TwSKBs|-CdB7USMV+3_f)T@7DNa88r*Fh9RHFl;V$O3=__QWd++UI z;VwbJh#2?Z%KfHQy&ET$y&Y2RiuOh~;cvK3V4wT``EhEG`<@%6q459X>n)(-Xx4RM+}+)SyITnEHn?kW zcX#&yL4pOBfx+F~g1fsrAy|OqAHKc!y8E1a*S}W1Qqpf%Raeh+J!RDK5-%ez^l3(F zF4liJ<0ZCD_Elp|>?wS+ktMfJpk`YlYo?K)XCsEl&pTQy`^;?!Vp*C0cyA9Cs&p({ zzDaJw72%*p%+h}pjM}A_`p8Qb5ogCs7SNC&W7@F;HP=F7D7xl0Ez!LQTjb>42L_}F z0^FYX4}1m-o1r<$nuzK-7=(Y>n5!X-J18RgHgPb}i(sdUEBRyN)it;!K9k(bQ*14| zDAkK7MI$Ye~!wACiXsE{|#?ZF;jnGbZ# ztu+0flI&>qmoI#jqe8wEx5U_0Dg~26Ytl?8O_!5%w!|T->j)IfO#D<`XHS!2O2}-f z(Z8U!Nfv~9_~6?z>ubWx13rGeBx)w>5ulHaPP%ck4xsNUcS1WeJ_5M@jV?O)BOo)T z(@qa?HI7MHFGU&ZiBM78Tk8~EQ0gPiiH0GW=S32 zx%kYwOxJ3wPWN6}l63I2(q85t%4EUrgEAQx=bH2N0MAYHRKIcaR3~k+Z2z*KD+lH= zUN67s1+M@*8$}3hGLRlgg$HD?Yae63`cWwc1`hWh-0lyo8TWs@#cB($?8}fNS@)}> zl15cF7P^~VYAG&ap{TP)E}&ndqKR)tKrCVr_iprXz15%Z3>oyM#<``XIr!(1Fnv4{ zbn(Znwb`}6ax+{&P(aR=j9xq^Q)a-_9~qTHZfB**IwQL?a4rrj!Kdu*oBKfzW|+f# z1VuSk{$V9M^uoxZgP9pi9|8Qc669?Dg|lt{zyS+3f?1ef})xN!FkyF^opqcC^Hi^tO?J^{y@j5yjVSH zTviPMeIhO4@DBJIzPnw<_}8}M2CGkOIn%>`qpM5(?+08*{?-STbiwu5d%gyu|II!9 zDEwuGjI6*K9sK_l1^)jUz^3}%^K6^N_l3YIoN6mP{GW=XVq5js{$F9PB_pgOY{j1v z4HM1r^?kpMu)h5e_Vj5pB=gyeHqSVp;_*D)SI5qv@ju;fAZA-eC{5~W!RIhK+{-*? zwIsPi`;hpJ!DV7UgFf+jYI>}rUp`1%=hs%RWN!+BlTi-;@U?W0P!};Q)_MXJ&ZRUW zqE}IPcn3h7wTF+}o5f#cyK1KZPq4GH&l*A5&WP!;L9%Vs$&P(Zvh-BD!uWggM$TJT zU}rw|M^+bj07&EHl+BmmbmZh^E**)+vI0RWU0&9@B0M}@KH6V<@bQ2DYH@14h5WCk zBsmN;VL{xomgR7&2YvA}aY*N*q;vv5KApv$ft#m?{tTHa-&;qvuTDG{-hQT3qnAW8 zWZOmrL*p`FFS$J1*<}P<%Trs>;4K#zJqa9+79#xg{rWN@z@O|mqoNVJTj_Rmi`ZrU zIbyMG9p!}}y{|MyzP6?q%bum;GxcS*&}GD-VN^ipK=tLYgxS4NH4H!?je@-lYw##Q z!|kVtq~Em3dRO!UndIpM%!_4=jNnGv$_L9R`mUt6ha}roh%M=2(Q((`HTGUw7;fKW(w_bl45G$rAcp!fiq)!oh`yZoe z7DWGi^Y?6M`!8rae2&T3?JP|wTgZx63!R);SR{w}@%j?omxF(%w*Z=tgkMXAjk{J$ znYZE(4za{(k*s@A2mB)<&S1{$q?Y z4A7n%_SQ8pX|^HmBovfYM%?%FS7C(F(W%rTIG`sY$n=2R>SSKnCxtHQ?f{S{Jdt`X z+@|E>fgG?RPJVc5k%nJ0ks2rLQ)_@5AAh%R^4Fw0pta89M#r#4yJD^K>!oJw10#4h z^k!|sCT&7Ce1BCfvTf3eay2jTtoo2xydT4u?CwEZrUXD6zce|&G#9@#&r6LY0OE-R@koPshCw{EARavrz2>t<`^Ab?Ai}b@ zZ}TSbLj#uWF{*n8P-kcSXzFaBT3`rj9|^=l-#}5Fp9yydA1kPfDwVrY*0^MyApsDn zEXbt)A2fSaVY=0#!LY7fTOR<+^e+*HIImUGCByEq4s5J3*@<2QHeRFm;x=j8EVJ@2 zH8WnWMYKvKJRjlQC9Iro9f}TS6dXw%R+i}Gi;27k(KV%my%5|&BjszsvCLG-YIMm&LHsDLtb^xhhirXgdRAtSCK zqX(A%O37Tg!iNva9itqEm5LaPP1;%R72H>96>)hK?RGT_LwP{f2N(_`zY^954UbuV zN#Dz~c59Ntt_S(&1sq8~0oNa^&x3e_J_4>Hbub<4Bm&=cLN`4UbEY3b2dO0(tB0r0 zpFc=?`1pz(!($re(-U-i#cs~GQhM>V| z?OGr7#w(JB=5Yvc3R*K4UXTyWfP&~69%SWb!m5it`IUE~+Q%W)Q_zOF@U^rw_Ml6AiBm!SIAW* zqn)BhkHeu{)kvdS5G;`As8O4!#JQvLkWSv?kd@<+y*K}|#{oeWi_8!l5vz^ZskkE| zN3<`vZ5n#b-shFMBcymkO8c~g*zFPOl)Ix(_pWM&I;HKzX%+7Y8aJxL$_!|^4_ZJZn$xeJd#6txHjT3^mwCPTkBAfH~+M5bK(DM_8#-3jm z1(df=d8O>c9ld9nn--kYLIwtYy_DEon^Brp!8K z_jJ?=9bR_x)!ujuXs&eVT#F*?C0VeBIss#Evg8R;nEO}Ezmf?o=>C(KOPI;XQ2ZkX3PL zt~KB~JClTbMCpCsw<#O?dFIb1f%tfs5egU}9PQuWVNc3Bq%ww->pwn^y?6 z%X_)Mo0K^QoAh!|yE=I{`EI1->I4c~VCaAQ|*5?Ljkuov^9iMaA4 z$-(%t8hUj~GC?!jWnF+?6tDFRkG4Goht*5sE`jff6Tor;LbLQOCKu7pXIOpXByVLlY!jY7<8TI_tZpwsY}pYE-5FP%d?1`6Q+c zv)dq}OqHADWEgj3fsddSH(}P%DbasOPg!|#o~LnwzWR?`)5F{}_+*4q)fBm~U zp@-Ub|2lf@Nyy9+$K^Gmc9MAs4p?9Vy*SetVr#u7%F~#`4CdTHkNC{uVicwMF5!gX zrbt9G)nXWU`C=%g`5Y}2Q0g<8`WQj5)?aeowJ#630OZ%t(PLYZ|7MS0SjlXGdS(LIwgk*n|X10vTA%=JSvj<>arM9w(it+!kJ>?N>BPtAQwV zmpBZhkHO2z$#X8~PZ#eVQH*a?CHrJ6WM#7Bqphq=)ce-sqx@N%oQb9J@kP%TigR;< zh-6d{DJrIM*j0$u0~=qynmWpkv-8ndWD=`Mqm(G1$`Kw#7NfXHs71C>G7Ma_SD`c% zfu;30yHFPAL}Dq}TjBxLv5mv<(N<5bkg`NZNkN{wI6nLo5aw{5Df`8O@0L}K7#WV| zc+?`#;Bd?%PdNAynP%*1E`|ozSSPu7?+0rN7zhf0@T~=B!?UWlhY50wIu?mlDg-i1bn(>MtdsU^ z0lB%^^6M{w*_O9&T>)nKinar>z)+dlpbhQT_WKP~_5~`!k^F zW7h8{qFAMhn6WsNR~dAQ*iSSeN!U;HAtTUNw>s!#D&L%|j`Phs2bP?BuTR3oLLvNU zaw*)Sn*Dp@SN98@@bCi~T2Hcv%(L%WGpE8|juEK63kS?e$xd%nqaxrb`5zF`5AbB*I!9}JyHK{%#U~MEHEFqVcDahwuZ(gGsb8t&W?xU`$DyeyJtEISl6NFb z?}A$Mo14vr8-ghKCEuX?D9_aUDbL6e&;Z|kkO1HLz+?`lB&6Ap`2s1cY<(fWmqy|` zOfAW}BeTm%o*_?ZGI+yhAN>75tIxOIR-gN)WE1seK&9tkP)+d6T%N1g<>A+U_#}6KcwN zy9?L;?UCTq(3s_%fS39K!K4SLGt1c_|lBkn+aa?_Z6*VH%ETz}+>B zN48?>A0fcEwfp^HVF@FA&ECX*SwSdz)|b#;gCxe&d_&O^ST``C3K&|kvc3qB+O4)I zb=X4G5~mHuPKXAH^qEzv)UKS>tbzi$2(;{bLgDnP3fIfA%bu9K_$`LLqQ|dj3s+EO zb83=pl1A~cV3M0|k4pDkYIkq>S8lvX?7a<&8wP*Hx+LS)w~kWrkSgpzSMw5fK69kn zBKxNaj*C0=6GB~q0E6;)_;qPRQp}bv0pq<9?5In0A?X$nI^Rw7;GlQHY2| zRinTQn$b9Ki{IuwSLHd=)mMm3{}q(Sjn~#y63jyZzn4<(B61hB!ZU$$tDBsAt~IdK z@}@nw_&rhfOIV9ur^19PMWmzK)zfgWI679A2!QE94Qyd5^pTW0NGc!2qBvvo8IQ@V zUAN2vdY&ISCzh`{NN8L(QvyBLF&gIHxn|N9xUZB?`I{IJY~6@do{KhrGMG8+PeMJs zWwG&Ge%ndvUKkQNaIrYP8=LT>!-`l6Q21`x3o)IJq)6v#Sv>-l_ znWF{TbzI{HMDsko3=?M~r$i-Zqo4$_rS%^<2)R2IDA}waEYUd)w%K2X_gJWae-p<; z9jZ%^`CCB(7y79%3*fLVMW}%ixNi-TApJXBVqtyuq@N}DC%rVWr=()v$>!f)+Bq{j z;toqore#`Zv>#Oh{hW4*wG&y?%ne5f?_RU0(ArL3$ntkud7)(VB#n+GjlCBpV)PW5 zD2gaw0Z;<1SKBpFwHiq}E)c++4IZ<~`kNLJtY)LIdei@L&@2rTj8_|0Ps_O&DX*47 zqKBm-RO4<7N&%7@)5+Ptqfhwm@e+CO$?md!lb)5jMh3;lc<(70@l2X4&;ebBb(5yq zzcFyvb1c=TtIM1&vV8Ilvs%EvD=J`ZzuiytvG}o0Jv0mC zs==e@rop3sSZ+&lQqI$JEqV8l9{wY&exu*Fv@kGFe?cZLg+2Aw;_#!yIFK)Q+(BUm zWZ83qDoN=(uNJ*Uk6XB1BwsiUe!OQsgL!~CjcMwK@|03vG6nUINhK9|WvBj;M|^LT zO5w;zfRE~ML`Z;7sa5*qe$U?`cS5(JnnM%jNl=6SlIoR(_ zsk7l1vu=H!WxWUO1>%yVJ(4ksE0zDEiM0SGl6*M zP%t*LDI@mOyAfs>#kgsL9elUxvTbj&Uw^y8UkKCw(wBa{+%f{K3PIix?W(Gjma@ z47SsQHJE4I$w!qBxxfnab@Q||7aAwWGKCi5tIBt=Y!KU@unZgi zHVK!&l^xC)X61D(9)pK3-_lAz6Bj4eUs8nnKf#TK4H|w<1SauZq3!3a4?lD) zX+LfTOd|q#VIv&Pw^s1HIE3W=6&mry;z2`Ffhm%9dEE;tSOP(VX~fuC{Xe8AiE7#% zSB9jJ!iOxNB`4KLI+> zKNUys+D_RHzBP65^a>KHuw$6q_-eX=+G{u!bSC_OO9G@NqJcSXrzgMJj}RgemobPX zdjADZ+pu*NhNDUXyQ})vgAdmMV-EG;ueTewNKb*V2=4*=anJqSkX5IZ4~jMH4tDwW zmF(7FY&DwQrXWfGqzLbKZG=(F%=!U7m+OqH_trjuE3n`+1p-^kqgh{ z0B@b4C?i~Ng50>Ofq@f)&m?5^h?8&jLoM=8N;e1?4m*~>7(J`?{>%R5$Rg~g;e!5a zq4Fh+VSE5AN8U!BWJDoIJbO%0|70W1S@4l6M`RBz*Fim;?0H7Rtm`=YNxqRXT~ws= zgX3P9MVNN^lVIrg*96<0vfPG%W3rU_^{ID0)IaS0BjW(;v0ALAZBPEyw$ZjhE^H6C zRm??@k6!s0Zs@eaf}zA+`B?tgy&5b;vgXw*RV9uqRk_MR%9I9AcerXs1Ddiv3E)|V#>aL(QWrL3YG(opynw-w3WZL-Yu z!yJzAmmyqM!NgO4vC6h>kQ6E=Pl3YEO8TUMCvn3#9Jr)rCbFvqd19AOsuw$%enVM) z4_RztJm`eB1qNhei^6cARs#P7AjdLh9wih#+4uqmD=%T!5w?nu9dVM`L+_e#G*_}S zxyAhVY9iyu9sM)RU@(-+40&TgYiZE`cu3fr>1=`Hj}Yt3hXkP&ooKEv!BDiXQyyA& z%b1+HKNg!+3H1qcFgTORlUvY|4xv<-M`LG4`eEV#?eQMM`K8*s)i@#<3SxIEFVWo4 zb<^#f&3i9;IzztLHsV3*WV*@th8HPNo)Qbizn3vDhRJ6Sr1n$Ah4_P0(Vi|~7g^F$ zcI8t`T#D5-V+kB|Yd<4L1^;@i%3;cRE7npZ!)h%6ZNbcmh2}7?8pri!12A+C_&Js4 z&$>tVn<`jA&()8?+esmhiLp+aeW?~kFGJ9nHPZa=j%4lY0ICX-9?-g^uqpOzmFOMuCgI7_o`kW+G7yi7VmiE_(ASETP@8{ev82AD8(xPQm*jN*@Z)f2!=15K3Q4k%$Nc6tLCd97!~SK(>o)F^l;vY4#Q3ubQR)H??4d|tpvlcH;8(umds&E zGm*|Wxc{ivGq&vJr#+`DFxm)yiAZMy-5B?##?ilP*(ATQaL?zy1Hk&$CYFJyByK3B zQK4rZ=BKuXg772%#({tT2jT^zv;P3K)k~zgV4!Mq77SA^M0Nd_Pch!2fA-W^$djE* z`^|0y&GHBsbh!dXto}6Z|Nnu$jQ_IrZ?mwp<@p=~;$WzE1cj93v2KQC<{iUbcrSRy znz*s;dxjK1;4B2h;{3*O0mEe~m|$@1Hx9!uhIf$lI~5pjy=PE+V(8Cn2wCU8phHC2 zr*Q$FN!TY}l<&LgZ90!H7Ldm9D`K)w(foJ+kx6MCj6#6vJ37r~|9))*Xa=A6-6S{; z48g8!ELbsnw5Xk>@bjk+_SMm#C4OM=kbAEUw0~gh9r?|^_u3%Md9RqhwDz!nkZyjk ziS{ri5BPtOZfCG%Y&2zKAJPeCt#`h*q;2$mlkxNSoA^Mh!o(oU;no=UIG6GcL}3C`QJq#i(51ei^uP#wh{rriJ(s zc_rpbn0X@#Ni3>sJyB?1HNJO;HG1Gx%l{0GBhB_Ss!;x3eq)gQTPPZF@?AmeKi%k) zM?oYGzWgWpF3!*1(11Bd7U6R9r&3HlU-Cp9 zd9HTdPu?%?gz0iz)ff)8_AFuU3mol`%u9OU@k)C90n-uRV3jDa#U>i~9VzmIl16~J z3Bp`KIPKR0;M4|SF`^ex$;?w$YU0Z{xY2qV*g^@!5?IbE0|t0#XsRRvJy#Y5*jk4l)%z$49!C!mV;;kCdCUg5=~M*8O@PNz@m$rhQ|gGP|y(- zK-vQC%IHh{Vk1H7Vy@0RO?}-A7Dd_x4iR2M4slLgs}IZ-m~k ziiZ74d)E&B;R~9v7bf$k1MiDN z-?86{_Yn;h#sy!sK5&!I({Kj2NIG2Gk9KF^@ae4gH$aJP6rI7^9;Hu|(c0cOkf3Rk zqatqar+?;pbF+?$Q?0cFvN^NMS$)s#WeHK57O!`7|3+NO+IGtDv6eck=DA-U4}Ga=96b)Y z%2!UgiWHn{vg{0rtBdN)zY@zH;%6v_4NB?xxf}|&qF_%NJk}uLq}Op5wgt@W*FPn` zDj00F$^jKydwZ}Qc=&7wO|3z_48!LzMjviCL+}y(F#-Z6cFNFs`JUm4ms&g@$yM}b z`Q->dFbvQP$*`u2le=a(T`C7`f4t-ICZCb4MGvCV$qapo?@Ej-sBa};jQh8E*1HA|eXe#7#|1%GS(BPbor96ekv}K3)gG6J6XEB2%SKZuVZcPPJ$a$% zvNeD-x{Jjx3~gz2XNzADTGHqy|1a@UG%$Vwq5-EhJg=CYHv%cOg3VPjfDt+E?yOL- zZG^^4BF?gFuH4)X9!WZ!WOE)E*D9raYccyxrue459=w=8f)|~AolY$m2E?xsGu^IL zVo4)JkKV%KWJxj$`xR)<`~nxOu!+#m3QKA|9n)*2~%Ve5snIS>Lt z-cJigmHXH6<_D@{o)4Z24|h$O3+K%$oliWGlBgKMk6>^1)#*Fek{{`OU0{C+P>FvD zP>UZ?pRG%HL+EdXjhC6)?+0EsYgD4Q){w^)>GYz|Rzxy33rVbNs($~80{Bjb3fTRz zV-5#@wrqgHydlunpNsvE2%A{$M?4l4sUZ-Po!V9+U&f#B-wUiE-S3ZNX0@McqdJnd zuYroYaWl5RiP#-(UD=6?!Q3~4#c}&MJcMNJQU|4H@K_VO+4oBgFq9CSFx-I*&(w`z zGL!&O#ZMZHiw|2nmqF+aK-Cn!QoNsPm`R-;Q?*CFa3gJ)1m$)G@DNa84Jpg3t8d{* zzCA3tT*Y((%9LY1VeG2huJ?ZNVt=7pIp+_3ijJ`Fg8AC9uVaPSW zwC{H}h^`Q%Oo~$~I6Dw#O?O+2@fG%LC&RaGXjRppXDeuqq*$QAxoipn)=)w<8M45@dLdc0bWWdr0?_ z>PB7e(d{2?2v$$XAwN+JJq6|=(~KZhPsJc`zacyoZ?9e%OD9d4pxkh^{Q*`q7_*`fmD)<*97lZesn)4?cl$vD|>L<*b0e)+7&d`P9WqCzL9q7~HoNtdI@Cz%@3&d~3pTJ33dsiQ7Y zMe5`N%xu1J_Y}i$+YM}me_-LWa;-n}&e}+zG z3(qPWHvtsXz4E6F5$*K`Nj;vlnQHNQ4dk2h!>nT7P<+bMcy0#EN1YHIT{?};-b5}=WDz>`9f4B8>SAh zu_$c;8}Ni+GKc@Sa2Ch1xPZ_aMl<=B=qQRO-D_`d)W1j29!7JqxWLfRw_MhE zBS`anBPeR&O$r`C0d)SDuG5z}BHt27%&q2Q8tw_V6^98ezN?;C# z5DC~)xmIjQcJDKTvG{Ll5dM$$f8@^B{3&P|@Jy*9;9W1k%@5n)f^#7!FSKk9r;xEO zJDX8kMzc*1IMqZ6Y+k_7)VGZWvhYYQrW2WI>4ABntpX~bsU)&|Ek!-lRv|Hq_}ie! zGC)(`RYOxLfh8s_Xq&&eDfeUDPkn=`S3G>Co}r;}Cl{ByyrH4VKsg=Ov=n1Z@-}9Up5ghtItz;rJB7wAgF2^T<1DB?kC1A$BI6)IN912 zDlF#aXqY$_sy^2^YZs70a7L`4iqq7Ty}gVbCMIw5K2NpS{~%Zl6=lkOa+*Tyjth+PDlJ$_AQXIoK}OY3 zslmZe6c5$QHbKK$ND!0OBwoMPGv4&#E43RuO4lycw}XU76b=-Gci~1Y5!uT&*ymja z3bWfGn%yvq`IszWHF8-pkjcvC7W@`+hmE4MF!m=q$W(+N%CPB|RGi@|IlFz@9Pi-5?$>1i7e)+u{3T|n5$9k|Yw zhPWo_#ub8^5jLY}7Hf_Rz0Y79BSw{vm?^Bt~|J+R|7a7hKVMlw0pz8=VD>3xjzHzP4ry5p+Jqp-q!KCKXQJoyhf{49ZMD=7OfQX$Np=G+QWK7KoP|G$Ow)M@{y z6s+SxArzl0AcVNB{EM2|_^3cGu|QcFoPfna&K9k)?H6@$x(YF6!uP<{Q#zi}YH`~y zh7k)C9Y|EPu`PnHnb*BHLMtw?b=pbOIFJRNMgrpRo?O;$bg@%B?nf~ zUBcU!%LW%&*Y&p@cbF6t!Pg6R)j6T)wv!1pM-r;e5)}ffH@r90_p=Pb?t@N2GCbbG zvE+*AJfrK(Gq0gY1~)|axSA%yZ7z!jLbypwOnFn~lCCm?;=+fTx3z8k@fsVEwXiNo zb~vfZl)dT@xYG>_E0Iblyx+gBLY{)cJXY3*i|TmxPozdf?#c3o%r~<9cpGm|_!EDt z4xaGig|BN)a$(#=l*uCdml9D}ava7=QUH5@jVk41Df-0L9q-lugVUW2)R^xli2A5d#&I1qIFY^ z)5!$OhjvvW&uZQ0VHEAMUs0F>o+50+@%l?+fxhK?%5-B&S(SXA$!?0azpCog`|B}s z#De!;vE<#-xr-)c^v&3nH9LkQ%T*21aTY6eA75uEgMSRW?(8v>kX_YGD}gQpphMTW zR?d#(y>FbIYhf3WoZXMC9h|_MOps0o9CE!Rg|y$GPP==zc5!V7S$78#rEd~?1N<{1A3QIg)t1b? zTpjE@%hl`JI-Ba6g^83*-jGyz2|2PeC!AG7E*61?s?Hz8Z*=P`lR+r+9y`&!hgEc;EfdXKoV zC7(@*kLM$2c%RbAy*kyIm3To`EX`B;_PH%OttekU9Mg;lAvYXsl;b-`P%5$Bsm~6I zu)LQ@praAxr?Pc0w21sHrFvFTI^h}4Fq2$FCBMxXjz&tFpw+PGv=VgwaVh4E+dRgJ zfd1flqps^z)=lCBvw+o@cbHXH{I^SHfe~WtLtWSEZSM@L^c;2D5Z+|!itz1N>bxCI2z$XsmxZW&w!rDQp0R-cNO zn%kIpQJfp39GKs7|4Ha)YSja(0H0@M4zZ8K)T$EXBb>!pTNhqcuI9ouD1j&ao<}A# zCC9y5V9FWBW#68;aXuwM6Bun@r4?mm-XthYT)9{wxNurM3OLRhnBwVhs}WqD@ZsuY zU{aW3t$hYT8l6q2G|+C>^Nhkv!@^0+X+w~nSD#aHVVYR2*5%gUWu|$g@8`P-<+9W; z3oSDXE?)>sj9&>}Ty&4yEN5w21rR0S8ylqZgvHs_d5AAIU9Rq4P5F~wQ`mTX-;DY^ zv!xUGOutTivUB~MCR&2jgH^7vs|}ULn5t;yoHnH@MEt>EUa5H=i{ZG)y_1kZVM=iL z6|HgfS~Ttz4acc_JjG3jnO~?wqUa0LroTvWe@8pGB`)0(X{zzcZXJ2nh)!Pxo{pQQ z=h=~;2s{VpZIaHXZ5ur5YkY44zJ6#IH!9qpihs18CrJ z8~qWykShDyz7OA~o&^WQg7Qs9M}IT3<{ETOY*;1I*}XI;;#D92=fvKvs3ay{huMPSN;#oV~$qp%xbO9Qu=S=YoojPRg z>HA0@d+~5^stj>*qMH$XbJ8OGMvQq)v@P2(|16!A?BhH#DlEI}`y=(a9PV?NxLHB` zMd2z!0@jmGU!25~JaDk!4^4=Q2T5}=qY*v-4GXq6@Q>)~I3dY)51pz1-@NeKH$SZ5 z$7?x)_W*};z1}6wh^AbIUouhHdKyhot))`E*hNT2>O)aTXlk@o5S=Ztc3N=Wz}#@2 zG{ld+zU6yhPV^7&mfp#S2N?(3`9cUUDx;z|!90y`F$#xu_cWDZ0teoj5@1a4O}#-i zG#4KmU3`r{S)m(A5?nqpn+BRB?x05Mg%LdWLz|>D^1?GT;wgDOq92{#c#0`AqoaE! zgy}DTW|qVLN#ng2r<}4OKdpqMt4FD6)b9G@tLqPGd?JR5N6wPRxpPCTID;~zbp{5R z+3517lNC8iWGpp1_SL%3U&UCW4X!xn6En*da;jy+70L7x9Z}~(e)ihiswj;S9ig%*!9XWMlij%-@Jtr$NL+_;S7KEh z8;{ntIipH_eM_#nlBHI45THzNK)LBmxe2J;G_KqftK8H$IVZZ^hVm<5N^~0+)dU^a zO2-VbKWd6g$qmB#MoNp5LJdr>E0H4m~uled}gL7TT7 zMRBMlphG{&@uG_4ioIsO_l78eyTY>Avi^E%nOwJ;Dur>fQK3XGLw=t%P1=wVwL=34 zcI_IB9U6@78haHAS`4QFiy-TJkCv3lGUlQRF-W>YxfI=rtjp0Ub*I?O@J zM%^a|hr89eXib7XKCNNJ65t@R`g>AakDous>Xcx}ZEYcLB%Prdf~~Q|`P&>VwxQO$ zNteFfYq1M0yeZo1+0*cTAdXQQi_FS^$dSoQkc@f29$Z@8S{6a4ynKr(6iVp9F< z+sE`f8+v%ZyQbVrk9-*hYUypc?`VJMyaZ>|%RY7fc{)4#jRI$A>}XjFi6>7$($5#= zVW2Lu^Se7jM4Q!g6Su!CpZcwOjyK0%scgdYzVsK%Ok}s|+DwZ!aXa<)43CDoSgva@T~+ad0fe=(8)UE> z{>%a&i`uY2LDWC>OHi1gEAnN>BWBd z;`#>cf;6(L#4JYjLT8 zhz~{3R*=r>hepsM;`zd_bn5~7+vA_0pqmIa@%-t6?P0&80e}OS(O~RNRz(}wx+b^* zTivgBezPV9^5|_^Qt$?qp6mXy_0xsPTnhNlS$6Dp4V!Op7V3=oAUg z`BFbUZ1D3T@{Pe6$(2JG83QZeoRxSq#g+XH!Se+Y<_BIGt2rZ3X)j_?=D?cGT0lL})-5KP^)5$6o z!Bf(|T&+>Y`*C zoN+;F@*+fA9{$n&Gvw^%LM^-1*z4A}=$xSLv@P)z>XsZstI;8DHX;%0@6>nez69U4 zmj*@$P}=N$DwO`jBsMR*A8fa%@BN5PIWS9EUWnTj2J|`Sc>?FPoEB zGL`IB*z{>67aR0O_%lcCM|xD;Pqsr_AII-Th^f?8i*vsPv#RuNVpOh}1_G>pFQh+C zAAXl~@Eel)Gxm-1?}1`j#`@u?KtxMt59n>vMPT-3(e+kp?tUKL@7((bWxAs2f}Z(` zUf4D}lidxmr3GqWpZ3xYzW=|J;5bDG%_lqgG{)CtMBNg@+t&glUGp_o*(kC*E6J~n zuX5NWNI@O+Tkf&)mLACI`(mP_carPpef4 zNWNog$1x4OW^^C^v7!;Yg0y;7yx|A-F7-U(+}g0G?ZWYS1CQrstxXF%Elw%y+KH5)`z5J21jYw;Ft8owRD>0e;Jl_tNwG7 zC^Tlhjy7oRlP-3t%ShHM2xcs6@1E&2YqQPk8eqlJXMd&B&`=Tb{q!FJf1%^nY0vE7 zX5n_{Jg?|GzBp5`e)s>;^$yULbWPiEtch(+Y}>YN=fs)VwylY6XJSokCllM6*vWV9 z`+45?U*Eshw^r4)t7`YD?$fC1-o1NorP{E-lrv*ua!2g)U)-T9@6N~!fQiZ$DB#S0 z)MM0bsJrr$&QB z9ExZd)V7o}-1o~i;7SJ)z{PWaFDHz^c=8~(=)}!wM{NlGJ_Dr)zaFcoZ=OTHH^6db zfI-A!?Q>NfhZKIZOC=C9q!sy7ClaS-(4=}WM#DscmbnO{^e$u0dJ@2H(TvNg6N^(b z9HX%ktjrW{p@~88bBPPTY1Bu!a;H2duJWWjE-q|tj*3SIY4H1xpaCshrYIv8@%aF0 z2+2gYzdeCFR7n=}K-#P4j8}t>4GV8rD_H%@q`}LEk1sNji=+i`M1O#=<>iX<0{exB zFU}7-@gQl`)~`GWI?2Hm#T76IB`KU|1de}?jfmrlPo%InP{GN|#iqBnA4HIT(PlEj z&P&+1kHSmXv=7T`aohijPQdzNByWulX;=~;2S%P%B-H7JGA}-U1Jh}Jaxam=LxcA`p$BXtC&GJ{&m?rZ} zWx+bmOuYMWA>lI~B)$5*hou~JJpOfzXhWR)@F$anOBd^-;J(oOU%;kLTXCH9r1&1!QZ;+w+^VjmPtB9t^|9O)=$ z9ECuxLD8uyUuzY)#A_>(Fx18I4a9S{b~{l6U&;!FO2gH%GO7t=h=T2pgz6xsg2?F& z$Q_VKs)mdI_3q|Av8L>SZ|{HejMAwd5}caQct%~in!l{0WPmX9SJ#TqB>UX}EuQpD zqaM^=f|Vz1%^<5KA^aBKk)m?>Ue>;%wkau=-u1qsh~Vv#9p%CPYx}=cdpqvp<)!(lHRVW0 zfbTp}BRtmRixV2fO*K+^x{0-|LHx9YHIkBeQ@K=!vP&nmBGkfzmk0^pG$ zgcJG$bFCMp6$O8)@I|5uuq$&5wwUk1i2jZ0aF|$>ID99w?xa`6OT9}@D5V$2<5i_D zPHo1#r>j2K*4k>SAGb7am`I*AwppL~eU&Hv(*yJJupQ@%zl=~zfTnqH{`7{JNH!yl z@cO_MmSBEgNhJKiQ)!0WMJrGCq+TF>*4US!VCq#*$dj+e&ml9rdRz1rIF z$opb>b8P&JbSbSdgCP4-XGlA$4UKny7GHYVk?(}+_laAwJ6k8K{U7#sQ*Ud;ys51a zt5seH`&vXROdYj)?nq1vhAG{&Ov~yd(A0O|>U-$Y5 z^!U*=Jaw(#)JDnGBrfkUpX4%N;epx0*N+W7_P_lvA@;xhhdc~Rg9(D4fOMlj#PBO@ zi`MP+m@?;~Gwd#ji+C?0f@uZ^WyR3|6)B<(Xz~b;I0wkV_Qq|Xy9O+xs8CAfkg#7W zPc3Tfcf~Faz3UXqYFQyE58LN$6Zw-&k|1U9ncRkqu~J+!zXXg)%JmE!xb%gRAe{

>aH@CdrFcPxz`C>XgtPX9TjO#tbYuSV^&u&Ye!1Q!IAS>J zjduulVcIgiH1e?{3x%eY_;Q@BFZ&+%b9}5X?u4rU0yG=!fXxFI8w6H@G4Pw5f&$^k zR>3y63j=FD5kW`UBKVxu)V@sb66r;H;vxeWw7@WS(2AjffkO(9MMBzl?5)i~=c!Hk zi>NfTP6vh1;Xjiq?OG|veUzZ2)#zaw;9%K}5Bd6(nu>+YROH6F&8DdwgZzn-n5)5j zR%JpuzkwLnjPq2S<6}i>xW=83#-zA5TrIlcea+y(XuRd^p7Ug}u`oZqG9T9xLNH2G zi-c%^W)BgE!zYg*ZHDD+Zjcj<)WO0z#b@DYu28c%q?fr;dKFwdDQ_B&qnD}b?9Qxt z)YAW!PwTvJU<##qw0NRFnd)ixD8SVxWSkyv1J&18Heekv>3vM9kkv0QT9#{K=CTlD zpEV}W+HPgRIdxIG+G+Ke4MoLP0Rqk?t^xw(7^UU|zk^=!7rT#IWsW&O6~7A|nsI1O z_Q$=-h9~t^7y6Syl(z!tTVdy#m#EKi^G@d?qQ331iS=!Z1yU~zJncDAf)3@??Ghb4(bM9@3M?O ze6W!O3wt)y8mRw9Ykyb@!JU>Gfy;zuB}@=KLbCxyIf%r+4fubM5aKHGsVo|?4tRm0SX$U~ zb^lx$@dv8F2_CYYmBec$WCXDy<~*u!E?p^k5Z`K(7U?LOXBEl|y8se4vT*KbRosPt zYFr|?88yPxGEx#Vfz*~udKXQwR~Tehw&bcfEt4E|CjfGsK_`$UKVip_D9|0nBc@Lj zVw_kaFfmLGxu{P{lqNzsA0C8^Di}L*j99{iB_c5c-h_ubC7Zc|?dariZs|0`rDM?cz8L~J?-(oB z>9tGW&?E4mwM3R8=65PcN#@fGkprTPX5@?2DK0A~eeCG_(|ih=mjlfVYCfp#lzZfz zPYQ+Bm0pTOld$KNK>7iL*Sp>;`%mX2k4OZNUp=m%C|N4gdEQSsY{oIODNbM9o$Z7I z@x+^1=co`b)bV+K)ahDVQ>mr$3DxJk*MgG01^`@W>E_bzH~e5PZXZNp?fASR!`wGT zGS%N#0w3=4(9mBwY~s;${&`9^FD~E`V8WrC`Sh}o{jxt(G=dQTQ%#^XHEj8I3J3t3 zE>OGg6pk|azZxlW)O{cWAvyA0H4p%ob)Yq9wnFEEc(Yl+!I*pX)Q#}HLSv>hMl)JP{4luR4%1I{+BaSYZnZt0A8js*g68IixZ#+_4Y^pimKzo`&W+!pz`srxwp zo8F&Sj*!W7(2Vk0&>gy{(;Ru;GF*V$rL4HuA)M?(^xIRHuVq&t!ff}4`IZ2S!!iCf zBY3nAv^!F6t5v-FXaR&C8-$1X4!Uq3*_LfMiwQkD5yKhS_^u5SteKWBCu+M|fxHXnyO=NWZdC%(VF1%ys{iB7Cu3uZvvCOwap7%((Kk_JPH8ZY1nq zzwW-tzhjciHWe3&{Dhv!A?uMqdD+1_FvMr|bCVbt$bWJa{%blP8tQU6NQ9nYwq0!x z&kTU%AP;2bXr1ENnuY+2gr#W_|8<(tz&R`#(IvQ$FxSBoA*-oL_$d zwYRsJkM6NgKMQ}P<~nfyI#)|sPN<7{;jL=$2)ESyR?yK}zQLbSv)1liBoOp^_Z*o4 zSOo|OhP;z>z{ttL_RKqJX5>i28{Xpv_@um?y-)cSZT$mlX^)|DNM9}bUYi^2L+5>F zwc>cC@ROksj>zcO(kDsh8TyS)Ybr2iD#!EW^DBa{-HF-oBcmL=ytz^O*wr=;=4c~* zmD$#UiP7$F8+DqWJ9=<~a_3lf+DMr=C5H`;2EXOsf54@5hk=><7YVJZQY$_FfJ?y> zMW<>_p;EG!P&O(qV4k1Fwvw`|Q~e(q5W`l?u}Q`Bj~x)j#s>N(@o6da4~1)tkpN@& zOCIP8k&a+^#PZksmYr7CqxTck%ap)xvx~wro<346GE=(9u|IMqETzeR=2Bj+o9oW6 z{VMzO>XkP*a+P>IEc@}I5+SdtM?Gn;2uE%=@ z8kQs(J5iK{KP{ZkPdsqFdcy0+>E|{*ab0=CyYq*c#uw0v_F_Ayn{FR+xo`tVu4{Cj zKWw}Hp(KY~wLfe6=Ls8lWiFbYtZgmUG(%oF#`v1MVhFg=+FfY8|6$K3{r=|`KQL@G+JgD&_HQ=05Tub6nLe7FfAU(;N+Ot9X-jw(mr}Z zYHq(t%&W;CjnSEq7e%Ur)Nxl(ghb#2xE5_fk{4imO{hb-)j<^dPKI+O>$mwvhQK!^LeoS4(M7H%J3H$^Fk zkMspN=?vHMhF{&pBX(C~YTh??=*<;`Ve%}_iiG3poG6KYBe0Gbhh!HEuu)P(Iapgu zwy{yHt>JI~_4tnh>k7B`h^=TJe{=Ak0>Tc!%oC4jXHy`$u5&W`3zMh0CbS1Y7W>jv ze4%3C?}MIB*e?nn&&)UU7k_|Y42jt%X15c&2Wgji8CU!V3cih9Op64wCB%qM5f^8W zX^pZiL4U(CRc^e|!i)aI^BKEc1*esBt0z%`nl31A7@wLZsI}Lt4Gx2wSgAGqEYoL^ z3=pr1Y2cNrNA<*+qnxLy(rAj>#BhezMyZy~n5&S7mVPSR;^H7oMOAYP{ZJ*2Xmo$K z4r~agVtPb|940L6`y+~u?HvJTill6GLBewJyaZ<2tFxnJ6K6346vm&}MFi z-Wn6LmzJo!!NL-yDNvQ}$V9lz(-gQ&=?u8cil1;VItQS6(Yp+3OqDz!0_;Y!JlW+% zeGQVhrs=H13?r~IaQL0sag@Inl9woaJBxM$p5mNX@V`_8# z-;ut%8RQdNKs6GZ#S^kc>{22XFtE9hESdDlGkf(NfHpy2@bBz^9LO^5M9K<$12Y51 zZo32FZnk%AaZc>7kzzezh;YEPnAJ!AN5XNz8ient>TZj$G0opEPgqp^mU$W#)F$7j zpou=Xl$}#c6;Z{_tFMf#>Fm}~NL<>wLuibk`l0aox+jNW$p%A&$p*s@WL+S80y!PX zEkIrX@=Yz{G&XBdLG7@TrfE5?C3fcAzrvX-INM?>YmA=qnG^WpLuM`|C1Wt?O!Bs# z;#Irh*(=jdyCMJG7AXb14Mu%*AJZXrphaaNKbpA=Qvsl7pD*N{a}mBeby&cXNHqJmuaMWXKGvYS|isw0r6 z3ZBr%-RQ+a9jdinG^vHWUPU|K=J~k~pB_beN6cR6dlM#L^^)|a&yxLViu5MTB58hFaca4m+yTInvRgVus=H@qIIqhoL*f}P z3MKl^khX>T=5Su4Qle#01)@F+Z}l-O^KIXo?qite{^$Gbij9LW3&M97oS*Un2sN#K z84|Soaa%k`=dun2tOzY@n{ZvmVeYie6a5n$SxE}?yU_5W@da;D6(dYf*pK{SqVcY(l9`?UV9E2xSe8e7#)vXBhw&&q*!Qpo;oI?Pkmz(48} zT$zB=jyWpVh&AZ*y=pbHz$0+--COv7`28tE*h_dapfl={^k_odH@@zAOi|oa6X|wI z=DQgZ3sD`dnEoAWME0Bl?VF&hbO#WfQ56Cy#G@3gb< z2_>RTSrb{Yua-EsLthwZ3i9aifV>gAgWFRi(Ei38B^Y9c?hi8t(Bpg-m6Es#Pl(w= zBEcCV5@}@l3si8+Zlv;%bAPK(4=-U1wv7a3C}u%2*OU=IR{4eMwL6qDQbptqb1em7 zOX?x-cYWKlzB;m=_CsX^UdyjEAxq%PqG~Yyo7$HoE-2u7Ln4MAIG25<@QTcQBYy?L z{x@_RTl@bvZg1-S6Sr&GfpI&wVL2N^6*8_xqF)jsoPdCuUPu({+UI;4aIz=^j`u;J0ZsLP z4D4raC-y52nmibTmpi+Zi|ntCC;(z2t2#U=BLd&QZah-quJ^kRE5ZLDbjUx*8R9Ai z=?qMQYGuK>vPQ8g3;TeXA-Qr@W;9~;QTRz3GbjrT7VsvxOk?ZNNv0MNiwqqSRyn)z z3(>ai$)4d;jc*s0K6guUKU$z)Lfc-%%VyVteb~3($J<}jU$1pQwrhWI8g=pzx+s)* ziJ-d=;|vjaO8h`hP~K3tM0a1p8Pa!^_@SBeU$vyGp@cU$6>Pu0vybb7feEowR(yA~ zt?x%aRZNT#elr$!_&em46k+;0PBl8$^2?u!1}*w&;+j6-p#U`r1jjpect*i(2KjWV z+n90Ijiz&kc^QLvwZ_Md$BMGd>buIE^C>> z6M&s?4{`aBuoj~ARMzzjS#+60cx=r@943oJG2IRSngQtmcG;H(`9Byjkjw=x!gA00 z$Y{XJCFBM2$K}$r1?Hd~mK*Q`5Q>9pa&{E8P(6C3KZnGAxSG!B&MgzOimZdd0h;^83V*iatl z#98Uy3xHjd{>2UqhqoFq%Kq3Avk=#KKJbXQaPZA72KkRkG z)Y?NpRlddZnnQ?m5&gZb#OxqN!dcAIMO~mr!4NXSOQl5b%}XUm^!}&%%^i7x5o7S) z9FqCNP{I~n3HgbiV~uK5K~D1q7u#9{os*PDJSKsm?3hMhVMe_pJGaV(n_u(r$8DJh z(%e^T)hkwd*r`)i-F&xCHv8lBtFL8CuO?NQ3V;~uG%iU}GD{G^3F<=`x|MK6CGf`r z)bRYG(XmakS!p%lz{{aiA_kg8KMkRMl)XPeycRZ$&PkrhZ1q$mh<&|zjoScEvLV(0 zd;_0md-ej?%3&q6)YBV@ZA!PI&g!btL)r4VJ%nQF;y$l@_c(3ic zq3c?yY5P5Hi$)eM#9KmER>GUkX&us}V5o{et%t5?!x2o|Y)8ax&fwP^DyIh&j=B?% z`?WY@(~sb^*ABwBT)+mgZ12TB)HY2kjBuJ?EP`7)_?l+0TVTFC8z4NSHHY#+eugN1 zTQbW~2U^2nrq@rP`_qYk?qG;le;x$1!xS9MB@-IrzF%@8hnL zLkE>MwX$Q)nRVZjn_X_qQS<-O7cY8Y!3}ZMmzLuNff&}nq)v0BK~Nq%_36iY}Api`}zg%!Rr!8{gXKNRn1vU}K@*>?uPWr({PKA|l5VfFiQZpZ7hgRLVY;nOk+P z16zzH&7`wC5lt2eoEVkX5bXX(JO2W?P&7-fpg^x-FK~DI@?N;{U29O)h}>r0AZ8rB z;xkP>a2sWvJNI#eJ)vY!s1Y#95Ahgf4Z8N-lZ=B}krCww#y!&*BAJ=q_Y>=OywQMw zFD(dB0Wm)DY@{ta@N5J)RBKNLR>6ykZVUqGQ5FA?qQJ=t>~=FwfL{(gn-PLguL9<5 zT{7hTxf$pOk2X-1g7tGIUR>eh)y9gRe__vI1iqWVH+lo<{8oQo0%KZ?OLSjjNmOy! zwuKqnP!v74=_&whd%tSDV>aAm6W%xN>9`KM-3&dvt2$*UFYPSPx+=^vy52ES<}oa~ zz}1^vq2h(Yx?>-RU8nZ3UKikML&(!>G4#9Q)tju_rIzA^JoTtPH|fcC`6`Wnc@R@A z6F6E~z+e2AmKN}rAmTyv=wyyUGRxZ8K%_AsmF-urDBC~o!qa46YcXSu4;^QK+d8h5RCBB<7b zrd{cW`ysWkig3Dn`ttCx{}x|HX~1cnFmbm&;=tGPI2pZ&_UPy3;dxj?iY>L^4uZ{R zG-9}#wNi$)z@{&1#_d|*rXkE*m7k+NJFT%XeP(M(YyTgrcS6u$(0M5aZd3m_yH-0C z?TXPMZjD}1s!g*~tVZqJIJ-{!32hBU$#>E`JXxcsVyi_O1UryslvyP|t252{#{f4F zHe%Km1yS%@m~& z2*xcc0JT#>V_{1mVkZ>^e@Q&W!yS!}*`5{hTa?8Cim%i_tFoXCfLL(WW_z8&#{us( z#}(TB*N?4L3Lne=C>piAv@1EO=YT^Vo%T45+M@pz8LVle#N=g?tM$TB<It?or%S9aGAn8n|8!Ly3f zi~v%}5?f6O`s{M3n>pClJ+rBmIN7Rh zSRExTcjBfG;^3#2movl2!k`X{0ybv@e2BTYf_HY{gMwLM5U2nDis}UbKumw~`o|9* zAqBz3*D{J20U^Z>|5_5HB>7`FWpL0;j>cHt!t)xmB!wH z)76sXaN-DiorSwg@J8ht&ZLj5E2|5%b)W{+C=LtjjL!rFmi2b$vzZ5tFc!W{tpeR;xxqtrr^Ta=YUWz-I_)xB) zpaArRY94s9Q6*h=Lc+7iO`Kj%V3~V4b9172C8L!8S(GJjc~aD&AN?&8u56y2L3X zPO(zHUC(K?(4k=ic0dc7saG<#BsRS96OgnNn3Ep3NiA=NlU2in48ESJdBw>-78Quw zmczF{t)6&s&k~-QWoBqa+00F`NcJ;5C-!hhFK5}MAhObDk^+F~PS8M3b)FsD+-tiB~7W@^Qe zm>@zd^Am%**vL2-0Ft8DY!3on(xO+rQSaFx4`=**6NAOG_K<+6HQE}t`obF?XemM= z!fGC&p{`NyhAj|`3Ofr;%TctNLlIBn?uKvv@66o+-=k~n!zq) zu-?8x;%h%WbNES%c=D{6S;&f1rn^uO5)*5tn-Rg25{q^=n*(%$W>dWi=@%r$__Rpr zr9s4s<*yDwF}P{2_DOJ-2(O_))zpa4>b{!864U%dcqYSIhlm>oVq=W<^c5n2_sNB> zIprDUC^v4_$wLvQ1t^^FD4mfg-lHgQ3yB|`q(#=mpvvsX1fl4rIyjK0<$e-6KHy_Y zQaT(!1np9TN-S`?Lm3N;vCaI6lV5lwxc6y4$ow+OPfa?&vL^)?{IL*GRE;uX_MH$a zh7VqM_&Y1a!7|-6b>!AL_ z=tl?n-AM_`;bTiWZQ$o^dtJ944!qh|OZvGD<4Pq&FSpO-VU*CeSI?tUyOx?jZx&E^ z$*9W&F6YPZdwF=vY{+Wp-43*pGtFMD7%)KfK01kG-v8rH_}ly1#8N^ZUX7lcc>jt) ztxTf`&>bq7?hoKk;Ks%uTGA)x`ry{=6Rk@1o}uT6-PRe|#|f_E+e z>k!6{%q>lUPSt@Y`5fsq&?J=v1P}TP;6{s!(|oK=kh4fk+5hIncz)|5_Xj#Z0M>`a zq`bDIS1BbH0sTUbmG1&8oKDL9fa?ckq5FPS40LJCLq`!O_0`s`H+I>>|MhAosrgp2 zou}wxsu^_hTUKZC!I^q#ztt1Rs1}kz>~=ZNA$|QR2PswY6%&qyF8W0)qA}NY*N4o# zd-)rf^qAi~({^JVLZCS$KG?(>H$>Wl7v@0@I^my-CSjunH!#DB?f1MHZ^HY3)!TG=$(o5nZxL#6oIl9`Z7(?ylbc)QFh4)T| zy7st*o=9|-14Qnz3*AX@GxVyf>R;Gw(owM4@+`X9z=DqkSdj@w*YWuh+{vDe5|X_7 zK)Uv;s5BEMlV5qnSMkRCmEyuooO{YL-;R$L?ry!@;q{e1-lR92b#zTu$s$r7RT z*)YQ(FQ&U+)qv!Drw}@x0R#vbb1G&(3Ah}KN)qur&u)cV5W$6n+gnSBog9ukmXoLL zD#?a0d~E%ZISpBnIv`0DG^ndv**Jg{Tdf8(x&<=$j=@#x)%n#zu(wlGtdebg-mIVQ zKitokZ$t0>PW{EbC{*@XRM(VUzIAJD-I+nqL6Xl31 z*YE;E*^jz=$LBuBomTtMpBA{ z&`S|Hfr(8Sy3K6E$_QO6cj0v+4D>Y8RzmQ5FZ3oh(x5(gaB~n zWbR*C==_NYxhNc`o|X%@iPBYKSFjjQQd9LlpgPFS z_?0d^V?6s*ozd@#tqq|mO*A9;87@93u4Op8FsBcF-EOz0M#Orr=6FJVv!kJEabi~A zd(C|Rn(M2G@Ky`2Z<-xUwfna39f$f`Za6pFw6556#>ne||G{guLUUVn#-y|szT3d< zY-xD0YNn#>^gm=ka+F(o{J&J2z%M`ZAM%U$dFXtu+8#0thM(vP4{J-EPk>*sf_q?8 zG_aiZAdnz!Mig#QLpr{jLLkMCUFf(rZ#`m0Rf>UYR^&57=L1?o4-X{$cH3 zj1GBbnBIz&N8<~d_Us>Mv+9p0c%SWmBIqj0ZP4s#2zFnTH9eK;&bioccn<<9O|T?a zZy$N-EH|5w5Gsu4Scrt5uNK zCD-caBlDvxp&lbh8^>odm2y=ewT$1;e0e53MgRJIuxm<3Gm;Ga79!emHVRah+48IK zEl<;j_9uC9iqB5*O~v;x;FAWw)sRO% z$(%@VoN3;YgGa@>3t6G=uw=@2tqje^2vBStngAq-&}0h%%`9RITGC+_Xa0o)Iqb15 zRsatADy=!Kk*MKyd5qYngcAx#DT%QSDmH04MV>jooSXvqc3!QVM!k7gzZ)MnZTm+% znPX%lD1*L>2e` zsqF#+;Ps~T5kCnfOcuZ^L|i26&grxU`&emFz-Ok!;D=fLmn}C#J0D+ztN35 z5*3=0g64OZI3%k1Ao>PKsNAeRf#Oc+WnqXF=|pG>Jf!i;A~SNwW*G}1&`kIjA11l6vpXSXFj71a-sTGihR%hFD^`@LK zH#sDrxYA1-Y9@0>xQGx?S!WDqNHRY;&^+o6mzD&uQ^KHG2}e!gh~PJ*oKum(f(zMJ zut=C3=cdeMVc=6{^+bkLi4l{>lxy)5`hL z?UTZoX77;r=UD}|+|5YR07j#3k)uT%$;QE>8=DH*k`;AQT(_j7Zri$oyt9{DEA!R+ z4CZeove~-TVX{as6q_BILM~}LpafuRoV614eizFzI7>xzO@ImZ`2D?Kx{LoRh4N*pMg} zIBm!RX6cV_3x3}gjK3|^hn}{DR)nNRQR4+5o$-+WeIMP%HC(}-p`drp5uWGT-$PIz z)}|nGkx`}ag=}jJepSd(2{4wSuK{U^L)B93K-n?@#WG%rMo$UTxY$qMb$dC~_zfPB z_7|j({5co^n@Y@61?n$u=-<83BiQ{pdCp=EnQcI1f1Umtty=^yPON2Ds#(kld2?~x z-Km3D_vqFx%arUwEgV?76zO3Oozn@{A?P1e$odh^|Wz5HG8P7OI2=9_LBVY|iag;$Ep_}RIY!Y!#% zYPykP!i&T)3(&4eBtpm)FcT0)k!o`CNdpV|vgy!TdAp#?mL35QID|&NVP){+kns-2 zuoqexY{au_3r5HpzBpoMi7dv~OXO#KidIy%z7t@7MYr(E?)3k17}BHmYm@z*R*UP4 z6V6!6Czi8QLN4V1WQK;EY%S}#@+sCKb30u@SO9eT0TnL2?({pxgD2q-iJgi0$Jz(8ZxM)p%rx1o*ezz4<~?%pQHq{a z8FQA)ps6CbvnX)NmZr1|4{adDS~$}HTqQzjP_x4tLhGaUxFwS>XSY%=K`$n63DlN< zSSoLx^J_gpDHdRFKwpCUfJ{Nt>1mD0K12t)1sl7;_lzv(hOM zBX092Z)$qGvqy`J=`PuY>3K3UG&-AmVHQamKq$+XD1z>PN2KWKMuE5TS{O<0-M;l{jU zqx0t6K+@;7jFoL88>nP$)R6{5L!uiJHpi1G84yI6FYJ0vqP%%0h3@eJ1?ejrY+W~3 z7_DAQyRVs$6=n7AMmpy))JZP~keO#H7?U3eDV0V*%W`Cw1Dq{06-XNa4ao=#42zu1 ziB0Uj=uNYOyzy(Zi`GGRbWo^b;c-lOC;?ee5?i)*M#$EjvT)_i7Fgg0`9>X2GMHVZ z;AlJ;@Tx(Yx!pV5#_W2m{72f8?Mg*+iDHN45*vrGmhP3X?w-F_ORf9#3HciwJhGE) z_F038hD^_Ebl}Qw;DT`L^5YUAu(c^qg1D|iF<}2!1zoD{$vqn$=Ag|u zRu(FWXvbZo$O5f-gr&6x+k#t_j)+}E9rM@cr*A2UqBKXi_6^!>aW zpdrdwI+02ksDT~Z2Ey2}m@&axyosV2nWM~bq_u13{c_9pNYeVR{745#V7{^PJJEes zIYpe#O=Vky4;3FYVB)m=v6(CS!tN#DyYh@-A!wV@lRIqHZjW=DA`>V{Qk%B7`UeZ1 zvTD$ys2@HaJ|7Q-f=J(U6$Ikb%U1God@{;3mm7kCp8>C8>120wWiOY;39x~bYs5iA zUN=%A=PzLNjbWj2)r8FWG1;DgK>s8#v@CCGvfb&5h0ShdzPX_Zy9NM!HcS0#qiZf57@JYVvWWt$p7koK}^!?ps=m|t!vfS(QHfghiID zKHZXUhFNU!k}iNRjbimus|RN7j4zYzCm9=*QX~imgz*J2SRZ&#BTS`OLrj}MD3~AA z%FP9YEfAbU4ZHW^Wu@Y1jYrVIamfidjLc8+KHCy}AnwdU%Qba?y&#O9k{rVv;3cXG zPeTuOfJa0wT&_kzW$%OVEP%RnfS+VTAHaGT{=&9c@Hk&nAX-)Z^BCsDg#JUJKQi8I z@iL4*lHxS`&mHx+fjs+7!t;CGQ|R|(LfdH_>mASb^X1}(uV0eM4>?n1RVTuiTSic? z`G4zy9ipiG?mdX?#Ixp|oL9*9!CwpiRD3-~$qPVYw6 z@ieDCK8TNx0Bd9)h4Jv9rI_fNF=e}?e^Z}s$fnm9(6Wm>^;_2< zJQ(>E!5}>{QB5DBa-KE^LJIc@_V85qO&7f+>r=V?BGRaZBGNKFBP0$l2{bj+FooIX zm+;Rj-K*@>wghkb%5Fnp0vj)rHw&UwLeEW-y3<|Pg_ZrNy;h(lS{^M4{jio~eWLeC zdWLS0aaDbM4-7qf^g|@hPPX`PD~jB~0cu~p*MBCZv~LQs(1j!b#nqW9>OC(XkDgw> zFKyidr#)ShOPYvr;L}s^yIS;una} zDW8SC`G9h+eRjX+o5%M1otf5!!#fuoQl*hhGpzI7ahm5sN6T(g+g+JskmwoTLC3wH zYj9h`+Gc>fGMm|VwipdFBB;{IFkovDc2hCKq>|fTgL_bw z-Gbn!2pC3YLDD@?l&1);?|UH;-$|p|_|V*6ir(axZMG=C+H-@NTUYJto}}ObBSDxe?b_3yBzMlZNy)%6$tQ+9qLwfmXRVAU~WPgANY*)JOVt-zVgs zb9Wcybc;L+?J0c0f=WN2#69lXz})9yc4=fH6NH<98%&nOAFUcAoN>#^m(%n}v1&Lf zP^z>mom&_`HYj-Pp(upzD@PF}P%3rZdf<{~AzwI4f{#Jx$V7u}Rmdm;uDHfu%8HE< zv-=~<{|F^dxD!E^cSGFk{R27x3XpI9NsLhtnD+8rJp@XJ@R`bYhU}E~FY-X(5aI@` z_X)b2jp|~}&1seZwu^#KL@g3j&cZd{%HqOrY-{6~W+n-plWZ?sKwu9nq!DmPXIBGj zBkEJOB@l!)GXKix*?jrir!N?wQfC@5J5RBK{i()-?80Ixaz2)AqQ6HSyEprz6xQ0w zE_|A71y5h^{{H>*M<-ve?>hzX<3|mp?lfMvgdc>B5ytTXLr0U)$8xt)3(oxIik4E} zvzZ3aEV*c?akNs6IFHFj=VyX)dj-4Z)WGV5L+|?wfSpw{X3&0)zNSX}7U3~%$v_f| z-MW48NZFe6zvHX? zQH;Q2)410Kp%woDe_)#hY*q9XLhp4;t$3D$IJ05`^qo&)ni3NGR)FiLiuu-808`0+?EF9*4T0_U(w1`4s{V)ShbuOAcg@kb-jpRaLkk0 z$BrOS{FVWgs-omTkkXKKF{B|;^=XyF;k#3ODu?jRgnVEC^-{I+{@ z$N7#ZG!gIfV!vfCQNfHW1LnQ_{g2t9d$$U+;3>ob7Y5;UOId+kZdm_TRc_$4P~(_t zL$vz267&p;MVRT(ekUHhB?iVHBCGoWja@h8C;#6o=0z{m86Kx`LfL^ICY7n%`CrE$ z`Tl3pWk%rqf(HVGUC#T$qkUQkNK6_7;4u&Py}ZSL2Kh;qV3jr?D*Hxt z*WM=!5NT`nQ4@WuqVgJgO z7EL`mCV8*~pJo}e{jkI3iE!{}DuKo#G)!_*kYTVDeM}@6*roC`LS;(W6qe3C{_;Yg z4^*a*cPUaJUerbIM=x}zu^LJofQ4rT|ECG)R{>lI;V@_*OU8dnB{;K+v6$yyoz(T5 z^ew+=KW+3)OcW3}9+k{WUC3kQ{CPCb#;^_vgJl;nal#V=k zPz%S#n!-CL zG!b?M_+*EawiFKQA)Lp^73yVst%_tN;;B||E?08#Z5oNt3o zLzzKW=f#Mi;IEAQY9K+`GhgB7a?PYOdt`DSVXt2HbswA|0;YC+!&1|?UGns#nf+^c zpkIoSUucT^bR|yH<#plir>N;r-Z1q=z~@qmgz_Hr@^-Tr@SNe};1u%8#X7yzggrn) zJw7cQ>T9El@GGovjyjW-REGCw#+a9TLXq$8OFTF0I&=e=li`u+Ki>f|8M#6Z7sS8nb}6_WMKqHFYAp6{Cq&M|wii3a_v-;2=xG@GbsW)HDt zah-03RONH?=y#!?zrM|uUV@>o$qWA^iJfDyQ+@0&1#c$4AY#GpY5GxxX}eJgiPvh~ zKU|~3#I~?p1BR|4FKoPo{!cMLAs-zE#%4P&`lmR&UK;7Yy|$}LHUoS|&ZVbHWnF?7 zp_-jb5u`4a;RSJRyAR+g*pCfF8Lq)thqJ#;Dohn;BAv~u1n^K{%}(Dt(+aPkA!0 zOwho{pY0gMm=2_Np$lkPKS{!zJshOO6Rl~^$|abHm$DBxOC{K8RxixcdOX-QAtw7Ti6!yEC}EyF+ky_uvrRHQ(gjd*5^Jz2~`qe9zOhR;^Xj zRbAcHGu1u6s>*=KpDOmV=yj+4z(wSs!z;1DB5$#9R+8}Ft=yw+$;{&5S8(X;yZ)Vi zn4N*GB?bRkCgOL)vXm57Ng}Ik>Hjm7%i2=8V11j88_bX|7w;Z5n-_ip3$F7PGHldW z{3=a-%!fCQl@w43LuBM0wR^aZ8E}Mi=z2joJW@>)pyf!s1dr@HlcMq>row)9PcgUN zOyG&tF!;iChFlgv_RV8%_ZUNgAjKg+QGU=LPj+^AY>p)4)OjOZ!APDm$8w@r>X1Oq zUAmD=0+UEMdg_r7Y%-JNcjjf}6u9^iRKepIda=4z6wu5J07%Sp;_*YBX|w6YSZV9( zrT$*b#()t$Eu`39a()$JVigu!vP`bhbae=AOzAA?j}1aq~0=sgnQ>!o?Gs)FXugw!25B$;^8 z9M6D6&7EG9hpUu%<`k8mb>=SXaq=r39JLA{X=Ok(6)dFAk_$8|w6I;37Uai4M6pqN z>Qu|3XvOJk>lluJWEA&vd$Uhu2UIX}(@4NihMs}tUvD}yH<5rd&Lh|6v%}()pLjgk zd&@yc9IyW@kV!hO z6Op4gOT6WJ=}g^JiZud%&cYBQ%-CvLh!(xC8pNw15F0&C2MJ|J+*M<7V5uxF-5#Qe zvWqv12+9=BqcLTqq<9STi@tFdssgh@w<%_g6T{6~{Gx2Y&=#nCp8Ka(7nUC_2#)(j zXh%f-u=`fO!(!W&;YuK@5k>(7F?!_Tkd|_+Az(~&^|U)mfo2VOP|MDK*F!;xYhz#u zUpcwci&+99-o9;+nan17hOsrWeR{ za(bh0!!2K`%3|4~nlCuE5F%_99c{(yAp+ddw{kz(#yi?(uwJ@cpt3!4Jtp9G71D_! z=A3k%h|oLivplC z_xXz`i8Oc{{O)};)&wqM+4D^yMv13{zsi87_KnZedj3kQJSzpq&M;e;ef%~Q!t%}P z?%HMxDwJ(V!Vl@ka-{s221{7VsB74gsU+Bio+%n;TI{~-H}4&6345(l@SSb9JxTKW z99LqgN8bINt{)HK!}{zq;lqTj-pAlqF)GX1T4j-^eV@tp+G497dhP~HGzi@aV&l|4XU1w^*-)eZx$*jB)iENv8Um-*m?w;BQ>uvSaHKcO%FYf9{;NY+CH;tOex?aGt4$5EzUl9TJ~ z*CWF74Dd*B%20l8P1<1YjtD(X}0*VhMmtN+}n# z#D+_9&HG1DR`=FVVfc4+s&e|nU%&3<7sW4idb&RS=;@DywBbDY<`k+iv-1;Qaeic5 zW=zDZj+Ir9;EBf0#$CJRcw>&A0bm4vI{HBCxgts`Ay5X&feiO*_N(^`EL(%i^*+ zR;Fvpbo0e?Hf70{GF3A~3;gpb+N-2Tc>iJFVbXCvS z*JtdG-8O>0O<8~0FyWI@Hm&Sh_(Cs|Lt)LsWwm8+y@!R(W@{Pzk8)u7w=ym*o@gW~ zFpP}EZEj67jNBt`UKpz_QV(HhVzn+yHcZU^mp$fT2ClG580dcEaOJPlHhTaazkNI; z+WDt*8vP|FxnvscMQ|+<%fgtCf@pOx-pKLdE?i<9;abzbkZAp`aFCO$)0bLiKaXx zA$yW1coNdfUzOX3;^&&kJP2s?ygbiz+>cYz$Qn@&VG4rwkuF~8O$#cf(PD|x4`$3^ z3raxCHE`r;79(~iiSKl2B;N}AVB!66^Jwqi3_fc0Kbkqp+iDtb$kScecJmm!ZULXh zO6N?{W zpjwM#4X`4UkXwl#75 zh&grpd$bSb5_B>dC@en6@goM$zN6IJNPT!@=^*uq8)X5py_)HEQR~AkDlR1VWoUCj zIF}}N=nm-bj3xJR;9@i-G=7{yZG*!fNx=tugTd0MqXUSO)GX;q6LI`Yr*pYJ_np&X zqY3H{G)CIo86lI4kqZ?gE`zpu<~osX2pW;^#{2VmFic zX?m=#ni1}PFq+*w*=(hH!^OXL3`TS-@M9Fx7Bwr@au?p-b82#>AbV$ujp1{PQZpQR=)6qtu73XTK7*|I&PEMgvnI*AJaLr}gEh9^c) zCMs}qT?)oHkd{csmOCx7G0h7zQ;4Ku_hNAzt;UuXZmiVww^&7om|Y_-YUSRfc?wU7 zO*(^S1CCKCnkz}SXDy7XF-mK#Pu||1tbVc**oxZvjV9hPG0Pa-I8Je%AYJz@jRwQT z5>3A%vW%=yK8{iUz;=tf<9iniq(C?ql&l zLM2Qx60X=f!LQmG9#qYQZoN-h=z`U?9(?^1C7l$JB_3J^EzgToE(M^YJfk*~MhX_F z@32z{j(2yvS%oAdATaZVL^!Y;$RR8$9GWNNrXp2He?bU|VY{%}Jt-a&r%pP7Zi^m( zAF;DU)+#0SDJGp7Vwm&|NjdljgX-=#c(tcxVa$&v#q$p|mH@K|n}LG7m1vXv9HR&y z4U^)|3{HMULDW{A;b8a?*otV_@}=U5Q6YTh}q{bM1xWk;q!$s zU0MpD)fP6P@l}=949?UblBsE#k=1wsTdV7vm;@N%RP|(lQiE)IH+b3kS*7^n6@M7S z)0|kUu$fZH>l8<#g-;d+Zku{HN)-35M-mjLS#T(7%D_Sg^jms4zndXCBK^4O$@OaY zah$?fq|I@2iFLZL4=cAYLxVfNKFD6d!i9yzFtPC^q(s31pK$X0eo@+-(+umjnY)wU z$;hHe*Y%~dedB1bf4^txXYH?y;PHNV3G{R)@k9cE8XQGL+69(siGkO`3fOdejDC~g zOY)(%<8OVeX$?WBm4EWc8(06FweOBP_RDc@B)Hujgf5RVG2PL^1k!DnNvBeu zm`6`Q7JS=Z(GAMS!0CtxK7%*` zIaA|e!@+nPe2Oyk6~^zpP$m>%^6;}Yhh7Ys8gg~|ZbuM#xWaY{`3tluFn^RtS4fbq ziyc2lq)N(f+~iQ#*HCp|x7biMNq#^bU{AL+;K>FsMmxT#ht(AjKf6Fg%=F-|Tf;=m z#N?kna-1In8>Pn8=b&0*weQ%%9oxBsvuw+mr%P(`)31rc!ac0A#?f;_*o`TuG@N+1 z?!QuWg?z%{(fw(~DftnP<^3Kw9z4q;8j2}Lc=e**AO;1Sy!`r_rjq8O;U62WCZe?y zYd6e|ANO>UFmZb}oq8WnTQ__~&9tG4pev&nBjE`fE{9wwHY+llDH7{XB~J>S?HP^} z!4MZQf&B_|&R{?d=@^y*&LukTN04v213$Cn*d$4N+D{5dhk$s!Y<4HTuinnq|~j0U3L|YAEWL#A;JFR+TBTSy*H1RYn;)mxf})M&=o^-%`ex3)up&DRbz zfiQYMB;YD9%crc%Wl<^o2_g?Hy6_kKu|E8+={~5)@(xV_YMV9kovxHru~!2{JfaEr zFR?V<%=To~W&Ai8!RHH~A+aY@4g>wsj?H?(5DL$&pAid-R-3`1fKYZ(^4Z4G`R>hs zj;g}9MZH^o?hmsv1~3o765UXRVQKRG(2j`yLN_Kt57!q@jZ8l_6)`8PXQ_0Pl!|iT z-~sjcwZcwKsKT$S@F4oDrDp{SKx`$)g4h;zUBemC8*Oa@j>Hi*k+qG0-hZTn{%Y^+ z^RzeLpC{r|_ZlYRg|;&6eRKwtwx?0$5CVjJxe?e&MOHhdr*@CkWV_VqEnW2Pxs4g+ z+GS!UVt~@U{m1=oS>|UvmgHMOvSLRfiviUs>gcpF%Mw%-E-io&ZD@tKEJdZN>?)YI z;xdi@k;DhWbNi4xhh_RSLc$O(wp}Uf6zg-Y3ed4Q5)9i-al1?fj#;*>2s3v{31ak| zkC$IBsYSC_Y~^vjf1HlN4+BrTF7{i<`a!A=Ebz;Pw?8>Y|uz2oc0 z-3uF2NGhhir%!9wH#mhmIGe9%iy>sQXrn^TDs)Vtp#Hr~v`a`6jHjc8<;*{syqyxqYRwZ?{Xeu64;fkFlkrYL0+V?kXSsin8P zMR~RN4Dh|k9`IFDZhhdjwBb__kU?C zLE`@(v=w{l|3F*0(;gXo=DKP}sVKN>RExs(rq*S35czznt@($@-NWP4HwKM34g#?G zUoHOan#cRH)l8{Ms5_?FV_ zRwVKS;oM8vkuO!j5?Gxwbh{sh)0N|S(zr}2C^Rt(82wshJB7yZjqXc%SDk1-4OBhA zkE0o4P26>!+H^`IRU#jK0((7Fma9KSDSG&`%OpH=x_lV&47RSmvK@G*asbiPpUdG_ ziPc~`jPxob_SH3NrHsTF_Z%h?4K3a5uV2OjS%+Ib9vsW5?7q|6ED8Q^*wuK^=h6iX zAx}e5>1qZsKkge0gXg%Q-Wymr|1ZC+tT|;pi7ELEUM+uSeW_!#j#MVk@6&eUS(n%G z*Za-b8w2hN!_2G7;Bs!%yWIG2WF+EuUdi-zIRzqbq1TxKRaLkd2C=|}H5LqtPcZOw zOC-PjD4zQ21`+Nh1$t4xKn%M=7rVLU3p?ig)46E=b;LnTXBe!U6dom@%`$BUP-ZBM zUL67}w+V8`$t200?xI+m=YbXv&LPS-f-}OO3`q=jg z66-S0e8xujx9Ml4{!PKf*f;}o;+8sBc|aG@3VqT~{WS=a9o#PXH@WEGZ!jLia}&r~ zF(TO$aOl|rTuA>tr1>0{(l0n`nFpfCa|Uc$&=KoH*@7yjQDF&7hR9Y;RvVwJ>2~}o|kW9$#<3OQm zoZlNzes2jaWPH#5eDm4Adx-K%Y^QN*HluA-#qQV3f-k0jescEE#94K2$DIFFF}BFh z@C$M?dpvcX*JInf0~o17TaIVOo0Cq8a!8YKUw+>156{`0P*eq~oZ<2LvuSz$iFvxS zl|HYN``_>k@_PF6-&G`t@xWB+h64lKRvVyZ+F*CmB4G&(ewJ|Ka5w`3Cu5jm7FM-5 z>|2LEAuXpp4Pf@0Grnb9ocqJb zeZl4S(0QC481TJ~frUX{QE2_a-rGA%7 zd`NMwC07XwZ?*z9$W72$>coMro(@?P*2%* zQbn7C|4L^L&ER}?E#(~+Pz+wNM}|s2SqxQWbn9T4%YHK?BExoY5GYJPIR?QS=Cpw0 z`8i>5e>el+qCHdj1fsIoEG1^jVsmeag{FBO=?yIoyU4tJSLuZ|dKttMWzj$DkyuPY zo<0lB6Ry1C0ITY~biB9z8+8ACeDt}i3mHO-t!fq7w0aPr>d1-6{Or2tY#1KA2n4_4*jp#w)h zx|j&k?+l!llp&|b^;#&S-&^>Am6z81dSb{{kI-y0pMyiQA`!%|3x;1U=;o4AGCC-6 ziAR>Bd6;G!ID$H9o#xuoJ;7#K5Y>6Okk9K-TR`(y+Uc@CJ_crH6j&zSMe?(LO4C-< zWyubS>{Xdcrcze-AEytA#gqacO8jc4pkN@0m%t$0R z5{*`nl0@(=eJ{kScFwMOdJspT>K0(!yU9QUKdKjdp65G@b03LrHz&+KlRq$VgT9^O zmZgq6YZPMq1$)2^f-EKy>GF!nPy=EAjbV)yW>11JEXOMlhNTq*!m#Z4{tpbxo)0}T zQ2wEN=*pzi8A9G67$rDbHwq=U0EOV4H@85Mj9>?GB{eIrKoV3dazL_zv|~&tLI49% z^RvNBV3AI2SE09YJ#q>ZAq@Rl42mWeG)XP}l(2zAB@9A<9|ntu6qmtGUvBP?U>F?@Q~2+TwZJZWiRxzL5;MBye1I~Zih#czny`;}Q@ z57_naG^*~tCC9?7*V9}J=qO^?3E}zhG;^;ETv@qViPX+8!w~QUQBdN*t;m_)L|5b^ zgdqrH_prkpk6QB?+x|Huw|Jr-f9i6;@hs8>M8y7rSAf6Z)n|UeZ8oWw2c7|YgE~mJ zpG4fjn`;;@-@S{AL97)zan@O&(9s=_0^5BfbBHz$8ADV&7Pom8w016&5IBy-u3J_D zo~AUn2)ke)$0+eJDZnD}ZBalNWK>|2Hiox*=t`)(dJGu0#E`=ffc2YCMRD|b$mMRw zAHN}GEvMfCRe>s}-LtS|u=)JRge1Tj&!Ez=M2s6x^IHMo>^3r(u0 z;+B6B?1e&ssa>-Hy=8ErQz*9RS^9Tf(oC+YhrxY!INK8#UJ1hma{g}fjAxpb!kc(+ zCgQn@WXAZ(6Q>e;4WK0T;j-@elNA05xHf@+s7wj??A)$kqq8~+)axI7)f~cFtQMe= zABPL9VijVzd^uAYTSu+sLZF*mxwj}#-bH%dQke$yywd{apIY|CVxZ)3hp*-O(p%E) z3K8%VG5(yyzI~i~{FcUM`@NNjKb21!62ocvoxqUzTqtU-Ssl8b!G;fQafwU`q@Zld zgoJdg6lYTK?iBAe--orK_PZYl|M?dM1Xj)TjrSt#5w=FHGjFVX2`ItIHg+fO{||um zx=HKvQ>+llh*end?1Vl4TA6S@Mr04K;O_o&`=WFzx9#*oCV!Y#U+o~FAqx71 zH(DCRUllPTzgge9N)ryfBsUF%n|kbpz5Ew@WpW;F9^KwB2Oyv-#(PJ6fbHzNb0f^M zLO3BuI`0$z?(R2>M`X|#@)_s+;wwe@eM9~Wg14T3 ziMLs0buZ>l8#rM$%ELt{6_v+8RdOVslm;Wg&&;`@J&FwbJqJR-UHUJ+n?>`qzya-xA` z&ZRQ<4xyML^W$O}=8mv@>pj}N(O74_jt%$)HKq7Ibz8!KZs9I8mnklgnj9~aKk*AI zJA@t?!A%LXA-Aj9FjUMRQP4>^l7LA@p&RZ6NA-B;BQ;okD z#v8Tal`r$In?&e!oCmMWkRoJxqdhax{}e*FElEnv^c*rvRV5|zKhA+BjX%%786V9- zm#*cZN;3F*@%|UM+O^_WmO4|Bl5F~w%FH{xTESv`6YqNjw*Faj!^SUUE>~g&3GQRPWtB5kq^D|hr_?l*dbb1HUmGZJj4Gt&XpR8z)W{S4O`SiEOk2`+i%n(-F z4g|dxDu-VfrMdP5nR+eq8hpt2rz3OGb}x_F{SnTZUZ5qbL~BtBq4_MJ+CHC0wou@K z4+3}v^+HP0`)v0uWoH*`CXK3e=3%GJly>t~3Zmdi1m_(;SoPT-!ih{>U4HFGp zWoYnZ#`h)3BM_`a$hgd5%KWHwl7rz{qUxYYlG>Gzy~HbkH_J&Vm{btH{LAqT%f|vuRW$&1Z3UyxCpc#UU{RkltL~)@YXQldz z!SEpw8lMB$p*4)#i@(ofb(3${2l^wTr*8koI*&jU9?AEZru6anf0T4AM*tf z#UmKhyI?L~|6o@t$X4eIcFhH1R}V$yQ{SNnkG1dKZ~TYKEbWETg+CWEX_QH_Mm8Fe z3T9BkDq3(t*$|}8l2t)m^%bi$7|auDGkcrw(KD=km4WA&nhS_-XfyzsINFwH^x$xy z(YLi`aCptfOIje}YF1<=KeSd1*)WnwST8!SIt3c)0lubRZ9chbw~|nsGna6h^9%b| zmL$vC`zhA61p;Hlw$9fP9H$F19(EOb{!$Ss@Y64S`pS>~+gEx6q8aF!4A7`irJn+RQL(zccnJ zj{;Y2^KrC`2F^E{q&@~WJ%pXa?_%3mKA8bR$VwU^t`X?r`erHyzs%N9$_6{2+=J&6 zQ$OgTN5q>O+*DMceVWuU%9`?Hw4orC&$RTNB19h-r40&~8h*5a(5oiAK>ixy(>PUM ziKc+o0&SrcrK+@LCDe_}(y;NfQXD7layFbi9TXQ;DAE1_6`m8qT#GbKCBFBz{Mku- zs41tkk&=^3QD*#S#2Nd{dkx`R(n0@k&c!DO;`%x}Kl`A>ht|%{$s_02aVZ!6pFyp7 zV||tRYr37b2vIJxGZQt!D?D5%0#vZSkI?08Yjf^Lz@JaZEWAUt9r*%%P6!PmS4c)C z3K)I#ZOE5NXKzBUa3{;rOneS3?-b02&0ap!i4ketz4<%<{iUDacy_sCK@hYYy2>~{Tn3tWpXm?oR=CYamH>Rp~R?l z5_3yAw9JM}-C`p-?62C+ePf5;(ZgkGd%)_nfU0>+UqVP6JJ78-9ujvrMj+)Iok-jExsPk?gA5uj{m5Z04 zk}3FnL`$H8bp%ILP*bIG)$6q`=*Q9>(2_QS4VLCrxJU<^{VcqFxU~R|;+6n}i{ojul;=#-!3 zVPqPL!9D$9Ke3$ft0rSbMLxYr%doNXq&91%_fgm%cdPTC&EKRqLL!GGC2xV#$+=CG z@3yukhSMY|GS?N+j9E}~e{XgXYg5_Y_w6j>DYy#}?6tZ zNo7()VXVOTqFuZ|qD}eozlZK>p~i_p$h+Rtu$}rFh@bKNPqLR_e4m)Mh8OQ%t4YHL z*6fwSsQ`o|1k{N;g6W%N$&hUyzeN%^NzYpc7A>h=;){Kl%m2J8ChyOY-acLOsDRCbpMsUKUTK!qH)x0danNu8@m0$?Zstmtu8pN? zat;;JRjZS)(;b!<3LU8o4+<~quo>M^E$QTYHk9GiVN#DF0T(*oO=wWz2Sw}@T)y)| zxOw<1Lty`U94^AyyDv(PR**=ckR(?M5JjUfNT3E+`Cz-SLD4T&492Ea-3?|> z6xf|w!o$q}ZEN*OHz|5rtgXjwmhk)sc+M%+zhJ7%Zn=?stf}#lsO40L=hx!~#PBNh z&ql8wBf4_NmAVy@`6T$N0F%IomC;<&U7)DKhUZUL4Rs!}+R8+611-bo-g*vve}9!< ztt+?YH1rP%4@{}oH-!D51w*O+cx~cjnG-w1{;3*%?Ks_Ohtj{a^Uy>5a`6%U=svg? z0dU(feOunR!E8*pf@3DijBJWM3FuSsK>Ru1o^h1&(5O^%vsaaCBV11Fd@n4VRe?Q+ zh;o|6pz5TDZKW&)nuUfAGSzX?w90BgRlN&ZcK#i!7&PG1G#G?aEtP{Pszne^wKNTi zP=Ii%r8^Kn1>m=teQFik+rx^E1sS&gw_)5?3C7A-0#EnyOwb(WvqJh{7`YP45K_Nw zME&}ItNiPCtmw-Y3-2DWy<4p4X;7wivrnD>-QYcZmk2v~YmZVf_CDR|dK*8a`OFk~ z&!V65Vyx-SRCmP^G8mK?JQ&m#Jg)o$AvGET2g{)*c$^XnA=LI}AT{LoE4@>W>1jg} zLnZ(Cj5!UJ1{XcAWn#xRP z`nYq|SpUGM{%3C zQKrr5({*Nz!<7RfL;5zeE0-|-F442L{FW&FXp}|n=D~?8TV&^^t=TRp0OT;&~WWwyi|tl`~I%u(^ob%u~lO2o+5&`OW*_@pFc?E(qMp2)2J?!11_& z;FTU1Zp2ct7DpL*!*#+sQRg1dt^uSeU11CUOUt8aZI=c_^hx^uui2KHeS-DIm1 zw;Sb|&#BAg9rbvqd#IVo*2o6v>gXrwO+o8|%q@$dS!F>K8xwhe-m+Q-Wu2r%y9~Ac ztZ9_UG0z?kvHWyX66Dwn^K0k#tlRYBpVzcMd^tY8%>BN;vv7_aV7Lc2j{ItD>#2+- z3}WT=px1KRcCy5y2%|7z4?Wi{T<>rnr}^P;bz<-^9Eo4?TcfRJiZ5tRWLWd%csgT5 zsSac7{4A7Tbz0Sa;fAp_)Kg5ZCRyKuSy7xLsWQng8sXT4G+;ujV=!IU$OQs{8)#m? z4hAWA!5)sTtE=BOOB-iBksFN^wnk@U(Q}atAjdejpGgmn7}O%_Zxj17$IrRJ#@x=_ zAc-^xUr3C?_{4+mcR82QE-{o(Dx+kh2~K)PJ+KQj#3LJV<1?K+I}s{Q_DX zjEoXF4Iy%Dy_}g4E4f7jG$YulFz6GeXK^vxGBS%SJ~S7-ccJriKW?yN-EOX_iIHy{ zn261vzm5(o@zb)n{&I*@MpP~rl4h4IgQi*hQiNBix)9hLt4w>knuzp#tzXJ>KCu`=w1I9oHxClX{*j;)tHbTk@kanE#n^>1Z#l_>b z`yyEfD?9?p&Ake9l+c#w zfsNs7VDkka>Hd$r0?CE7oI(j2we!fif~4{X;}a!mwb$M|>Z0;@bI&B@#coc%t%B2i zHZK%hAY9IOzmb`~n^BmQiH<&0T(e(;#ojnjMxh70(X%PPp*A{M@#hR{#3SdG9sn1C zCe#SgwBW0w8OY$GCQs55I^OxXr2QH<9-qSyzKtGnYO)fu`j1>Cdpi$~?31Kl3-MuJ zcD}w{JL=a+rqH{cdRW4!yvo{=p)2P)&jfW*P5_xLf0n`qQvNR2m2K6Sj^23lR-KNf zee$TuHoxaBI}&e_`f}g-nnG)$G(ShRv^~55oe~-v8#{h}aP9rvnkikQd7Mtau#Le} z_Koih&u-xGs95t&_tdQA27A(6c-EUT3GN&0K0d{+JbDaJ(V85+kzl`rB5y^K(n6}t zF76Ya;UbVtNJhJg3%#*W#CS4%Mzf)Rwh`t&Mb<()IRSv~tVNBJ_(|_8 z+#$ITc1(bf)Xbt_Um^LiRjz?5nlrm1Gaan9GF%9Skc@PnGsiu95EG(xUGMlMw#O<5hnVXT-caDd?rnS z6aX@{KQ>!B==<_Mc$aeA?vH$uT`hPGb@C~0UpmS%^8T}lHNSMsPRw+=S#R+)1Fg4Y zYXhy4AK<`1O%qnK`{qV1ZV!>j#P<$*9(D%3>O zrfyfR87(gTHmb$Kz65a@t5Zj-Q!gue1Ce;eHp!P z8vs9Z3P)c$LX)VRE<)DMk%7s9Ql1`kP@8AcFHeYIswgZrsJzy5&7hM>@5j`gJX7HV zwQ+A>+lb+5x$EaPC1e54)4e0{uD6Xev4j#>Ds&zgIHO($mPLR4jtjj}T$!FaId0YtP57ToB-Tw$^O^jwN6B_B|8 zKO6Z1YTGW(@vz!++`^+l#^-SvG?Bk12WC77EwLSOElcR#XvUX((ef;*Wi5EY1UMcnAjXcHOgk9E?y%e&qp1jK|AI?%Q@z0B= zU-hyxw(ag8=LN~TYj~1 z#T{r!i1jXVSHDrJ`I4RN4L}3AyI)|leo{ZMof}^ZpVY-<5&`4qMdq)00c0hiX8U(D zQUCI=IeJ=%%Oe+&#jrQ$J<$gt# zp$}-uR!|Dvv(@!`3Jd1Hr9Cv~micbb`!o|y;6RhxJ}XD+Q+*n?KtNf6$v zu@pscUN-kvk>=E35@F?bDumNyEuiITgUS*C3r;4Km<=YNw@Uvd*@RVyGV*^>ewB)i z)-fm{C8m1Tq^c%5omdjdTJ^NR`FEt0O$WIt+iF9&$7!Sf`>zzeXr0pbvuU79xQ;7m zwC!T6sm9)4=f8|#{aH<=Jqar?`5v9|%8yd$ox6^g8WpxP7b~+a`N_)Vvh;gy_6GeD zv!%0z3C}FNZ67P|$Fl3qk|DR8e;bylCB;RVKrCk~?WHnHhmvB7Y#^4W)%e!&{=)I; z!tvF@@xwwpJ}TP0ad~45;P!T{0;>@0v#!ShKBg6`G<-w1-p}_R3PORma`SO?emp zam&&7-LDS7y={QP+n-ghhTr0zSu)PF+b9@OwOPK-WIRnV^Goqbn<`U3urOCl;=a@h z9c-&@>KuR`>sG9VP(Goe2GwK6sW(H+jj0Q2ufj=I3`RDtIgwXcLrKx<2qlO=Ej&<2 znbJurufip$z9y5e<912qz1b2aP&VD@WN8$(at|Bh_|)4bb7^Hvjjq*YsvZ^EDsow_ zsU49^(ee;)DjuN}E^>XcbU_l*&Ju}J|1Yzh@FoX%n;Wsb5M+y{RB1|bTh1h@qk9i! zxrkk;_AO4`oV0K;y|l9Kl%ejdUioybDyhAju82#BXg#fBEe*(;4va_#{z?aO1Az%Z z;3*I&oFO{Nj%$sSSd4kll45#rqZF&2(f6gtC7bef@+xjy?m_IRWqXomX}@vb#m}Z^O*DqemT`rl?M75KYy~1Zjq<#voX+L zaj;|3PHj*{WkyA1T}9spS{Ouzi~Sv)YCV4%St@yO`W&0qxMbRsf4#<(mipz zT<>7jMm+aT{k&Y`2atsZRMhEUhFOw4v-&t30~K5o=a;Gnq{79mfW|eiICU@dIjc)y z?QDaDDn}h+y=@{^02b!j4trfBtCsPn{M{v1=!HqPCRs2ULPhT zZ_7DxrdGb$0=wHx4qB>JT8av#&zUDF=s;)Hs>F&>>sjvzjre*GJ&IA!6x`DL%X-n- zMym5S;_l${IUk>=_8OVT`(3YY#?9rH#Fu(%89ZW-V-r0#E-ejJ`K9}mM7?Fl-wTYJ zE)2sSYI=Q~gnI47aNWTtbMJ~*rqR-SkeQ%8OZcOxPrCWN&GP1+@CyEBxE=#?hcbz& z;t?k~|4quCD|&s|AIHxc+He8c6Z$eonr(V(zx2FD?*xAEFMv{I|J-8p>$TTR-x22= zK7u~fuy6(2EuT>oqHyu*{4Gbz>Zwt`WKwyYrKIB@lWWN#_2O*UEfTa7@i$u6zva}I z&ONEu{XK-Ufxm|kTc)~JIc>6J&U(g{exE+^B5USD*}$Kul{5N}JLdD|0&4x4Ny)LS zStV4~Zwx~90C`tLrjXf4!#g7!294P?P+5PYT{zx?qD#Fop-DFd z$qo0a8kGO?Xf_0QF+*S8`0*{DD5vUEiqoI?{cv{v87T)o|O+^WotZzSjj(I)ufjL4*!anWhJ3C zRcR;4gkohNeq22;AgU~>8tSGt&Ls`N5MnKF%@d^9pmmdZ60jULjQb>e=) zW|WbUb3JWUW+mpHHrJ$msdDg1c)*P!C_O@?=*7_!)SoJSaE9{=kiI`~hF>AJlCHxk zBbq;05G5(n(Lj$%suqKV29-jg_+1(n|8JyF@R9Ene96vK6F*;u zGwX@G#BMcv76&(xTg5%7kreDXv;gJt2?cNoV>=dKK-YKnx>ck~;qm@|azsWruMyk3 zt_3N!b5Wg1PmOXfgKiE-`3E`NTSzw-P=;qiZ@pQ%+%^-)8-0rP)l6UZe^DRreSzd9EppXcQSm{*SkQlGaJ* z=5!?Jk)%eT)#Bzhe?t1`9D47)lbS6Nok}57HuS|qsaSq#*oRB&aU`?S%S2v%zSy_7 zEYan*irDYeWV_-4OE8(*b_v)iG5&BhJp$3iNjTFxq&%X*P*yXxk* z`0`geWtSh5lWsJO*zuXrGN1xwvnuoB6V(8Y^%3Io>a!!Gw0M&0vx4Oj(8_9nxbl2| zRZPUfa*Ho&VvuFDcx}&&YS;=N&`adsL4($i=%~(eo$Bk!sp$G0>+9L5=o*~rtLHK+ zzvD=GM2b_r>W}gO{vOs=?(Ar{#?42R{FY5kJ*e8Sj>(v{C1VWAeCnq33I?g=_2H_? zI)&x3WNHV5W$jpTr=~{#Z21hmnZHf*8MgkBZ<)U-n$D@XRv*jH#r~1T|44t3JO$N7 z;b`x8u*HKF|3(EN4os=1*(bQ8Y@Kl_p>RnJ(0&NBonE==y9-NjJMf zpVD!9X-^Kz!L<#NL|Z#cAN?fI?q(#`iN%FnBYEmUmZoH-YNnp;sJ}G_aL!;|?a%Xp z(FUKF89P>CT=CX|x$yhs`;WxZ*I7(6YP_QqfdKS1otzwOQ(w^Kg>kX8{?xGzih?pN zezV=a{`lsobXfM563MFYK_tj7et&=ecW1lB>UP#0P#t7SDP2hx62+vJeL4%z__gL1 zk*H6ssFIwU$fVmZgedgno~lGB^)NNi-^+{e%1-OWl_4RA-9Y832Vfd7d`~xk(##=b z?R!wo5n%0Gdj}=p1)N**4NzZXu?+Nq2}YZj<-#_Qcg>30a=xb-D7|)9Q#F*pG3UBXb*4N$ zS`On_Uml)WDNRn5%D-y%2-*j9LmV7|PRjiwNMxuj?fiAL7!^A%g3e?5x`lw-#n||& zQbK`jO#Uh8g3lK&W|LX|yLSipS<=k(Pp5B8J+5%AhJ1DE7<3Mr8@WTjR85gcRye`T zfoxlW|Bt=50E(k))OB%}!6De-?g{R0!8J&565N6W8wQua;1C=_Ac3F>790i%7D5sr zgF9q!fSlE_y}DT@17z6 zM}Ibqhs#Ln3$Qiy?-ZHo&pOr}rau7t_b?Rb)afta(>FW)8+accF9NGPn4dla=5_py z@7m9P0>>sf^B?OOBx(Z9t>wV+M!Eopp|SjV=hmaU?|}0IV6E?Kz)Sp@^XIY}`CXVO zTyaq}JyI*D`#SIgKQ<7^`&jZZqC6{?`N3HxCbT)_M&x# zC?i|(XML%Jm-!uftwu2>%jt4^W7wa@F}J`(@jX5$Bou6)JI;E%DrLJ;punpL?wN}WfXCdybQc5`P;FOV{eFZBh}k6U>+zK zA2ep^s|G@JEg{NEFTT<3EfB(rn?kxgAs9&(sSp_+(mH1qw9}#SdvzR-HR-?+Cu@l* z=&Ux1cmWaQ`*bZZ3HcRa1u38-C$bLPp+jGS>-_9sUK!|y7_V@0SZv}Uc{nXEARlqg zNl_zua}^7hM1zSiq2Ly}=_J@_g-e$G5fBb>3UBa|hAdeikT16vpt z1@k});?NbsyOeL>Sn!DAu9-r!@Z-Jy1RLKKdBND3m*SvNCQ+KZ-ca@dJ(#f(2OY33 zST6xXwx4mNNvl(lFdLPnlqLD@z(5vpkRU@R4iQFDEC&?W(ozY~oQ^EAQSl11L%F5| zI!lU3SVmQ$tNd6D;!Q@0cWXJ&OJ}LXPEpxxF1m;Jd5(Bdc7ZrMWeQs{*=)am zeR_MjA^bLQ@prn1bd%h^01Ycgaa@5jK1-Pa`VhwU6z4 z@$3A?k$z(RDri$5Uh*`~K-Acep>#y=Imz|`CZQs?l%PKd@^gG zpY;EJKW2)$)2=^t_Vd9Q_3NzhtHJeyu%N&Y#QuOFy;3TkSW^)X&Odc4 zzN?m+en(;g+P@2AQrY-1< zcKl*nVNZ+0!dhWS)ExBXaJPp;(&MT*qC8yxgM)wo&aD3Ti}AN`QU8lyZQxE-c6v-mYKq3_bnb(6G6?8bV{NHM1aJdUa2I z?fCpx0K@Ce9?95>;}qvN896L?RxzBjBovzdhS6!c`kZ;W2@5Imc3eea@49qikGtaF z7D9Ghrb%Wq6o`w9g}P^tFHgM!FuOXSipx~2!)cskA07a#a_>N(qG#}AeX z0)NwGhF=GU$GE$!KaVcb4JyA#OX(80QW!z2%6f;vJr7%}IbaBtr7x0;`*ksQYHt_H z?T5E>P5OBs?b2Po3wO(bqRKk;*;~Da0Sd{Q+%3xJ1)|9($d3?)Lw}+z?QbS*ipg&Y z+vy|3SYYm46!~gPbBKPI$+Aldj*n3$l#b=Pg! zAmxUe+|RD0U#wx|qS`SzK=KVlV9YiND80$Xi1wSG+p8xh{2}aHgJN{^XH=e~Yh>Yg zp{QJbs%$F|1&c)nRX!3L#W%-&H1H>9;j-7Ra&I%}(ECe+f~v6|>cQ$HSU%!_v7Vw1 zA+t@GAvsUVYhXVOr44Pf`;ti%#! z%Hp*G2I7M2?fN^+>RVE{6gP@xg=8Gcmdllwy&Q!o)cKImu{>b^=26nhL zap?N(f4#G*2*S1VyIW9-Vln73C>JCX>&xSHf|^RV&x4 z368{td4(Cw5C!vF!s_TxEnC3L>a)Jf(5PdUiRg~D%d^9Sz(Dc+#g5!(vkW0-`$Ks7 zr@D)}n<-PvH?fNh6gr~1(c?<@3H5!9^f_lgV}?qv-p)|7#Aw3(^T|>+v%itftj?y& zXw{rQ57Db`7$hjLrRh5c?)OMdsB52d{Bza)gOos5u5zec5o>9ruN7`X161OjK-YNJ zgloy@2CAkGGtcGm!R@E#@v-e*WkK84!;5G8x<}P)Slewg-HsS(eNWKe=+{SlrJI$P z3=4u~i+4G)8yGnyKnZcUz zb)muH?asCz>HEa&bNJJ-rX0W6v}xbd&en(wa2t(1tF0pH5abLQd>Z+hx>T#7!*w0* z{6V@aJN}UDBQ*Qwq9Ht-AYo%L7WMpa^@P_VYX2u**iB%tlVX#i!2t0}i>8Lh=+8{! zUfKRS_VP}T4siK_4{qMaRa2S^^Zd{xKH#Ql*Gmu|k*@ZK-MI$xO;O1c2=a~Kyy4_S zX>VZ)9Ph}Dy{jHaM(2e?EKcJAit_*}nOhV+Y3v|I=qW;Qg|eF3d({}}0ZDa+ zQzSA9uj=fh4zV{w-F$e4UcG~A|KL_{X>8pha(Kh#tQm^1~thW9O;%56O@?yKMl zOgMbTWqHccmFIqbvAc{N`p_r%d9RLd#p8(yY}AnY&70M;>D9Alk#{E-j=v8sGsJ=x zSCc%X8aFo*8V&K0yKJV&SUj()?7l%y$Dd^f>&rPl>rLygU1xko!35YFVT{3-@Qu_c&-7y4B7LIbTT@V$k|qQsXR43|Vp^z%z5?YcMj<0F z2ze#NcGs)j@QA!inrO|BzhBr}Zz(lLU@}=W= ziWwRTacJB)=Jzp~5^BI=Vb5yR!_?*lkkcbUGEc(BenguY(d%f31Wj;wv1P139IyiQ zRvD*AFMp5<@S<83}_gq7S11;|ZfHD>y+ohX_EJxu~UJ5qWY4tT^asx6C?@^plKn=gT2C^v@UC zjE<&tRjlD=CntsFQ;F^ajYWL@aV@4v+Jv35E6&|3=bI?Gw*;R>$33xMr|8W4=A&vF z4ZM+&ZrCvf-}XOMQs)@qNY_$ry}GH$NM$;*7K9!A^N`d*sqAs&%H% z__Ls1r9kE(L}cif7pICS?~-REj`UAOoxU3dopt*fbiJ4Vv6Id7^jUCfZ>W8!T>zQe zqUPZl4!P#&hxF&u_Ihc;o2zuSJxA^){E|=(QT0!myq&0ny;RGu@`B(O28@g;L0b|( z1`;#~NLsn3KzqE)-$^}gbDJ)sVUbm*=9K9wdk^zOg)lLjVW+uk0|r_e$##;wXX7si zoV9W;H7Q$%KO3!Bh}x&Qy18c!C*e{}pXP$t;Ck8tPK%N&H968vL9OxA2FOt`cOpad zoUJR4|69<<@`)ANqxs{=A2fSQ$=_tt=LTK4obnUrY@S})SM^lhkJgUL*1$<9nekTe z_ge+67233MoL$mDb20i0zf`9lyno%LQ6uqzyzeDzcEzKF+$vVnhDQm@%E?6ToH1k) z(3+AQnyktee`=o(@5*O%MxtA@?4(|R4P$^>{!eXV_%cmNgf@Y~N%{BAvxHoF<~bjl zmhzmE37>Bj*XVvG127F4|r zJGiRYt?*oyxO)k?Zn$2qfz2($)^iR5Ic`ez_T6r@38}Mm@4xCH1@mERmF+QhY7z65 z&L2~GE2Jq~o#zeq2>aTj8-_Y|v+Nv3+>1Pj{av`R+jkphY^ACOxEkOzPKk@!9Se#! zDoPqLWY(?gIc>nc@Yjy6wj!NG6h@D5&uVd_99=+~e*;VVOM{YpPlyRtMj4?*unr8TyDX=Oi2_5rO*0^i! z8HznX=y#8PHDB}b&x30cn^;VzA&A#XkCP#p3uH+TIeI7wdQJ!viaBzOlb?N?XkrrY zql_`vZ4s*e)hMTwaA{CuEkf7Iw_svt8q-MYJL98NKNnzONM!B7=@FCk{?m%LD;x)1 zF~L0HskB<|19Fyk5?GIlhUt|_EXNFz{1v>!wQ{xXt=X9C3d&~&@->xbWVD2)L?lK` zUIvhl5G%jh7Lm}RH2rFkunTL{@HXr!pLto(5rID!jFWAoOOiql==m*bB^)qR!$;zEXjI1G{S|prP4beY}2M& zYd;s=cKGs1HpBU6Q>2+00N=qmz$g_FllGNVYf?ZTL(+D4Fshq%g&Fs_r^Sd9&1!vslLz4KhAGbA1T=G2%P~ATkJ9~S%2+&aw!7m zDsaqe;P*uQC!54iHYQ4a;Ej5lCS}Cttk3E!$J)Hl(Y4PJpscY+ou2Ow`$w^%^`k$k zXkR0W9WJm=7a>afw-U?`P8{|BL4!T~r{E4KsCnP(nzqZeyB}5o7w&m-t&`{oojjje z3Wm<2)xiTq)8u|IWxOsoqaBt(mlxO7s;8}#8et-MQ$EA@tzez~i~v0Na92cwTuQ6H zz`uqD<2mq-KHYq&P-p(9L8RY$pEEAjjZ1c-fW&d%{-Ia@OFI+of-B0ybUt_u-3pWCOdSy-#`yy=x(wppqMmF3Y&EgRMopB=|B>s z1MU6(j7$;N-A?ha7HrhjC~T78UYj@K^dD(z1B$D}=pVjOFeEWmqQFs#V!?5y0Z+md zKg6edMBNd}hxtt`toOLSvO7Mlrdw8u12$h@s-(Awg6k4l&&Y(j>Qx;FgUE>I>;1u# z*n@>QxUaAW-{9cppk(VsDQnAn?V-evVC*gh(lC)|k(A^$+$WlR5jaYxcRS9YS48%! zn+{bAqjDntxqPV3SM(j<(m$>8#a>=0r7Hc#q$sGm{quLVm+*>wH(7on00=QEnD6MK zGqVYX+TC1FL>zzvz3A>%po^hKDHw_<=H?9o5M}gscLby(NWf90zk?nHH5mSs0jO=+ z?hvJ$GVr4j6hi=ZoW*ibsU!0SZ`F!7qJg&rPC1A$ZpJ>6@j%Do(R=9ZT>rpIhr3N% z5CAmPCUO}dkAcAvx=?flmIL)V)dnRfMT8tcC0CbU+`-Co<~vwf2*66|h}b(=*$@t; z=(&hTJ+SZs7^@pk3^sWXPCGtc&k7D~CQ&~)j|(GbJ%~lg z*^A~5fs-8_sW&GlT9BLV`@OGGv#@5QyR%UJMY=s`7LUf3*?K+9PLe}KAIWvE>qC#% z_em#Tenoh&r6f#F^!6bp#nd(2-hqT*6)i~y*)Co_;n4>6So&`#z?xR~l(`;9M#OZl0WbREl1_^rp?(N5Bfm*rz4H%j)CxfD ziJosLb_zI>#1K>Js2K{m@yBDGGWa<)j7?z zQ^AXKzf*hh8}8te4n-%PUq=UllG63hj`zgqT15mJS>^vm8*@4TjW+67a8e*<96#1= zzJK(gtD{rTd+tr=bZ|7iV=JBP87J1Td{bgeXgGpxS;EH0lFv36J$}y>oI0^Oy@)7} z%fe>!i!#z2C5h%&%0R~9v3-1_I6TUkF{Z7uuLiEqSXaH;I_gUx(Q38p@2!~`pE!$I zZYcT4bG}P)y@A(h*|W(L*ap=Ft{C7$wa>gau8OPgxMF^-Z7G${uz>P02=VpPFAY1| zwag7I+1pMeHXnZVqbAZyKc%CynNRLW#{SC?k1L^iSqe$aXJNQo0jM{k#funz4GG4z zLz2dv=Bs%0)7pNCik`7g?w?x8rvyr2`@MFlB4twhhP9B~okud%lz}(S;x%K@BxD3r ze>F$&TYu5>s50zon7?E<>rhz#woc!}IJ<1`xs92x;OXi;`;Uv8PgyyD>ppxY^tFta z5#*?b7$B#DN7BB%)l>f2yCNT*<%-S?xA4_VM7T+?34SONl+}@^GG$20*V&YB$*~Z4$}OsXmiWvQjaGBBYKl@6`346t!QO=UKF+b4h^~&;N)M*F*!XSx=nm z>%L&(=GiX8wP<`=IERLwtb8dDTCoNoiGT_3o_zucH+g)AY)VP=p0y@9k(ycH2^51x zRSUHOg6YIn*TN`7ddzGYOzIEHI7$6ABQM}MDA!irvTfcNSuuxEUUw`EFr5Os+ zA&>H^oja!gJ`ggA8Slz++{}D+)4XabM+LP!)&1GD3sA)m!8P_UGvGn0O={>tx~8z$ z7~|$|X?DWH?Y-EyA+N#SxiC0@5fyshI7~Qe*7kMQ^L2t98oAUtw~pvIfuSpJDO-29 zpsXLghj9t`02R!U#&h;+_=cb zxq_`NK1DV1Iq5>8j?;VnXYK&vZrx@tCa&72LVPOLe8wPtJz!T2<%M1MR0ga8Xtcs z6&Ic{qD@j~?_2javgj%{oW6^(W09q6%vU0`y^EnpE-Y=Z&?4uIo~+4}IQER!t=azE ziQr#&;kNM8gX9+%2e;3@l~7?t?~A*CCRg&)XbO1OeqNomc_u6rW~X*Y1yMbuLQ(CJ zK{=wPgUm!L_zhhYRrj5DRKE=WFT4J+Ef(Nh0y(kFW@xuf>mH{H&0oSiGfR*9)( zmgxWMeDDfaEEf*m*Fz18#S;%+4!SxQmz9;S&nc!=Cf~-YpPhMK1Yp5>ni@Ni?iqj- zrZ*9?c=RNYh*`t;u&9GfEbGsie3MD7N|Ah|nRTl3OTGMmVok0&yLLT*mV>gj|$0*xs+;%Qko9btTO_fWTPVCJw+fl3By4QZx zZ%mEO#G_Z&@`X~+ny!_d2re`Oj8T$0i~>CCG6~q`+t$*&;%;~Olp{6zm*~&?zi}1s zbJC}~Z|+LeqOQ>L;n=DYq3Zqx1@G9P76#0Dg$6v)F9Pz<4q1M)Oz}K7Ju#S^#BVup6m62fC2%ZvNPvJc%$ok#$HQd_y*2s@w z#(ja<>HUh>k>yBnrb26LUtOoF+3yXTeOEsbvOg;`L%_jDFMnPgUMwqGO07q;h!f1| zJnn|{V0Ppa)z;b>{4jYeP>Xo>t!e9Lc^6Y~+*IqEW(S0<^Apz@s%m#tP<=hdBl9{B z{mBL<$qk>*?wqp!{XDRYv+~do_&~ntnO3_dFr)iT2c9ZI{V{N{+kGe}M-5RS^y(5H#$`BrhUSk&!#(g+! z9ma0UM)Ub%nT|Xyz<5}Bg+w-Q`8rGq1JpW-k~(tqQXWGPP6*-hK>3RXcFQa`aGH1% zOAB*FHZmiO`<1u7+VIGAbOz{UANSnxKPwfyiYoPGqfc)e2dF}?Z%Y@FXjd{G;OQdA zVk#QCwbakO{|^TIuQ8w%_#VdhZCv)bhg7}-WZHVEH2U?IyzJ1Sq|f?!InWh!=P`b- z??|Zm82=-lQmS7s@@%B|lcu2%fl#(iM-g@^F%4Clfi-l&F@DOj{=o<2i*1QV;#V71 zu-CJgPhVP{JjKY`!S#P@iQ`ID=;H5P;INi#XNNu}`(+BrEHlRcWoiX&T{!DyM0)&z z@U~+27(Yh-NvkIvbdF5>476X(k)t91US#a$TA3Uh)eTqcmhP}hxF-Llq0eMdTHYHKdhbZH>6)})1vO%n0Q}|<%?yl;V2Tc?0=ZzMCJO_ z|1igis`06RYM~Pqp^HCBnG=<Df zwah-JS&xz`&DT41asG7s)TZJ!W%G!5#|QC$C4W97%2d==^c?LzWEDV`A2#4sv;j>IQi3#AsrlndgXhH=KO-Ue~~{7 zsr@_h$A5c)_80i`MxAt~qBiEWL{OrYo&F8{S#EuWN1Iw%j!w2exynj18PMFv9eAze zw@_$viKX(HY?OPjZO0h_%D2VutLB)a{(d=I#H13+Wm-#|A=dUt=HGmBAz)j50EyrHFZ!N4sx|6xMjB_I4d5=m&ev|wo2 z?u23a9zb|;xh2#WDiC$8dR|{V0kt$VF|)V;iYwnPrV>WJ0+?V;>;`@!#C90lP zz7KKE*l*yk_O&m+zw*?6F0?)SDqVx;O0V!8g2>JmP|_o9G_&`laIyefJ#tC!ZdRm2 zE4`WTGW#c1Wu{X=yF75^U2m|JOq4Dq=^(q~j+KPO9T4@3UDW9m?wP^bdzC1NorQXH z<&K^qZC$(HY$&);EH2$ioLG>YL?`D|yQ0Pd)xz11NDSU!8qo->wlE51W$@&k_&sUJdVG z4b$tVNe$eg#($I@FNOF+%#8*90}4KW3e@|vem?NHF0{e>{PjS(5iPGk)e`Tf08$781mDfN#Yo*e5SF)>2~8fi*a;OF+q2D7u0E7 z^mU8#p}3WD+@)$iSSzlQc#EJ^woN3i?O+J(UAvD6rtp^r{IT8wfT&?$NdEzTL3jEg z=;XdU^C@`F4v-LsQK_CXDO>&leyw*j5cEvwZs8uVP+K0N@+peWHkDG5RV5*6d7?u6 zo;UR;y1jCo_#6;G0hM?ZORWcckRZBjVSXYXS*Fic5M^FM^fF!G5fWTPNepU-L@eQb zyVOi1t03H*298*?*U_LOG5OLG<|a=-5>)Yf9Miu%u}qZ!i^Ija(aMF{|87vMV`O2q zAr>9L!KIE}Wm4;n%br03Ep)tDIPt+CL7hNQJ$8vfbHU?|sH}a76l!uj7g>j6MO&nC z4kHQa8&Zr$0$3%YEFpdIe~SA9}u~ zz#!h@M#}2l40I1UgB>0d&O|EdMqzx3>@$iQ4SVwyf~vsP62l2Cvx@3>M9b|v6jo$@ z&8#Tq=t5UYdzd3pd|e;|2sz0RHngvs$}+{W96PALB=m{zP#{=OLL6n1d4(Gn0^wNk zxU0o!?u5i~l{+EP@XlCV6CvS&vixxu<^Q;gM1PD$B?5@an!FxGHNzeKJN!fc#Qtmg zNB@WZ;Q{oI>Ywz_pYx9XT>FA0(_?P@Q_$TrQ{-ysr{8Rc*;9vVc;NP{zJIn_Q z3~rp-vaPH7UatB6|G`BR^qH%k5$^WDn&Dfu=#*$zo*_(JsGm^%iQOWt>D75{#r!D! zIpW=#zR;z4V4&0`7FYZ`3TCV$^6WeLP-m}J&t(*>`HM#RjaDS*x+|;>oA-;FLWaTp zID>U8eeUmIO+H%IHPl2wBNz;uw^vU=k)aMp3G-q_rK-m4KXSi253v~?IbQWjx~+lz z;|PiWVex+JWx<0N%lBhysl6RU?}v!QyeU7v^_FOV z%)qd?CY(m{Psi|Y_^(sAPR|wDe)C$fMcKzIzGVZpPu5E+tGe> zqdLtusX7H5L+)g$Z)eYI>&keu`!*TiV45L+lIDHSkF3(z+R0UHZl2)DP(s$DpzF)v zkK~g_#Zk#H&3K8@&yI0v^!g&YZ?g1 zq*>8~yBz!X3dM@zaRJmD{^CWflRSuyN&OCmc?BMut7XaR%Xkm{tzFQIflmA_63#S7{U-(yzZge?3HzLU+xyZlY{zR4R(@(xr>Mb5`v-MXl5a)i zhcz5j!WkAGn+qJms66fq%=27a-QH*ve&`2VQPH*}$@@^dgCB5 zK1}h+*x1(!o_5oca=PlZ2;H#Un z1zVmW5*_qW?{{e_T3|taTDfQDV-NxnjMyNj#dF`ay2nYK7Bs6z2paa8v14 zS0Nv$>rx%7eiI?9OIt+Z=G!3-`QAfdFrWk&45$PKm4=+Ab{t0Efd457E-)R2$t|-t zQm@f--vK~p0clT0#=*f@4oWh=$T;SCuqZ9!q>*MpP_)n34GVAt3GKf$+4l3939SrN z&CH{)OeFA7$~lbdE84>zdSK43nZPtGFmvA0^;z=%wAn)!=^Z;J&BxqwV97 z+vQ(eo=2U7H1qyW6Jr$Nm!h~%6_jRlH~2Pm=;}+W%?-cw&3a4MKe>-QoUU8XQJ~gD z9r!j7LtpyEoB5%tPLHINHRc+!=vuxq(={dubEs!t!(j zju7PniYU%>Cju`)26)QEVwUN9g!5Z7Bypb^Q3Y zl*J--7N{@^-0+Ii>3LHUkv9Le33#Uowp7qXV88eRXn?uyD3V3nWk@{#%r)Y~!i7e^ z=k;TOhP4OZ^I&hu7W;aU}J%cLHEi{wtm)0>Kr#x76O<#>jD9Hrd&c3D#;>bYPS!Qt_yU zJ6B!0*I6Wg(K8N(IhzLTV{T0rhw{1w^`8K+g~O5(&b=3*#_s54;_xvchm&5%G@^HS zxTSBC=kMgtkAf>-)BM@@^HM;1{hH^kl;5Db9N#f}U_=>+iz_!SXf@*XA^ze~%=)94 zJXaczTE`aqkRQU;3CTz1%Xc0ibEQ{rDb+1* zeAwQ32pF_@R_h`?pt}}aw+s=A@vZ&_`_Bn94K_mZS09*lj(t*5JLt#KXibBQEt#D& z=lhA&ia*|2T@@nkz*mm!mXzs<`nYYk=Lp5+7G~i#DS|75a!Djz$PD#Dma1nl$6s0r zR?Vuos+^Q|dXu>gMjt)`9hBGhH@gk2t*{T{jOK)(yq<;IWt_LftXKr-GdM4{Wp;&udH#R!guvo5bWUg(HHE1dU*?VT>1!=J5hb%!{$etW*6LRMzO-^hGT*)!Ib~z z{Us(cY@#{XuLHaBcj(6v?48~Lcz>448*mjt3(K{7I2>eQNpLqw7yQMaQZb`%BepZ^ z!3s#Za2155O^6@CG3BQfMIV0tqmKyLc?RUyuPgpE70r~+-^5j##QWS1^WIEb@I$xI z%BohQOg(z()apq$BNO=Dyno2H6_+OBbGNk=m;S5vms?N`$baVTLK_=K&>5)&iy4#Y zHub1RL*oS_v-X=fo6UJkP~s3=?y-&euY@li_xZt>NVKwqXjg=y&DTyRDZHFq$wd5u zww8mpiHQ;~soWRSCO?^N%k<3n#f>A#v=@tUoXr5-mSHCBaU|~=+l23{E=9nj>ey78H zH?c-kic{;vB^vies+u{&axup=9>>j@t4XMWl*E6+VDvDcnP$-zG(t;grSk zb<6!(4es*7tFD}r0*%F&CoePv*zfKN@YIp`6hS2dov4ejnOU^bb51rhO^10xut=bci69fO632>`~&xF)5AG`)8#iz z04Ol({5^RY0(AJ*04ZqWbT5HErcvotVlrU-@sTN~{4xG=!O-$M3TT0;fB%jGnhOk@ z3*gqrU25;?WzLmwOC&N&TrstV%3XxYwX$gX4Cbu4MgF5;Ac}v&Es~8=LijoxQ?Dn9 zN`RjHP~W3L=?!|6FfTuEP86Ze2lsfh$1B$Aj3VLVQlwEjW1g`4-ggZAwF=OLrKSmb z#~$4^6fm7WS5r`S&^ip-OX3V>bcM2fCvUXdnQM+KcH{DQZ{0-0!E-+jOz@oNXLYt_ z3j9z$!yp-n&y>TrzEG$AZpZ~P^r-d!b@+$+`49XX{Tuwl68Sgy=lgf~rv$*iCjk6= z0l+_1>LrwT>R9=1RMKH%B1~<3rnNuR&utON4<~GO67&ScF(2To0DLm)wp@FtKHQvX zCWVBw4x83K3g(Hrv%ZLGSWly--ffga4K(vdPYN1R+ZeZigg6PZ5*odtlnLQbygp9F z(ila|I1#5PWpq3M_qCFcj#*XS_x_#?d-6)}Wkz|uOB6N}kcS>{Az}(eXRRcB9TtU= z)K|i+h<3X4L~)ko$_3zm&zV_a40NxVS#8kffv9yN9mhkUX7_&?twotEM1-M58S4Zb4FyYa5CH~rPXxpqgoCvd zI1&+bfVzkc{djR~hVRlYGozOi;xkyb^j&=S)t4y&)YFP7oIEVEdp5@?7Fg60NhZjw zL%u~iYi4AO%KnUV*)McU1~&1>xBMjUG&*)ojw-2dc%|FvLnAZ#zvGdh2PKSfK6``3 zac0W-zzI}-Nl^N=Tp{D=f%*(O<#riZlk1-H8=pu{3Rh5h7U5r_pZX9wrEMjUK)y2o zL;f4FW8Qlv3W^(zl@^$|xf7*v6s+X(l&qED5)@5N@Rj7ezeYHDQfG)(X1>{&&hAy4uOQ z&T=tRwGC81cpRDxfY+($BeM|O59>>nIu_PKi*ifHWTIbWAS_#L3a2%@q%zhzJ%YmM z*WX7Q)W5K5!VlJ|GQL9m#HSc6*a_}1ozc%4S@un_gAq7r^`iJi&O$KDaIO}@@{V6R-t-gvx$-Hr(ubq-exu= zjOw!|`sG7;jw-WX3DrQp`9aNR?=j_O&J+JQRr^KElelJIdFQuH+$26m?n}Ek?KBZ? zT5p!5(PBFsX_YYJl|PLgF#h^Ln6i`IjU@fyK_fu6PE5OQ@=Ri<20P{fw!K`9P}5at zp{fsb6r~;{ET{%t8FQiGH0tmbO3XIsAgr1ukcBrPE4n2D;OOy6Wjetp_(Dm&2Ffvd zJJ(*SLO()VjRTChB(UOCj*rX;UlxOHeO2R%n9=*ed?>j$UolQa|Uxdo`(8-D0At1pbQ@F{`*_m994N?2|w)eQ`S5 zoD1!DRHSU&6v4!;NCR@j9Yj|HP3KV9*lTb}@rZ9RV1)PY zMt!JXH+R7wWZ~wQ@4c|ysRS=y$4Rc>7>;7%UJWEgB-O=A0sq_dPiHE?-csI+y$p2~ z4dvS6704iWK>cgVkpY6ox_AiNiD-P%roKDdkB;juP3#r6)>>z3`dgNPfta|ZaQ>xv z_HIw?ujK0Pr$tJoj0@rCyZXxx$3~nId0hX@56|0eL{SSFaW}2z2TFHUXLU17BqPmz z;M1#-c9}9xTLdH2g@b|;eNO`K_2oZahEHb;GNgdn;!=tj=x>}MY3V}=x6wn_(i>FBGv?d$h#Q1fgh-e!jij`?d-0JRv6cX4Ka{2bk#dc zo43o42lv(X&xSmYEp-?H+O(Ncv{t`Kxe+=TwTtLmmcd8tQUiZ9{BrOAOIWzw-d?sn z-0Im@w8FBK4()w7$SQ5uibp`UYW<=^JE>?!{~EIx_=4zr5ksW0u)+Q%w<;=_2hSZd z>xe1Ar(e*h>I?!~qsHh<+1BLElK3QZpp2F;dY5v)pvivrnU&Ga`g~{}`{iJgnRT## z*a+ND_=$zrjX{s0RiVU)#x#Sw>}cjoigax__W=!aLT#YWwA~uUbhoWWsT-~OS3h*B z3FA~i&RnHn$x?*JMeElGK~l$Fe;fWQ4lKN31QV)&wkxgNg!Mjj5*m^+k_WQEc7*3OTKUSFRcM0T>b%E;JOv`*D- zI!9stf)}0v)FNeZas2(4&Fq`LpN0!-p(!G5yQ779JhvzAq@y}~b>f#)-QrlV?mwa6 zx+s;AOhi^*jqQj~q+kYGQq>DWmR>U<<|GPWQV-YF?t#XYV9Lb9Hy^Ofu(z}6;d4I; zjx@#oZ{~p|lBEyJ$fM3%@fxCV4xK1iqld_u9T-PFZ6;fv3OG9&dc~1___i$NnO9Tr zBfZ6$=YN(5)|Hr(S`Jr8+b@;ol7np~7yqnnd2W*cAxHJa;8m!APCp@9YjvsFV(0Xt z{akjfAG-lz@P3B3C8as&fCvg6cZHm*;w$E)Ds`vhenQDDCV=67>Lx|KV}3&-hXhgc zY(>}(5b>lDlz2%V9DR07CcH|p!z3X8wL$03zqK-7&_C;{dn|Cn_Dv~2=lrNpcS)0T zBroYBILfq&A*k0iU~h?kXz*%e`PbF5em zH!j0M!dgJnIzh`gMCi>^RDw2M3IY{A`h%{**9sVUH4a>J} z%UX6JJN`g0@_|4M$p2c8cnzMvcQm7*UvKQ?Dx%O)(OZtf{`O76FSCYb0~wn5X~H6? zXqk5I(=YFei39)Z)6=8c<}D_nm*)|tNyy>X881S*dCoro&num+Ew47_Kv6@Q4Is^G z>W|s8o3HLKJ?<(R{IJ+>|45`7djZ0T66+XFSJto|X>g%g_)J?alRfdng#20v?fG}9 z-kcs<9QiUa5Q@jG44%h}=Uklo;6Q(fX?eb{Rp9}s5a;OBrpT|X10-L?;-4In(eWbp zf$@UOLH<_(K3LP`y5^&K>sMZjW<@je7>H(rYZnI-~bD9Tf^gI=l&stvw{NEeQQdf=hft@)!ww7Q)N2 zjST6CTZ%I0#es&WY2-6~cLi=wl zaIg*)fwZ^(o$mKt`DY!$C{Ce_+VaY@@z%s7zWmcI*#>WYNzv2kVA#J|mp=_}NEmv+%PI;@Y~I z&*hex{1|Jo0fymxEvpcZ!^>8bpjcG#W^raW%AqeR>`d=dmT>Ka96AUIMIeYn17P^S zQBTPCFX&I@YMu69&|lPOZ0u)H^N@LLEG4L!$PBJ%P5&5?tJD>BIs67L$)#(M$HszM zx^8xfwpmj9!#52LH$9vDTSew8D*6vOl^t0iKb&LioFky?d>XY)h6t00A9V)b)Gmez zB$&}aknxS?*BkuZBxDE)HQk&gWGtIz|B3a@f^+}iX>6800=>*f$0`u&Jn@=-R@b;E zqga;xXs`I`b&uT*X=|Y%NRAEc=&JvNU$SInD1HG&{D_Y_2MX^h_aF1XW9M=wAQW_W zUeh8ZbmeAS=%6V|tDEu-soTTKYog2Skifn`0D|GQ0HC}Gmh(OA`)~Ln8UwB@<Mg|Pnt`oXz>AlkjUqGn>wDaP2rYJ6%m6h;e%Qs*!Z;NM{1xBmqD8jq>lVQF~k z%FwrTaMa>p&%?=E=E^iw2l*wLds(>vCU}JImMq3F6yTE1TvOhJ2QTmSb-gq|p^it$ z_cdYjH6CFt@k>HDRSVh7g8pLwHpP@W^GI&bh#KR)A}g3n(gF_u6bi*t+reYNSp^e& zHWP-T^lcbGVvrZIo0~F3 z+Q&X^wZ60|fxctILhezo!fP$+-Aus9O7zKjNe$n}=!tAF82Xv_W>@l zshbHL!%(FE)82q2<|qTf3P(})tB@f;8Z}D z&EKM5rR#wIMEaKN{1f^cmh-RBUqPvZ%Y+cGq4f3hMPjup(OCr9Dh7-G-z|>VVo>#i z1($I|ES!byQ#iHRNDk62d19kbC*p=gD9LUB{$;9Xoa_~Ro7m1oVZyZ-nCyv#aR!;Y z9p49x2|nIlF(&TlP)|w-|% zuP-XA-hDF(IDGNgYKOdwD~^2dyG@8V>2(+KvYnb`48}qN@+E0V+$@|M55&^>(JtUF z+WsVPY!d3?MOSH30$SvKCE^`=^F792=ML1*Y{VBy8lAoH zLc1q~_oNuPi+6f4iJ-rp1m}5at&6;sT|B{Qi0}P>%f{|yps|#i6hL&JCQgbf7RRva z`MZ9aJxWCP6I{MB!wp(AHtvr&B-U35U?Ln93J`!OhXb_}hepzFQO%ZrwX=j}2J|=f zo+PkFo;YhFgyUc7ND^BmP}aoG))XXSma$%GNE9eco)K#yWcYF%e7w6jnO(X6PK)ad}o|0ywLsvNVpyYWd)Jc*MQ=?ECPC*m%{ej1#6 zSQ;p5k8s4GD=Z*XsG=iKgUm8_GYk;Fi&Q+?FVKCw`vBM{{2DeRmUed-msUMDqV}?# z48QCDsT||3sN%5?+%sD}D8+SSA73|MkLoWTl~AA>*A#vK;z0l2K_JWE0@>kt#9zEG zb~V=@BMrb zn87!DZ+zMeR<$!YsLQaA6%hqOT&~ok+~a{63RSU7B2nPuPNEpck)66rFFs+{8JH+n zM&}_g&@E^OFTxCFHZEjmaMbe2#SuT5F46(-Vgu12kyPesy+^g`p;>LB7QWa4{i(^{ zeN}t$KpXIc*QI(uf6}+0dN2?D>L}!Kg|Kv%^$X?mezz+;|G}fFikQC$NbKoTr9sQ> zWbOBPpGC0J(IF^|Z}pGEpz%Y?E!!^?gu{grmgPA*bxZr!0NVtKK&&6Zmk)J6B|9|H zXLm4-@JUk7{14*uh{!Ic-lJ>DNt|=WjT=;cx?0NC?2vnO_39j2dAc||`EdQAXKoTZ zEl>|*=d~M2VH-AM*~QB{K-BUZS8BXzoZ!rK6h&$$^5CScznD`KwG%&tjoN8+>2ws$ zQVVVPz_%mYV;r2)x>eHg z_VG_Et`vrMvTM$`jkc^?!P9POW#?M?5FKwpldV0!{}`=?Tk|ey`y-dyHCJvef(u)W zgXxuqCN<-Wm(Wf|iN9MgsqlSG+w@xQ6nS{66!r{E-Wf63Z0XFG_44EyzaaFUGTF>} zrW!vZ^mcfn8aFx7(;jXVE*!7jzKsQaacs%nVH;q*Uz`yRC(I5W}RTa{NL$smlZ`sx&g;Z0*XcIVO{r4{x8bAA4OuoICqg&{gN z3Y`oBqfQ?38d0=(vnG}5?T|2kD(xF-A@N8Y%9qy2yQ)+lD6U?-lMh1}Aph2TDj#(4 zQqWKYr^_b@KBYtj@@|q2PVPU(kH3cXP$l=K7RebSx_4ScyS`_R*6`&;2D10`sCtf$ z!t&9tf1uKpc<0FlsfQ7KGMzaLr$tfWE;y2^b3;es7iF?mV0B8_@s$tF>>&Uxkhs^= z{N^t~Z0#>kjXxe9qq;#;Kpybm-(K=tNX2Lly@FCqr*2FXyl;M*$*nk0Y|2TCeohWA zGAWg2$(Jkq==waayUc4D$TY-C3NX$B$X*X-AEm(H4-ICHFf zUj(zj#IC&pHez2N-v>(_+!fYmMO@Pq6xDo57%tR?o*rs^E~>%}LG+!eI0WlM}OB2DYW>IF?vcGE8@zBAoa4l)IQ7FF)?v(4zs?NW4h z7`>M$w53zvSu3|xUixF~dI1tAPL=7u?=&l*Mft>JV840y{Tm9Lpt{k!`7uy%sGSJR zY;wF?k8;0h9X&xS2^&Uc6G1njzbxK9qGoK#BDrdG zEg!$DuhjF{H)2kDex;*P$riCb{ZM!;M;CSUf#fQ`(pJ{oCa>bz!wfr{-P`IbMz!AP z-n0cW@XgBGh|H$Pf!W3fhXFgZJBYTEm3Z$3NaW6z6bkzh=tzA%LdDJgJn#X%^6b~M zuLA7)Nz69#Ii6vWDZjn>8M2Pfc;Gz+orS2rTNrvB%NHgG2z&|zP;0=`izYI|hH#G1 z8F*>}IPtM=c%K|ylDuM{|5OC&PXxz@9S1R_h?#AxI+nXlbhVb=`_yd+h{H>uA-)4) zFZ9CI!eSlIgSCXK;I!hiUL|jR(lec+gV=Mw>bCgjRlj>w1^&K|vzLLR15@oq-*GBK{`C;!&TXN}@A*N05IoiunC{fLC}EvT9@Mv{wd%ABLb_%utZVd~KTS$iuZV)(s0 z(srkayhhdc8|B2BlxEK8ESV_y`iyD z_{U{_D1XW>I({mlS5m-l(=7eB@=%)e+q;F+?leG80yab2f(NxUsQTgpgnoHZPToGW zG!Vo$xQV^#QzHzImOid~zo!NwWBOn@?m|GdYTwC;&)AfLco-lC^3Y1yp?eSsY(Ewn zPUVm@fBZ9F{wTjt{`dn{{+L^Jpf}ejS4nrJp&#{lfWKbjLnHr8bK?h)|6XnIyteT- z%9m;}{d4*8#R{_EgU2iwk3pXwA_|Mj_}VO5;vDR=@WekTzY*p_AWT z72QqxXO39XcH=&paDO(l1*cEMuGBT$BQJ+By>ZJ z6CCL3W|xESYs-?_JniKd!&dgAC^1#5&z6T5$upzf-+zfT{Em$uADw@0r5r`KbBJ^8 z%_F^iHhrB#^etW51>1bq0Bl_C_>q^|*ItZswc_O>sX@5hp`{I@1`GzfI}%=XUyg#f zc{{{T-yU3R>*P%g(LcTC8*q0lo`V)+_!KF+nVmn)DOpTqJZDmlSGNUhH$)HZJ+f9+ z&wLl)dl4D2IuUk3a2A*-;sES90_g$$?SVZBAiZ-aC06fq=FA(62fpl#0B?EDLLh+k z6KIs?cEz$DTPU5R={H&_()n{PG1xh~gQO*xL`|$un~h5Z?Ys{eC%B z@n`x3-(H^2i!VU~q_5!wpb6d2L03aVFAkHFUumWT$hBK88rZTy zSGOk)9}TVE>7Z6`*nD?4WNC2d3!jZ|o@m7;)F&Ox8Coki&Nwdfao&6Bs^wSv%4Cgr99>boRB;Rwv`(gUdo<^+eRcl{pi4VT5l!0g0aMBN@K_ z#>{ZJ1tUgXrjySHhh#5xj=_2jqvzVFA@R*jD^6^SLWp$2kdnP?cvN<3N z<$12}XD%V@{WPC9u#k+7M$Z^TPaGhe|5Rc;dUNXHb3=Pr zdcLh07&NizjPLxNKDYhxg4+lv%% zdcDSu?BGte-Bq2?OV&K&oVmfMa_(QxwmtuWwq03zU08bk zSfpCh;lMYgzJ0fi@yQ~v%#u1u6<&qr_hdYN4Mu<^a_7oxKJVu4AGSPap9hIi+V0R! z%-SM`rLX!|w~x3`_}Jh_nAkVlQuFzi*#D#GLVP7Q?c-i|0F^dz3G$sm z6}voUX2jrfew;3UKK!A+Dc7%eIF|2N1={}JkS#xAms{GCT9ei<2*a1=>-!=z#{P1Y zp%=f13rDctH3@ANM~%N&8vZ(k^6P!D$;Z_t6nOq^FCfjU3~c^H_#LJUo5&um`|OE( zj_YySkPW_5U%UFd?LM`4I2_>J!sUy^JgVo&+S-juO5MC?6TZ$v)q8`(2zFD=z#?+n z6h)&zg#ywa@MIg}Lt!S8Puy)1n3-3BeFqTj5t!Kd(wJDKW)-(r_>!3C){g(jXUE2`IQlsil&R=(=XP-dL%0817A!2)73s+wD|16#ojc# zJ-e`|pJQO_YHROMJyzY=(wMGqTk&7SCw+sNrGbt!4bId8^{R(dFj&R9@F6u~L}gF; zmLcV=qtkz}6WqRILWO#pF*N910In?%%Q&;e%pUsuL<2ZyW5@|?td|_={9pn%S5`{T znC6&o&)9}D0vr9lHR431Kee!RKD8^1w8W{N*GfL>$+{l}eoqu@%HJ~CWtq4_-EB$0 z>{KP_bm=f0c@*2h)fX*73xK!pf7=~O!-a3o%T%6D)xvm$PM2rjhO{9rRRvAfZRc%$ zP;&bmbflAnML}*$Eid@`I%b_vepM$u&7S1yu+FD87wmTpldMc@{&=%;$ggV0tT+VUdVYsWocT5PqR~vc}uw2aK-a% zbC!AEd3j=4&GsxAJ$2-Nn+7LhZ=Tv8{(AK4%XiKReDmg*y4L1#yI@Z1rQ5;gFNZoSp9IjMiPwF&LZwtx z;Ci@3W%*(lChAT1X{|=mK%G|V%xwEaYI(jNGYkJA$-4(LOYL*-;W&S-V@t5Ri?`Y$Yqs3k?Kmsm6CYV}0>3xLY~QhCXuL`>8BE?EX>Vm|ctD++^3w8dB{4C0UcNWk zRj9r-+#l&YV>E0v@dhD`xqj%J(0Bl!wQj-BDfIIQKRuHR`^_{~I3EIP1L<7gpCAd~ z(ckA%edtjzP#UQPf#1*XH;!akfA+#|Y!sg^4TRu$_~oEqG&==ov9|OTct$t3&v4%& zIr^u$1dNXMm4J8xhTJh-jXqFwxtP!)6o=Z=VOE3&j+LrlxPebqX zu=DOasEl)FiX*P^*!D=7HRyX=4V?)}u_)oyc>DZQuErjLw&9^Rxy8|if8(n@NmxK^ zyk7O|OM@Mg-;Q>1-toWdHpA5iIPu5Szj|ZHXLx||_S9QGd|(H*^LSSVjKoI4j@i7s zs;`ii2one2kSCIosr0j_K6`FG+uwGsouv5TifKI+fCD*XwmLIuy3%&OP4$s?W7f%@ zNF885VEH15b=Zj=jU)n?I-*KK-<$2NM(PUQ)XlWp@vs?;IVq$j(07KPS(N89jz^wF z(z6ZED&=0wT#1`UI=f5jc+WT+zdM_m3?#K%KuQ4x7cX256gJdZ)sQXgayy>a1F}HO z)9HxFs1Nha{Pu>qpn8qd3R&uKY zFD`6nzEnG_&!l!0dPI0<`5>)&eK~47-c3IV8MzGmmDkl|0tj8Su`cs;EtHM+aH)Ah z+;<(iY}D5uYvghL6EQ9^J`_0rda9jwjL-BDe-`-NPgE)0CTq;(OS@cuQ^O;8>csOY zZQK24eiZZTMvHBG8{-c&maw=4Kic}k5!_!gPsg)?Dra#h&(e=OW#YGi=ZbduF%VQr zo~rCGN<-Hh499YbTZ^4htnFjZPDBpA?E-<><{*K#?2X+q{f%tSGJDr9_ejT72APZp zN62#+Cg2N^l}@$5X^v^8Xr_6?`jC>dbc5SQ5*UGbY{8CSoX78{$ z_GxMgn#k$)K1e5mv}c@$X3^+*$;w+C^*dp(H*%(B@C^|NxPMj2oD;%X(6!=)eb%$2 zZ{G2GtH3G%Ep%JP#J1)Urd+~wz7Re0q5CAg&&T>xRYrPP66izUPa4W%mgrBmpEA=} zyxD-ItuNO!t>wPUOD$?Hq(7TYdHM^cDIw?yq6#O+`rsbB9O2@-=i^1sY__GK1nE3tRi5SQ8iTcU}E+Jyg^LDi$)Ae_+zwW zc`bUIWP&tTNQ3i20;{;#N1LMd>ReuU=T#MtcQO|{=i5toiLL&fv|nkmL$?vRvO_K5 zxf%5J*gpp_H^dBOSOi;aV}q(TC6rk<-K6xd%OcLkru2ExXJX`>u2WO&N+5lm0=4A&r%-yVX-!tFH=~^g z?VY3;&jf9Q-ZxFH&;c!j<+nVll@7Lr?P*ruKDK$?YlMDO>L^Ew&))a*eTe%=IssJ) zNEpOVC8a-y#uhH_8PrvATcMP2^e@c2>lq-~%B%Tk8S-wqrG49wRmqdIR57I;_=3-_><;%0(YXE_I|wZ6K24*IC%!9lWl zgheklQ24X|1z4r-3y{9EUy@#62Ip6tuqgMa6eTyR=wM;D12$LSGsoE0Tiab*q?f(J z0>(VDcYTO?bok^bMox+v1Q5s-G8H zN^ISwRYE-H;KwZUqR~3F?Rk1vyHo*QFj)?iOe55BB3RXdo&;^HI71AOCQ18!R8;Y4 z^YTST)?jyms(nN`$>+PW_$O=JfVZCCBGgs&7lTA8Xumt(B!{Jb8>CN$5)hQI3>7wP zImG@T{DS=)!px|jBxHEDxo)P&O=Y_jZWu1v zA2TvnE$ufUo0AF$7yE)miEH&}P7e%PO5MfID_~F)1I4Md=~d%EX#I_^A`=wkq@&lYo?3+z zCYjLDY?zN@Y~BdQ+B-P_ z>C?z87r_Q{F4h>1lq0Q+*D?x<1SJvUxQl3cJc0flsyf1XoR0NhiX=AD^*(AxeaDmM z@N3p|2^Vl-II7Prs@=Nqy)C&?ZKQBqqdrvg#965-5yKpQm}c7`-@2a5*v1RlR);zf zS&Hlf>46{_y)!!*__sV^5+QQK+$f4Xo$kHaH+{Hd5Aq@E6p2eBzk^Bm3SNZf?&1UV z3XDMX3i**i^a|vguwXx-BuC^k`|q7BLq*|Fjn27|h|2R>%<^YVPR9fbvyw1_B&FDT zDQKvJ;DkML>v0#aASmzP@Wun+tVMuof)^9ARg)^y9Wre}yiLV#)Zo;+sZ{dDP7IQ*IkV;1hbi9RRlF5SHwHxkJ@c4<@!TG*Db zpO!ct%k&j))D+#yUM2L~W%wP{WXOy7&4M3E3&jB%-IZ;!$W_m|F^;<{s7GGE3IG>) zd{ZABd+^UmBMhVLUvU&4eOi5K94)Cj`N9mXm zIr3y`rIYxDd>$tF4e32yN|O_A9%qo^p*+A7s~-9+1m<-KLvRkPB>KBfBJ@g?%E}UWc!fxE4+h3f6M=vEMj~Eme&WSpv9}m z02tA~yU^g`Hc!#B(Q&WP_~SdrR7)=m4P+D(uZ|GluEt&wvrS79w9glY^?ou(Y~npw zR1eD{+eD~vu1>X5Uvi5?CE6gSA<+8$ipv@w*-~Bf7aNtb~Bo zc7~HEW2|{}Kn9g{!x=h$=&JrUTvRJx+CuX)C1M`ReokRr3*Ts?X+0WiZ3ehLPGLXu z>g~CqE+b);zHv;UzIY7PLptmoJi*2Mmbq}iK1ienCw6KbHJ!&?&+g(b(zmjEK(`z^ z!grp#-PtdpgHFOTmPYl(QuJ9vxo@HJF%XU-ej+cFo?f(UQXmUO}U1vWVHbfosXBfXHC<~qp8*LZ}JV~Beok-VR9$K=B)7X94 zi(4gANVjqF`Ol zVku;*w+B*lYCKS5+1DJ=mS|NZSDM*j-f*Wnu`I$GLdcR8ysT64=XTQrvqeE79!_ZWlG7SE@Pa_73tYa7k$`X>xrtUfLu7J%2orJA-|B$B^J zBcr|C*uRY?_%o8B{QhG`ezsfOj)}C0e-}qdg(fem|8&ZA$cH3<2UgyYdJ)qn`t1JL zD$ZVP%vZzb3g4;bl|ncsx8A)(l+Ih}{%yejf!Oe|5cuH}!i);vQ;UI|ymMgug`T&B z@^Uweiy$B6ANkW_cEeA3->2qE1?xX84hGiRF;kf+=E=WQ>vW1S8a0Rs8h01+{^*_4 zK3qrfqlG(dSo+3AeP%#Qa!KgTeqOGBb|&&9-p+i+M?QXTr8g%G^3uP9dVklrXQ~|Q z{iJ;L#ZtL5olI$`{xPgXL2D{EZPSXTq*YoS^^y16M^iI_ojc9ajG+EL_BcvDlrAXNrKX#WN)$o~&aV&7!+I7#4AUtB_ zNrlYNp1XlayoI5VVySe?4XmSFOHcwhspu1-`4U$hgeABoUGDtEV=R`Z$|6rgrvg&H zn}A93hrce6p~}!co~0G9LU+$-Qx7~hYWYwz0egCeXbne_k-v^==%fdhGA5>rZofMjRL12tXrC1w!`mHxN}m_F#-WFP-}p zGT+kCS{?@UC3V_FfR<@P4RMsct%=GYsgyq};#w5ukEHpL!iUKRzVdTqwX<+lhE ziGJgZ~*oadSGFKQ~Kw{R?g7Gx)F7yPD9UaVgJjHZ3ACLu@!W@TsKypM4O!@t_o=y?i1*m`VRXh!9`EHBQF0zsW$B+uV3?*KhGsSGSG zp0@mdS>ZZ!Zsx{8p@A;7m@dF0x>F6{`o?4z$ux74pVuu+=MU}|Eb@P0>o%ajyUyUfm)9Ep)2tKe>)kvT=^IQz z;=AH{bdd7=3@Y4Z@h{nS$`LgYdm3+foV^xS#O-!Q*(uv&vMk6Ycjv$M6gKpS{MsIM z{xQ48-@osaOXAVYqm)l@tzP8iZl)2iBUdT9Rz&8`zLQ~4Co#2S}Wk{ zU|<0`eyvwDe(M6&tkG*Rf!+FBF+SSbxa=|dAOEm-z8}n4#30!11Hz##g)cJ+u2R^WJ`@{sp>!;--_$*rs!;Pk2KpF#yP{=xy^}{9Y62c2jVe( z(H#(Adr_48K;H5*m@op-TMP|$9`0v0B{`bMZ~a%a?jVd{f``D;N7((LTYv~=yQ}VcWa1zj z*dvYTo%6TseZ;(oLGC;U6cGo%3q#3w;bU(E)k1zSyQt>H=30!&+}N|l+UhM1t!oo_ z*561@x=*4dbi{JXuE?~6yC83ogKgDH=jsST@?YP<>x#TNEWQ4_;P9bd>e7}ZRKu@aQ$cbghJK^*lI`mBcFm(_7-u`tI0O- z6D$J1PkR-%2iH51x$5z!w=i!8K6G>%N5&=v_JzI938W*NH~g5tf`c-L<$=SocGF|` z{m;p=Ob>tkx(4E_H7=P~Ya&>|x>5oMe7Zk*YUrhES()ru!_w2QtO9@b{+Js$3(?f_ z)L&^)ldHrUv7Ng7b+5mi?KIMc>oA5C)|oo}6yiy{kZomNsQ)&dP~_|>{o&TBWcPLC zf;pD_n*C@;4KolOw z0R#Hj=w@GJ4Gd|NEW}tI3d(~Q70xbYr$I^CT8a1f7aV8A7?ZicGgzXl4#eMu7VUnZ zz7>SxV~Zn66%C%aKCi5gxG_U|vp4K4d`52AqimUyO7FR)%ir&XiA5Zp|0%(yA=g^T zriar(#5Ot7hKbA;4aVAa)^kqt+X>)hTWC|CuWSiiUyy!>eL+=R_Upb-?gvY3JTs`C zJ|qM;%S0~~H6EB*uhM@0Rxni|8JPLl9FnMc%fjSI;48+TP8)16ceuP`UlJu3`@5-CsI2)9 z|Fwyfo(q4LYGQ&Fc&qDwZK3PRKY>_Z|B=6dTs`y7UKZJbyA-B~Y{y-?K!#z(px9W_ zXcwg-&7f#hTLk1JnYJ$la*!BgaJcb%Rj|&H*0eSm3cjxgPafRg;$dp>P-zk*C%l}l za_BN{{xZHwmP<5ai`$`P#4HM~nD3TJspv9kZ!xa&0iVov=aH&*R*^al#*mF2lDpS4 zZRj2yn?Gsc8OP^JHN4fk2Y8(gSQQOb5HxEPWLvIRIzBe-4{n{=^LQQy2xGeaJT~am z=WFPP1kKMc`6U2b+VI}9{A5@LHdQpL*!$V+YX}wid>66Jq3U%JSf=*m|39Bvcz?&U zSt%T*&Hr?g-TP~v3Kn2Yzk5YLC?Dlg752ZW=8=Rn_8r|ft_f*XOzDHUu1ecBb8gIu z0)uCnuKzFPxM4u9mav7rrTf1s=ZtqurjRiNPt2Suh>s+n_OFQ(<3V$*(TSqnF6g$SH)OMu^rFRnShC6$#xH^34>|h!r@&zJo@xo%`=`=K5=7^nXqN@4o_9 zptRzfg`$IjChoVst_ktZ9lZyJ7W z$uClb(f@y?ED86Bz%>=Pg-{LhE6RmA#nN$*D$A8QMc{u`?yLGA+Jp+=>(UQWMgK=t z_ch8D8ZtTenjO{K()`bZh4TtA!?pTD7|ln7zSNO<3ssK%FRtW(N?N^U3Fl0k977T= zasW(=xwhXNir#%F8^i3&R zau4HQS^5@rL0z?14+NN;KrquWD1?!IrtIJpl3MBL2$AoA6&;|KX7#|2ahdmUgBH0x z#zxCK^0~kAiUPyDb|t!Q?znGWT`pE4vA#b$J)ay9+gLw=p1w)+`FxM%Dzn~kAazN) z)J~!w(Aqoyhh{*VjRPXS6>;BLucZ=K&D3EW?m|mlNOmosx?voBCbNb*dz#D~lby*J z?`bITJX*)SO{N1etj!Fs-_JJE*7p5G1nI^$6x=ezgu_iNd(i6I<4ATH&Bo% z?_TmwwPZQkbup&B4}@0+LQfzYKxRaFkxk}Pl&>y0$B z6@yfjvU}r zTbkttaz0P80S_H#(qU7(Cb_sn`RKYOHV)9pHabB@oqKndjKOL<2$VLGDx#TLk4&U- z_45ljUG04D=<7t{fdj`@CXt#)VwQ_y9Q|OQ8B7Fx#GwJo&8#mONo7HYph2flK2aCc zmvqCeQafw*txwcmIEiOHBv;fJ<}e|SDz?yjvLW4A82)*x2kvELkD`QMA}adNQ`S>v2O^`RJ%>C?UbjsL$w5x6DmTBq8WVA zH~~5QYM>XSoxO_CB{T4wFJAlt!Dz0^6ur5)L+m>UoTLB6O!x*<8L#C8?58roa5C!; zBNd~gHmddeIc1W?v_as zAn~sc>PJ_rNo$TJg?oy%&pm&1_k|{|7%W#37z`*Bp|4EA1SlGyu$96?% zx%Q*Mu7a|;_S6+=4;hL?*C06#2WohN<6OD$D0myGC{Z(^m!lqtXaIgZ-Ew%Jj38Gk!N5n2)q_Uy)q^Zyrz=Hre%X>yD0xtB=;6zG`{uaAmr->h zFE~KR6a!Z;`sT@lh+wa=%za;&*}?1!UD>4vQ~4U{u2)?^Py`5XP8ZYymmg;L$}&f3 z)eiV3*Pb`nRc0G0=*d*6^nh|GRm3T)K2k^n^z}2?gGCXF5zPRLhhK%mx^*~nEeMdt z|0z>e4dZI)q}V^=KZ38``-x=KJtA{}p5fo)ec$|3C=o0W%lsbG%M7 z5Xu14h(I>9>n+hifW|vA3f$#jF3mmvdYs@vyT35S@vNzwg zQp0mvFtPw2acX#EWYxEgrY-?W4_LVXo&wS%q5zfi?wZ3B3MZa9Q)J6vVA*~bblPu~ zOg8E2tr5hU&Q)0`%XMKmcUpPd=CGl+pbKiMu}Zemn`>U*2YsE%AVf>C;~>jd-ejya{*Ji${_8xmI zi{thYHZ!Oe)I|mbJQaB$_L{H_;#8=?)RO)IUc5>HNwe%PmGu$ zd5Bj8QA)-;w6Ud^0J?WbZBVwt)R5z%RTjK7JXK9AaRXd?Ma|1We4aVkiilWU3dV4W zRIyO{K%_Z>8?#_i)J6SOh&uOjltepisFxDbnC+S85A0kS`|suHL4|K$$Na&d&;BUx z{NMq(Ib1x=Atpon#T9^?fK*pX@Lhu4YPK(;3N@!e79jOL!{UK^S%r^8YD}G6r8B(% zz0CS)_)^f29N0AanBuj+niqPFk?&4%8XxNTE?123U8}#qyX62h*{9DX;y&SSZ>{lr z3R>{wIC|I)H#VH#*ObBDL;G0&FUGzByslttG)aRKH7B-hv$1WPjh(cyZL6`qsY${xlvUGAY+}` zUE{aC)nGysv=Ulq#~wpq2?n$yiZSqAf;IylYC})MhA!w3@oYz*261v*u{yTTtRSYd z1hS5I$;fk*~=PIbQ2ri}vQ?FHg^KkoV ze6nOVt)v?p_s=u8F6J?mpIR!qwx3oWRNDVXmPJ{cCn&W2mPO&GPNrA>6Hv0hFKzOe z)8RX*B)C_P^(dG4IbX1G^3P~3zLPIAY}jj9ODkO-A5IY}*qN|UqQccDu@F&llyK0p zPXr` zKfwS+@w@QyVB#`U6C#U{RNII#2ZD4H)Ns?(6m%JlcRG-Jg%Ui9h44(Iw09DeD&SCe zV$&2=`hs{!Ddq^6(E`aC$QR};J_LpXT(}wPl6$s{R|ZI-qh~n?n2#zNufzPW>Z2tP zUbBpLVIkibII7l*_D?^JE`Cj^zN9nQ)avM9y^Q%XM3ljd1U|ahv~V`B&1Y3f(4Zcx zT`o~MUx0BQFIaEiN8uY#GpgH3W@94^YsgD>NVBjjA`E|sAa?)T3$VdA3OYXZ z6ESpR_3dK@HoqDJQwT|csH_xp~!k>)F%5q=hT}200 z4P(j1XBR3(e64ma0K3l_TV@? z*>SQ@d{No8<1~ZrvHG&@6r3W+Oi!jLO9YFiA_vi5m?X&TO|B^G0uqfO#s_v!q6M)- z$)--?qeM_F?j;9^Ve1$2n@dR%FnxoxqWk(4!75|Q(P;uxn9Szl1j|3MSV`uRlVG#| z&4L5U5+=-!cn~dAyB{CJpXSr%c%}C1a3AEn$>7=!w_Jw>H$_lJ^)G(?i^2Zl(sx`g z!Gen+l>SpH*Y#^UvUKh|X}XzoE;)I6i*)X?JVR zX5NR)pK1{MaETAW2Vo7#0kDzTLg5%Ipe$)4__h0RThH=ipi3L{*3eDTV2@faMD4R% z4>XPv(94*S|1}XW4IBSsrh#PbD`jM^jFVtKLMx?)ddl!-ILlEsZwXbPZ2}U8*$=s! zgM5VZ0(T+MWpykzF;<#_f7$*gY;Vnnhms-Lr0w5myWuQrIr(BrAuqFQBqKzG8A_s{ zirQrABn zo$^gzV>>no7FW;tXS3uCTDo^Qu9M z?Cun+_)**TEs3-hcfGQd>y=&3Gw0_8(yf`@CQoGa2>L^qjG&VJpKHwOZAs3aIFe2I z)E93&{YhVZhNfM@QE+RmW0q+s+4ZKwSzC;+(fk{X7w6`7Je^2QvB!hIXS|D)b-pCU zA^{JC?JJ|-|VWEiAgkGgyNLBb_S*)@vRCP3{Mp zkqJWSx=PO%hCKti#oKn?^1uRZXkj%VzKg%06V7=R&A@#&11;_IS=#uyK3RAE#Pu>C zyUh%BsaM9?9^Jc(jOVr;IpVSVbkFg7Z8F7;-h2A`*Khu|wn3W$QPV@h@CV|LFEa{a z7haL7j-#UVyXUU}wHwVSwU30c58g*Q%|2C*Sd%49#EoT>kGxcatI<`u2$fFIbY870 zsgtZZXAuYJb)pp!$4*+DEh)?*nBpdLk(jiQ%o;c`yNEKGZlO_6lXuNba2)3YE*BcR_=coJD;OQ3jp&MV!d{OtwWh(ZNwCksSP+|9JDAGt z^F({r->(=Qx48SnQ=LwDCj-TaAn|6<=lHCdYUkCedr<;2KD96H4i~4{AR$|^LQ0{2 zj*MFVMfs0q`}*kTiC<33e=_V?i?)?|`(fV@HV0Q-K5Yz1j@m`9Ri@pu7;2AeI+*lU zB7WsqTfJHd;pAv<*v>*+{aKjl2L-|i{!oW*WZg!6dykSkJ%VnJwN4TJ8xEf6q;b6C zlPcT-J@`%%|fbbn!_p8SjJjQ{d~@u_ilOKR#>k^;cg zSq3t5m40hY2HZRy;HLJx)BBr^QNmrf4~x%_Ednb#<&RKJw@J*1N<%FscNgRIfT#}Y zxokb>bVInEtc!L2C)5VSm;(#=M#QR`cZg|(rPJ&?smIL&LvBYU3it{kdL`cl4>rdP z8`hQShXGzQMkGkb3g}8n7)%6fOlr@B{86;GOy);VZchPjL+#E4YbY~pEk%Tj^uZBF zd)Q@LULLwc58g~t;DYF_Iq+`x<4nOP$=C}bzSh9IBl!ADsFeqZb5H+YKLua(bG;d- zIxsCZ-=iB^gl(%7ztPSez%{hgl72JhNBM!jjCLFEcRo~{7AxJH77J-3!O`zUX86g6 z_J9<8^(WoG;X*!e_(o5uPmmZk4++2E>6R|Y&j~bttjny(Foif|r%SU<$_kTEWSAGD zjI*kQAEcLw+8!D=Evid1v%)T^OPkOZ)g&vA$wD+HD+e`zu<*xCP`h9lRf5{+pEyz2 z%_H@W#{bNnB+gp}vi2cD@RvR76_@^i0Ps-&kcs>l(}fFB4L|_wxynMu0PuiIjH9o2 zc(3Bb-1G5qnJ1D%GCpg(d`6u!ino>HQ|@~%^n4e8I5O=Pjan>9$9=Hl){=tu4k$o9 zfYc#B&>Nm?I@cfmvQjS?L7}187uqToP^FzR%1sw#pexzVb zBFPW^38b}6-?8h{ggIH*#zpS>pRdhV&&1>wB{DK??97-hc%ifIJ7+8BvE-K&rw-aQ zm355tmGiL=;JKHtL~z3Y@hUD%iHqnUp0nL0u%gMRXh1JuX<8d*`QJk~a{P$)w?(Au zjt3RoTALDZWS@{mm2A8*Ais#(3F;dhXMrn>}>tRnxS+Lh$fF z&POoy;*)u>iTw1~tYVke7`)>J{O+|zEyw?-wt3^u?MTDjRCUc$$Qh`V&mBfJjVGF9 zu`vGT^yhcvB=bIE&x2nABqT#Sc@OjU4stkjJO-%wPD15O3@U$`o^_( zjeeiy?i66)Ou>Z&zUEG00lo3>oM9qH$c48*5gmO)vf}41ecH=CNDRzS`1s!nY~RO0 zdPN#cpzBxZk00_csGkd8s<2WlH9XyE*3 zZ6Z)h$;vRiHySbwpfUXc8mznR)-F&qsn2a360nh_VdR(F=cWe(kdD%b0Og|%za~Kk z=4Z- z+*{ud{mQdN0{u>yvrZu)J;!hb{Yp=%1o}J`{HSbc1^QO?L3GlmbVAw-use2}pnDA{NVN;PZ-avSPtc!}`o3jOyNEmfhTZM6gMRUK zYr%_hyD<1aG6)`wxXM^7 zT1Vg}=R;^lEtk3cwsma<`)gKImA=rlRy%I-BP+*j)ec;5y{7!u{g^r2IDoV1t1Q!u z?)6Ll7q>dj?~L_BOM=_ZDv3jRmyq)>`>2CG<}e?Ue0U9=_~F#44-5Q;pQzsXw9Hrt zivL^ud>6P795y|oXe2HW0VzKa<%0&B7lr*sg(8d1`m97&!O}Rh`$tTq1(O>#RVRU& zG+3-0B^aBUPJ5ebOtOF4O3J9k9D5XHNHawhlvz>rJ5vE6+BVJD5scVqn38PeyO={; z!R6Aw^z!@019$Uy&J=G1obXTn*X0gA^2qdFOL-a-aRH0zGEn*TerjpcNZJUrV@DF# zH%*}0=ZdxVMEOhw7#O+d4Sg1bF+opAje(u+92sp1+9Nj*<}ho1+2z9b#oK)NimUg{ z!ahGMypduh?dUu2CEw)GB8(Wy?cT#Ff6}$JQ1GI`lkV@Uu!EaW3AR)L-u#x#?~9q5 z{$NcssTEKf*&HQq0%DM<+gyMC@Z)vnFb*C&{b}Ys0FsVYYWni|OmElkOmSzuOx#9% z*HRxnSDW9VcI0`9(x;JVf=@Epf*Ogxf!KHR@OPT~Dag%Xked|}Vbtt4X^h378PkR; z4(k&XrQMo(HwG^Z!gGJ|8a%?p+VfWr%Xz9gC~Qw^!tK~JFI))-=lpyyXXyek=NnMS zCvwT8GAH> zz9}G4Bv%6B06<*K1&pDNC;tv~xF%=`n>_uZO)M%ApTp5S;V)I&1TPTCP2igpc`L*p z(5SUI)FJ+by|yy`Ni;|(f(|auc}au#E4z~pDJOd^MV_mi@M%WS0y}J_HH!8k+1!5* z^JH`X26xJBXENutjPs)lkbjg>UuER37}>i!=O8=FVSO}EU#lqc^yGxwGJ?La!=78A ztjv?umrGe_Bu+I_r&>*PZI2AM=TKJ99m7r8SDxKfnsb>OTXT7)o4I{^Zi(RJc?H1uF($X*Fkd z0lFF9u5dOa2fdcidk@@LkrpIp)YWxaOi!k!#YHo2^r}~8eIdhJoXCYIuTSWr@F=c~ zl5;^*Z(Crx+E3CUT2t)eo4`g=+wT2RU;xu4DjoQo3!2P?}(m+SFcV)lH&bNHc7EzRFOSI7_97d?qVfe#(Lc_z z=ij2+smaH)e(mI=_;uudVs!V~tcN|RaxS=9Yw|i)!!^gldO66;ElGmUjGTBYOE({k z;_lOS@$`mW4?6ZVG{E#b$m5B}%c5J~iF1iSRj$A*2JkVVky%I!+TPfcFT+sg*&4jVR@4%WTz-)%PM`i);0_uDjCY#x+5BF+5Y*$U6} zje4xZ4*|P6gu%w!_YU<@0gJtIj~-kQh4P#Rnb{!tw^e8C(l_!HH6RLelz_Kg@C+daFrJ)(XU5ZWTPz24TL+HR=UQF9T{Xjyu zG?N;g_44 z{r$FVp#&!frL1ap_v#wZEJ=_4UxuMSii3~49DwjWLqAa`CP5NzETVWkgsJaq7KTS+ z#Gis~&})^3w27O|rOivobFH?jtgdap0ZhN5`=3og2;QbcI%p(k#zQ*%X>zbY?n=Rs zXNFjs>8TM1)6wQS1a+10FofVXmdsM3U^**?GLdCe5KGFnDBEIAVOS&FK?wVJJ;6>N zuZ6io{qhiqNnC}Kh(*bm!&riTkPX$!CSaHWjHER35s2w5bwCy?Q$~nN1=*|l4A>5B)@}`JipPH_ zAIsUdX#Z|1MXROxs`elvsi^qn_uDmx=Te7!B)yJYlWS|)?DDj{3(*U+E?dHD9w0)Ma&FjW&s;`(@zyhaJ&J^j?Tf_{}a5IR=`2+ zHTT{7_r3FxLH;|3_nd0+@roovfSk6X=)R(;p_07Ef*x6Yu`{78ygkdivGxK<^d*7Vn@^>{Tevh_a4_CEVM+*~bGTnn#4 z?f|aZs8}`$phU`b`~hHRh=qS96DM}T*t?KB&w_qIA_FzzU2v!4pP|ED(-AfV26qv` z`3s>z1X4jlCj%iOgaK4=#JN;Ke);dhQ5Ny6r$}*AsBDnqkSG>?ZBxitD~D-VeF$hH z22n*>6PGF0-=E$`+)MNk8+uwBOVrv3SQ#u-j{y>dcc-->GBnP?$rWs+Xt${XClW9M zKg%Uy1X9sMD`_L-*JFuVGKb9=1B?2Jq-og*iqIADbin&-{*7d@HuBipxc*v-V2Y^( z(3)wQlvhX7lh9jBajk%PqSU8s>C*vmhlsS{1DCZU4$AjTGy{g$=iuKL0D zVcJmBJXQT#B%t1jdh8zM5Gu&x&C$1`-~Y+GKBGI?pB;JuN7LN!r++1)jftW9%NJ;h zy{r|wy(~fEOGr3(O2wg1{=yruXgBh?abF>Vp~$CdiAH)Yre-+IOxY|fzd5nuuwB|M zGZtB~Su8V>+p>YmpW(!*_Ye)oLj;Zcl477M35F-vDM2Rs*EMg5|5-rxx!7p_3{mMw zR-G<*o3X%^kj>@)51e8i2jbOZ3n}G6*7oCY(2tSy*n!mMqnV(bA`|y)a9Yrt|Exz+ zsl~a?m3otaX}WXHcD`K&oI`dN1>qVlQ^vBfs7|q9yk3 zIfM46@{X4`YA7TjVd!ABM5%%`Sm?1{v2*%@8BVHJ@*s`OK|kpif|~oVXo(6w63RCJ zBi{V`umRE=D|_M|jt2h&blvcSdHwK%WTS8niVO)c|IgO6T{fvuY4putb(NTg1n5v{ zg9_kZo<8AkL(z>|-SseYRl+4ZUVVxO})AJ!H!&R8?ToxuBUzhP7Vy{cMLh;Ltd|UMxJNs^>{c)<` z3af1nIpM^v?h-e6e;N0F!rfT88UX#ruB|$lgG{3!nEXY) zX^VMfbXCppSCee;*lG-?prqK~emcc7($%-CsJLxx{7pWi{f7ciqd_NP+SyGFWJ|Ik z3T*yu23+h!*m+JB(*45{c4`3a_%^x)e})q@a9G+P>g=MG&y7Mqjn|N35#u0(_CVD* zLD0XjTClX@iH0n09sWaEygr3%=^~ZG8*qgZ8Y8oBA*}RdT9vOTYP5>bkFD6o5W$*D zHPJvi(Lg!TKtBN};3AFM(bWmGSHblX)edCb<|^cv)4}sX$Y8JWN7&gi0m2Xlay?@8 zbq^AKH+iszNh6QyP4@@BRp zV^jgwnn6IXqUBY#{lG_e?Dya#jy}UEW%TEpU$;25aKt%R&E8{q372)Z0k`rwF^JD< ziUoOim`EkZyZlH$jVyPgcqbN{MjakqHw6glJSMqozYXu6KYRGRU_HDhoS4RoP7Q^7 z=k3^FE^f0J&@xg%Ws=%}9|Cl`xO*@hVt)LGxP`={b!O&BABn5AHnJm+VUQw=fEoFr zHg8SvpPPT2SMy&oN0UIuZsk0+bvthc+F|x`b^5-}d&lIshy>L>(JAWpkpCSp?V0Hd z->@(eyQindwU5b2>K~BzS-6(u@oHmGQ95E!m3V+?5Qs)&NJixTY4?(5MxLP}i|q>< zx)~}!ge~kbZIdx8 zHzOA=#ZDjc?>(oVe_Fih*Y`<~Un?D_!iYN(3+GNE4D+*+y^O`3v5M6Ge?qmu^avo{ zn?h6@n|KDqsf&lzvj`U^Ba>7{0WHmfB*UHIi~6vI<+k5o;~ywRH*$dPzQT&TC%+N$ z29lFmgK#v|CxBMETHGR~j%ND^d6*)!=5>ky4`!E{AjxRd0F*&ke_#@q0-abvTQuUn z=n+Ib4%$Dh#0am;zY@oBh_8eo{gFrjt%5)of1)!8lPRcyT=*yoFAzA>$q#Uq5fXD($h%E{YI=~bGau{TR6pX{Qg@+Wv1V9L( z6pm>vLkL;GM^QU3Gn;>}fW8FnC&(oSsLYC=MOQ95c6rH6L&C}XWMwRJXdVU5aC{X1_f72OA zQknMHu;fF9L?H%{2?HgAh~fZ3M92Y(BvC@uSSkzU_hV@%$uq1%-!-KF7RHAPD(pQ{ zZA#6N`@+wq9buR%h!{JViL5O>C^GKsGYY+M?V;2X;Afat4i8xY@FHK>6b%I(GAv3s z-vcaONpcPoG+`$BvW1b~CqaK5Pp+>^BVXk=1l_lc0bB+%sWOw~@FOmB3Mm}HPM}ec zhafJy*&_kIvmyYrR2&;jIHBZSyFs}t(*v+V4F#a5!-~@uZ@!8G zB}DPuio^);mo4m5F^q{*6^5HfPLR$W(xCA-F$$I>2a+TMKllh1q8K+Lg{cZh{Q-}c zmYfz4R)$58B4;8??ut`|5P28ES;@#JfYQ34t1bgVOi8g4q76YM<8;SO1IoVCLY94L zL<}~hf!AXoRz44sY(OQMmk(3@^bY~_w69<%SeXOJtJ?8JsIIrpBRCX6^EjLbTwv3k zJpkx&-eO*`Zoomnn;C!<%ghMD2(o~VZeJeQ0}$8362a=Gf-lGw*TxFvhMS%yl*Xfv z0-Ctx8}zO^k4O_AEnfX%h6e*Yv$Ht}PgUpnqXziMVRMNbEhhQ12K{BJnvu~bfX@x@ zmf_o;uuYc3| z6{v{;*Aj@D$%Pzb0`{0p0QC|;i&&y50wE&&0KvR9Rd&OAVQiHT;<_5M2(n?!OvD2>bl5sFU%|*Gp?yB$@*cWt#^w$Oi5MbdJoOLE8YQT{XIpmLATH~`8zjmBh zbR}&f@u*`p!w$^p=CTjHGhK31f+}CC>!ssxRHa!V{S}BLqlx#^VH0j_mo@ySnV`jc z({MCaf>}S$tB{JeISdGLMwP{*t+^t{i;YtAd_#aCroJ}i07!*X76?hw5T_%6p1xs2 z;E2+ZBMzgZI(XsLC**j!ODdLlqu(@3_(V^_u$JgE)S?Dj3`Br!a8D-=!umZgCv(x0s_Iz zWB?!G$#p^;G^v(Zf_jCAQ;6{}j37yadUx_e`=Kz+*_0J`iVjc$P!=}_2<89;YEh6JiG z@C^l$6<>&H7Wth>!_zcx71Pikg~u=#1vAM}$yyT2qQR+X15|>0OgR%EkQ3e%PDCVQ zC|Y?Z5V{Tc^-Zk_AwG~H|`#o6{rgHibjGp#9pYk)RC7rub zY7FS~Dd_5;C8}=Tp@k$SM8_BzM(O0G=2t%A?+ap4{r(CF;%eH#H!hh-tdsK#zux z?`JL(K&}N|x|@pRcNuthl$1cy_`bH)v+#=%;J~vLqdxfs);9XEP&Ku}E?@~O(6Jk< zuQ#K|KPvTb=VS;F+hK+OA`T&s1%O(E6LgJ$5w%lIjPu} zoegdFV4E8ggOjU+caQD$=cpa-J=G_#bO$`0o;~)*^zri}DDKU*J@fAm!so{b@+SmpM%>Znp5(IZZ*|5@$#Ix({nD-3 zpU}s-#e zX#c03etqF%TP~Dwj{i4NX^^nslhqK9hz0(aIs5MQ82+ zBUZ0-qE&Wem3x)nL5s_`!x`IbnI=&Be+oG=P2LRazgk>6x1L@Ovo3NXt9+~ceAG>J zzoe_l_nQ5Q#CdI3eo2-d*Pt1X2xH{Fq4h43zYXR&$2@T{D4q4qn|OwIWXgW}JPP++pm3_V72q;@NHcj0TxJ^Wd$tff9T_{v_zq+i0F3CApE06up5G zQ?NZKuK$QC(@Q^mvBi#Dn`YtYwahVbf{=#unDXHObX#w6R!iKY&u1CcGEhj_d3?#% z3s04;b0jN$9JSv{T+)O(RhRXW*VUKhlEX$l3zNj@;*2*PUme*8&Q#9c0>agqZ zUVnUPMZR-IJvrr=S$tWakM1l-%Bo^$00HI4l5&~Ke}_t$%6|jfY~8~Jsp7FxZvu`< z8k-!oCBE{m<$CSlxcKsgEd|ChomEFtrR)`ZrYSs?w)!Koqf%7<-dKC+9 zG%DK2{AJgw#r6E&$_29{0=jmC0x3A<=I9yb=qu*vJRUMUs-VxQv?KL`yQK7Rjyn=l z!DF`+M9ycN>X&lIeQa+Y8pJYxaNcr5NjY^UHcDDv+rlOR_K>%-=ob^XKpMKqHHJ~8 zm_g+`btCQ($7TAT8>=Qy=w}4Wb3={qGlkXhr#LNT@$)_xR;GHbuH&i=(xc&UqmH?w z>bB7fDCj9B8nK8Q<3Aj-b?w_%?+PIFFte_$?PSLqUzpHH#m8<*rg6`9O9o*Rj zNG+9Md}I|2v{|6xwI+jVyN8>E6zl%-vq!~@PwjymTA!&?6!%+j zPD?a}lMgA>1o}}xg$TZZ&a1o8ZM{z<%^PussyAq!zCBn_hzX)G0Ip-AUFk;Oi*SN8 ziQfsq;MCmsj#Tr)`Y7EIPvYC5?}twK{>e$|2YT$u1Q&+&Qo1K!#IwUHC2c3vL*EV0 z-w3hEb*MJ$fwpyn_IB%NGCM~g#|LvQTkLHkWiHUSCGxAkP1s_PX4e`|4q5T zy?n6bw4@X1es;4yQu&a3QF)tNLuH$L5fn63K@=1;)IbyzG}O0qhAxL$L5IgGALa}( zo?XavEDhym`bOKGxagGp(YS~=43)OTnL!z;nhmL!UYSyr60lr())~Xp6<|oUFSlpz z=3c+p{KEKllRnk*`sCw7_y_07q@STizr&WM@;)&e+o^1#L_5dI%c{ZaLVxHfF-5By~z1Z1o`;X9lF$H;(Q5 zOcI@MS03_8^>S`}C1L9n?b#3dlb+Rw*8hUj_BCP>FXo?SgH-|gX2B5<ur%hE%J;yT_gbxpcgS-5? z3WJVZStYv<+mE4&ySk?xsyLwnZ;f0uu#7Ft)J`WcF}>w&y5B*2%Hlrx5|Dy!iM5p| z=$71L^V4iA%d_@;^U@u$6nArs6RBY{mQ0t}i{Kyk@fqvAIp@hl$Pq*5&1tyt!az-# zH14_4lGWsogdH_!HhQ9?pv&nG+OJb*&uNI@mkEz)cc*U6@43FW#R9F*5ZGYc41Kf2 ziX8VW5#BI%q1j6%RSe#zy@wlRR3FmZ@OEMNT_3owp4Zk(LZlJ!q|Rq%1)0Oy_7%p1_1W#{;x=^Y z9F2wDLGM<$Np+TzTh?+Kjz3v&?zfv|S2iw6T)NdDf)10E2^XETL8#JFApx5L2tm)+ub}e*)h)3B@quG)88rW!Ikw1jc!>UL3|i#6j}H}ma}g-K`1u!md((so zPO9bmJivx&Px%P%fE_sV7x`|rH#qtxHsESsU<%B%lI&v~NoR~~k~JE6cd2fun%uLi;j20BsU;4W z;jXEgu(Oe3?NwZ<6#_ai_{Ik-twXObciAQ$6qD&~eH*YlaZZ$DieK*?UNcH%xW}(F z&SbgA!%!0?Kv*SFu4N`HF;U{ZYukPq8~GI_0NWRh8(QOw+GLA2VA&+F+V_SRT7!%E z)me5xEm5Gd|4l8_F<83@uX1pCD&IKhQfZ=kN4uv0&$Ul2^1aMc(r+X!sZTwY?eg{7 zVv@x`>;N?5E>k#W!>9dK?8Fyd@b@r!2|by%#tEnCQ}$&5q=by=mtxz|a5kwxchL2ZZh z2{XaqETc{cv&NoMV~Trc?%yLe7MxB8k!6>^3?;21Onyvv%Gg#=lEwCEVSlx?eq3F+ zYO36}*XiAC4Cy>a@Lk0|KaFxPU_FRlC|$(4=PBsSnl|7{1v33*RvJYrIG<%Gkr16r z;sp<{@go}LdA}ncmc~@uU)o>J9&;=_OU{#gEh8#LcOuY34xWf6tHHBhv~1Tq zS~7U8ds@K!rl)vr(u)6Uadz_7@>!metZI{|rASqEAVT>@te+I$FI|itiBvdi_2uP! zgq?OB>vx95;>F#gE1$aduQy89pw%xt0yJNX1P*ard{E8{O4$jJlC7h9*)AqEgl!_T zv(oV**(ixg1V;M^oqLI8QHe;Rg|9T)4Z%fyj;VZJD+PP1ph#{sj5r~Ym$QM;(vYjD z0sNv@BfNBA@fsg#MMd|Ldp&^2?)#6|GX93&M96{x`q-;@0?MjH#C)M)?M{-f#3a>t zopW$u?HZE)#3YyKwYgzi$u*e7zL6mHD+43Z&B*m=D?~R(Xdf4eo0*OC&`px$&;?Pz zF2pLHGW&btapKE>zvX7}65Z$%uLr#swnY@6aj^X1BNBo@eyDZq!>Ef7;lb78pij0y zhi1*2RsZV_gx$vN&i{~*xpu2`I`$ylI!fJWwLYh>|ISn;br+O*aGVN&wpmM|9 z9)X@ctQccN-1nj?bUkBOOM~LWjfM=rmyf`ZfNWPCTIX_VSBxAqS5)NE{DcDUP zT;-bh6W!~`^#@CSlc#J#_uBR2F{7DWm}5o8!zx!+wS66Wb8>Z4d54pY&rhcgF42!@ zM`Ql8+q>5tzGDLL3igI$sMhGAswQzH`2#Bd0W=(1JK!LwaRO+YuLLc251hjA_1dHAJ0H8W$qlJFQtUl5 z?b1XtWgkW18M}y9VIe+@9$)=3;gTlbOhxtP#BQGy<(XzoNxIt_yjy(Vhib5ITxaS8 zfsvlfm5<3IHk(9^bDAeYQE`Zw{(}G)G05C)p`gtFip`SmHx6L(imFTvq301@UT{wq z*=aQF&e$VT%NuIgo%sTbs&qE4l~=xvS*eQ1)m?Xz88Q_KrV;HO*Q1fuscOx!z$QAT z*Hg=mb(<-dPSpgC_Nfk-%~0>AluaeV>

acbjScnMrqEf zx^q=oLTx2w7v`8UV?Wd`0K2VuC2;exl0z$kV;Z3J;j0mkF;|4>X~LST!I+D|aGk>o zox?XuAzBe)gB&paQA~)raT_YTOzS)Ny|ZRXAGiaHhN;AD{1q=)#FZd3cZrF|SWma*H8h&yET$p0r!_QgU~HFMoh2(6 zeC2uU+WT`MrFzR9UR|1%GIY#Y0Yi=(1N+Ap`>DRws**$boNBHIV<-BH$SMZj={zjU z&Jm(I%*tdN4xY8vi-IZ!U;8XK$hNR07v|yg8u(<6+U*W~4R_SIepvX)?5hvD+Hs@y z!BNefm7?Y4-Q1=gJCuujR7hEdpPqu1DHmnEkjlw~)uY}XN4nNZw7%PW71F5z5JHH^ zQncNAcvckv{-NjQ3r3BQwHderAaZtxN(m+*_Iai#{`1T(*5{cIM!-x&=Q_D83=!rz zsf$l?n9IK&xBaL+jk3)B#w3Ml+iE83Kkic+R|mZFbdFabJP;Bf-#(lc7f$bppM_uM zc-j_{x$pMw%TLDpRbX8(w8b16Wdrw~?f; zob4MO8vkuvBep`wSTwXUxtZ;5@O)&Z#WQNucm|UuAv*xz4D#*Ihk?(PsH5&jiYCTl zM!ciu-)1N4#3XShNa#jD;|Gl>!#pn?83HiS-QO?@A-CAjbxr`or0c0ZWL5o&;SKC( z!#foDs0XaJa9*gKeczoiX2{%5(U?#8x7}&eJxH7d8wa_(ctG=>@qLmHoS zzeR@jO8!T5@{(_Aoia9#1C3u2Pr(X}Ur*eSf14YI3V3fl3|;ISXLX+!{Ye8N#L zR|wY}*P(Po%`8m_)09`EOk>oMD>lPbFSiVD6E-1>z}meE_92junt=XhN>6#O-E#1ZrZhvAqSqa17#WX~vAPn5kQT}zSi49c z+Mwo^kJ4qIMBd#T{dhz;9)c#*ayvhekGDJ-%T5y~H2X=7rJpIA&P3Nl!-Ca@)}QYUuhrSUxt$WGcPwNITY*%-yn zG`E)B!W}JrNko@M;onH6N47ZxOi=I4nl==$nEF9RpD|G+&0ODaO%z3C!;g7{S;$W& zA?0<@XJIm>PL|-x`<6)P%~;%ggvV0QGj6&k6PqNcPvw$fJ^?w{2eA>55qB8$doewD z!WL*>xWJ+_0cYp)3^IXJpuYCi`~ zOSNhq*2MZcZ1KA%v=&LetKenAY|v!cu7P*-qsUR`^B*KJz(=b!gFz22O8WXL6_tpN($r0A zR8$%!N<154?ngNeIt8u%_{6@T+i9-6OXZ0+2*DL*R9g;aw2&6+3@)|n+jL4>r`}|> zyTrO#rr%|11T!nv99MRmyDZ3_&FZ;M)|y{nxWrFiVb4p_66MR|pvh+X-GuS8$h<)- z4HmFsVDBXp-Mte6ghvr_Np~3aec0F`;#LgWv(2; zjoizWzX3h2wA=A0x4~lvUBsu#8sH^O03NKWH zZf%9+|F4n6sDst!EDr-T(VMeT6hpLGny1UX$UHKpT*Z7`LC@LG(qrny5@TVkhr3)O z!%-iT>roGe=5H-qV9@x5=Iq7@3o_7gD{nZ9;@NL^>d{kp6j9LwGOs5B9-h8E?P0zW zxUApM@cVQ0e~}ud(9wdOM*gTNa(VYf-1b+Y1(K2d5eUjZ?UC)8a_h_$HJr z-sZE#Zt52#94KYFcDv(1{!9toGjTG}QlREd)wfaYt}pj~R7pCaxSsQ~eBPFHmrL)| z*7moWxaRTzov!5nf&Bo~;Cwgq;B|O-in|ZLtFMquUijcQiaTu*{5s7x!+|HXO{_v{ zW^nqKtPlsoy8)9dbrR9f?k;}$C?BtTJc~`crR6|>(LwtAy1J8K*BMk?`7{@qa!&b? z^p<^>pBnEIFx32Q=)9C=w4Gs3N?wh(1WPI5J2x2OKElZH=X01bSb%g12#JLVvh3ya zK>~#TLI{~&b#Bdgk4?h+gky~|rS{Dt&8!`{2m1P3iHhS#(a*t}b=SL#9q+)Ji{uWM zgw~T8v(q{t%e269$+TQ@dM@{m>bZb_N`5<}S%B9&uuAlBgv)BOOnhSihv=2gB6!Jk zYWAs=Q^uFkJf-;#|K#){v<8mIU$;LrVMcO?_RROzzGZv4b%gvT`>U((fVNx4+aDt9 zAzv(h49*`MKVF~8b8YmJki9L~d*x(DGHYqovYvZ+a4*ij(cI^M{uUyD{54d;*8GC3 zsY@&#*D?*h(O`r*j48bUfetaUOc;l`6am+BlX99FCO{^M?TZ;ZV=Ggq`R)U)J>u!S@%g z4l)WEaH$0N@2ou4E$*A#w3fMy0bT#}N59K%q&T#Vz3qXiWZ)MybYk?1KcKEDd1?+CL$ zJPR*Ws7buZdp zLb$gJoDI@%oU*AMNmy=`Tu|eBl>7EoVClf;k2ke}(9r-UFUq81!RyF=#BQVb+FCR9 z@9J-zYA4(l1&@xa11LLV=X=I#E&eMS=-J($S>#?=`I@c+)x8i|oUQ}gz0eM52;E)Z zVz_fM1S?zBt*~;2O8*P|`)|qM_Ipzo$ui=+8bX1(w>Mi(Uol-u%P_(ghAf zB5Y;9%K=`!ynRgF1E)y*hlFB-98w>AdpdeETP!eg^g+tfaC|38yB6vayA+l(O|eoK z%_MvT!Wsq*18qN?6n+$9OhIrXdD_=8Jui!6VgyZag!r!YO*Qpv`zLTcKjs?TR?T)N zZmzwmv~Zx|wwcrkmyGt@3kg&r1=(|0g6#V&Po_O-L|0?qVd*DB8j;s3Q?*yh3u4JW zR7LLnfiPSAbbWBLa{$jxcnC79!13M)9ZwOt(Dz-$?#9i!q>U|8dI30su3#m@qjx+;C? zayp_ti~#O72d=Nb4};v>?&d1iofldckRU%pN8Pzsk_bR%t*UnqySG76Uij;44x z(+}0@B@bARx@YLeUi=LG6rQ`zWk5G9Ycp)jA@)m zzS)q}1|bcole?`+wQ{O0@AZxbrruk<_Uo+KNK7rZhVhoK;TWK?p`mVk81kc(dZRkh+FD7swLoPuepAw8wq;{DJ_x zVa&T>9;j@ov!u1yV=GLUV@ysWO}_myIrwFgPT$XL9#W6`j{;>MS|Z_@hCjK>p1Ekp z4i^8Rue@f&qFdCM4WAMVAIFz-I69=`&-cf}NUlU(v@UAokFdF+gben^UhA zlN#FC#{5j8; z3K~7&wvJI@e<$(`gWELL!BHo`c zdY91mjl&&hz;9r@0O-d7iS`2h3}2uhzsR$F=-hfpLFQLDD4mT!_5%IQu^wCir#*cL{;^%eGS<``8fu*+|URweyQwR;O1Q zSAWZ62H!8)Rq|wu#u`96G=^Hz6Uh%6EF1tFND~{0RqE@CK{94v;h`il<`Q9xCP^A0 z6lZl)yC^E%FFsKP;3NTNHjyE7Mqzi2k|)-VorEmt#lUySFz5s#CRf4V-3sx_MCokb z|Gfh#yKe$1l`^Uw4b3l{bczQLK)tjV>Sy%A`fvf(_r?&r{*^A)GxfuJ5ft|^o;e%G z5QMcfz`FXs19;Au038q%iQj3YOSQC;_L2ckbJX7V9=q34vCo zTuCwsA3{Y;hxzeB{We~xpD{rFz~*$%)UQtRnfAE^h0ydP#XzpK0_Gz2mcDxiy(>bO z3s(Owfcs)Xr*)#L=eu(i2y|J=($xc3L>k2Yr$X;({-+quc zbBnlmFZ^J`=%L4>yjfeekSW2qSehtr1UQC0NG^7L!U3;7smB1eVY>t)Ze^l83)9d( zHZCsiIVj?Yq>hC zZStM*5Pij zD!;YimHoYf;_=ERQ}N1Ba`3$C;`(EmS1Vg(n9xv-QX3eG%<=P12BSj8bFkXImdsEp zIRMV1#|yL6C>IVPw$T@k!N|2MtRh<+v%gzm7@S3hcsh(7b!%59GnOs3KmcFu z(WUgMC!fikX@2jb{@E^U5FT~(;;9NefrmFNh zMJkU$>Co6AR=G>oov)1BozR&DoK5&ERsjZBuJAeO6JQbw+*C`_6}4615|-l1gT2G= z+-N(BCA^Fl?0$eh`gM_#3Tia#MJE>9LVAffuR*V-CimCFd)iIVMxbCtr)KP^JW51L zALpp~BCyngO5+=1<8CTCm^}_)2T4Nf$!7?TA4NT>^#I{R7Xg!^asdJO1rrWtKUV*l zmBMh`&}G^A?)+o~H^})ODx{MTcN7j~JOrJZ4>yVOQ-`Q=5=i^4@#3kad^)7rY?rWQ zDEN)s3JGR|3MQmkB5m+ZAmcD}RCM~4Yx}gJP^tPlV<#?k2nl2ZE*4}%S#)qGBu#Dm z^{X>SJU6C1j8=kg#=^yvv9Vz{AX?T9OzO2*K#z(gygnunmdY(^{5f=!7#&fH6+Vdh zjZB16IaV!skijHg4{5SCGU1`ic_hEh(Chd$O0c|nhcHTwKb#H{^wG}EPu?*W%2%y7 z%>3mBf4PJin~$Du=6`@cB8xjl9{7A|`4R*k7g`pTV~&EtS}O|f zD&ZP68q}^`OtzRC6CRWe6iorH{Y;p70&Oc3U=mg|8}RWC(}gMWBD%mDO}{4@QrXMc z`v#79H$LT%P{t4M@*}dmD6H)l_4g=hMGm^5YGN)pssS`Fb)t--lNCD3K!L!Gb)q_r z)l~4QhNp4PTw6DbRYGXnFYI;Km3C)|zdfcmxa~Zz+)jsR$slZqfIkZ<%s$i=9eDzc{(fEcqbA|IRK_BAdm96?5i#5c1kB+K zPuBwr3s{{#NBKf~WVr=*NV*BFB$eQ>Jwobq32;<+Qhj}B(pbsYF~A1|=b~_avq_?i;rI`zR_SiX~!&`$mBHEe-0~3lcm-z_}I^ z3j=m@3t~2NFH%r0?z>4bi+E#+fM@RajQ;@c_l*CX->nv6_s+3Cb3Xw8@#@(H^>3ny z9ohn3C9d$pgD{TcZjH{26>?yJ-%s({H>{;}_B>vX&0B*kWlAk#!T~+`Vp}!b^S>r` zE^h^tuUf#EMpjl6Q-nc**`oINO9){zFTe{+WZakXdI?&5=Z zgyIf$WMf;YqOw*8;nA(}kMk{Mugi*ES&-9ES`;u*ro<+0RE5Fd6B>q1hF-$qo#wa- zFlC1r<(5^$ZRxJL*DSn$5Xl)6L%*{(bTxbT;qf7DAgwb9Lr+tCl%?X-bF8@nqzz$)ZYQP5kg5S^yxPU+9TWZ|G(g7>e zumm2HSm8q!>^06lDsiE?<1#TwK%TAx$-Qt5z<(I-g+c)L!*egp5vRL{6{kyqI<$a` zJ*DE({jW8^XA($8FIyKXa|Aemle`dl_cwZ0up;uik2v`>m4Qj&npK174WY3P@lOd*7=ty|Eba6?9j)a`Rg@<# zhZ<3Cd!E_lHzYqrX z!xpK)%?R`QVW;|G8~R~F;8L1_szZ9f{sSbyas+Mu9r4ZYCyr^;nBcY_--^jIZg>ZT zTGFmvO5-j(1B3h?52l1($zX~(56jistLrx~*M^s1y( zCN+Q3_;&oAPuVU*tD2?r8uq?>QE>4d`XR1f^AGE>F#DPoVLDa3F;^6v10D-hB_DL3 zxgUW4-f0(IE+L&uJM4_F#=GqC^zSI-M6>8Mk3A?Q=KS| z@qXrh&-gEZD85)fY&kibW zp9S#Wj!n%XLxoqAGw~nUqRVD(q99=7SD!It(Ip%YQG#00B_q!Oz7`i%^&mhi+lk`X zs%H87n<_@V`(`v$XD1b{PD+P!2q&it*Z8=G$?MJ2Rc69nBngq2|WhA{%Glsv`iO-?c? zIszk9x++)fZv-*v{KuN^W}zC(vwv|v0RNFr=@L&#ab-taabr(eTUY{*FV5fY(5C8S z-%`htHx)@-1;ETLPG$LpoSs5-rNDn4VJ0fHQhf_K&}L=#2(*|Djqpf{S_F=@`zEW3 z2$suJTxtag3yNGmx6m+DgIHF_E ze}rr^&RH+Wj|Z(a@0kI5;=naZMJ%fWsE`AWU%1pZrY2z(B{*RzU3@7xSXNYNw!tJ< zc<`;s67uX1zyF7{XrOfpfYqn(%RhB6$A4oBb?84&D%%pIQ?os|#rG)GaH1unI z%z?y@G0*m28tXcVHC)sEnjpP^@r>76`qE;bYoij~xTVX7T{2}$;=fCO^_O{Mh_=kU zm0NOUBWBF4)pBb#Nrjqk*jvQe*MgqKKXb7tH(yD$aWTPCduqZTkgTFS(nq}B!i#{{ zmzfm6^%8?NlUOs@sHBSYt3k(}IMDHAIlGWU?VZ4iM6~p@fy6l=-yP_#?;fW z&lRE>_e|h1q1L9EH?wVV+7Z{&xLM&mfO1Bv-qVd?F+zW3ZrtzFTyjW66pGB6$+ItB zUqzI(3XxRb@c+K`2l@1D{pJ1L`kVd_>#y@4)?W=^{qa0)cRpKxgQ#_(-k?xw6Zp%o zjQ_3l_cp>rL<;i+`B|-N`(3OU)f1#gwUR)#TL+I_6q)D*d9}BNrolnz7d5DvNdQb{ zZQEoIJvPy5+vXVcNRMf*i}Z$l3_%(kmFx;JgpJ}UiS$NwO`~0E2YkQ0O78ll7vC=% z@cnFu&|ZAMMH0go-%s(y_mh6{{pJAQ@0%9j``x_weu^)?-yed2BqK!r^17yLAWZE% zb4o#`vGZX~T=-w1pJoAe^K;q+{<-t|RzMB;A02*1cw~xfsTO%a_KUV}t0lldce@J((W?{f}>2g&DB~kpBXr z{u2L^pFKZCj%WCX_zeGk9>MxykvJpu$HOqaa+IrkwUY3XMV5KaBHsa7WOgzk00er6 zkRkya9sL8F8BtpmrLPATz>^P4g`KaHB)8*I5bz>Hy|r^Y%Lv!CMjoi1tcF{ll^>&8q=NYX#Qu? z*d$*ROPxVFT(iQEGA%|+t~ed{-&8+V^vuYQVe1C7xGx|V^mYX56=HIJ~EV8DRJhEnl60-0Lcw0LCWYM4qH!^}%el>NrK34h8 zR7!kbDSBPVjw51`z?28vMr7VVmA=7wfp``Izqmat>osQS5@s>)Zq;hp!;OxyzQTn3 zk}Te~EX(kDFzhv8@2(kh$bSgV;X91U`=|G(L-fryF0Oft5uY{x-;%`*#4apJ6VYRjkG5;hk7?f|euYgYf8W16 za`m^``!=Y|e`RHC5gnRn!%6vRcVd>EvF5lnxIECf#e!7@Axn)lmI>Er#lffUfYYEE z$P}0TY|{vMJA>PicI5J)OHKX?RgY}9<(4HOOg3^XuqEsi_Ekr1u$1V`RuEePl`Yw; zWz1v-4p~O`?}ZjJRjQmh+Vn?RNR}cgvyo(S%$7d0n|?pp|1CxAZGY$pyH<hU>52 zKY}YyMuDY%YL8d4!d6T-cpoy>0LOQa{fKquj!BHBu`5pQ`8I>V?aQv*}O~QhXD45 z`o~Vz@67>wpG7v~(elc_R7s7hHwSl;wVl4Vmmk|+f$nU!#Fm7MmKvY9DD`(3EWD** z7YR$(0c*&v4$Jsz8KrkzhnU9quOz$!-TISvCRI^Tzh(|Y06kkVK!CVP;qskw$^Z8K z{{QOx$uC*q5_Qn)RcO*@eTFsi|JFvpp~=~@lk45lGnw88`A*iCMPTpx>Z4hjvDE@* ziKy_MX+uQ$&PQ~&z;^VvTZTcxA+P8}Tl{R##Yj`Dq^xcZF89(Fu_C)xWE$s0QpC{7 zXIz(dL){ITw-=zt%$kB1%5-1b#xqkm1YHdcJ)Ay>#n`~1uhfZVF80q1?ui}DG;Z8S zwfZ<_%#R%V1U_Md? zWhm%w^&3dIhYhc&3u)BFO&Aj}Ys3t~XR|uaq)@tVF4u5ZwIW1wICJ76titV+;iZ$R zp0$6JDCeA}*OEC}nQIY_4`RH)q>5oX{W?81ic}N4kt(%{msoZLrJP<#RRDoF0;s>? zAWURxb8~p=6s55gfc5=T`tu35xc@=Omi0(cs|p23fBgD8K0 z_|nhbiFBD%MK-Ru1MCkO{K=tzw8aEG%4-Zh6C@B|n$8RwCFu@(qBvehX_%g(NNGXg zw3(pTnQoA#3oJHz4g&+3VEcxp-yz+kfu9#&hoUoiUtIdouMZj3=1<}Me7buGHBK>9 zR#~wFntki|T4=Z845C1xf#0(=?~h`)0wI`#fyTapG=+V{+**Ro>{4$E zp#{+iQ8O3m`bsfwpP zA@J*%sJz6esE!0JK4qsKA|fki8$uTR9xC$}zI|71ZrDzKH3QV1`j#WZa_CDx-jpD+ zlhwAbV3eQxu37H*mG4a&ZHscyB7aS-xdp|aZ6f}`#Tqz&9!EhWUSqG()#$-ihw&?kIu*0kA8-vBu^1@v#T9jcP=Q2-LqjBR#NyEpF*i# z3(`XY4Z9D70CvK24K^^k@_7w28sTC~q4o}ua{Lvg6eLX;N_H5AbVgz|X&x8WdD+_g zU%qzaoc&*(|(VzVJP!*&7`ou)wZ`wJV}i@ut7#LjJ}uPRuJcvR=bS;FhZFj(aH8`d-L^9`3yNknHKi*7z*B+v{l{TqrU&G?f0w#3aiGOz{kx2s$Z4^gy8v6 z<0O)A>JWNtzF48Vetlfq0qmk!8ldA4`3DRw2ixowK!5r{{d%IUhcrvHgZqG=G_?$* zARs*VU5g@cw~69YvQ63uWYq-$L|h-_z{jq-JqSVypEsojb9ysV4P0DSk1OH_-h;n+ z%ROMCl(zWs=*-rh53{WyDX0}uBMHccpr`=wsJ!4a`KvDvO=2klC84%~Hdbqf==?4f zB@{S69Pcf@dF7?`Ms^pW;MTcoYa3FEmHPEpP9yA#zXg8(CjEI+7~%~xu0g9Pj@Rj7 zo-&#ha`ThI;wo!{QAKNj1n^&(Us<(q4Tgyv9^Rw*0|gJRnplk`O>YxE;{16C71o^} zm=VngmC_(P%#vn=wPu9QW&|&gib9y)teU6}|Grze24Kxo(E>IP#THOS?DN0G^3zWbazdr8M`&8{p>|ha3#Q;x5 zyOVYBjc-2O&*S@^f*(sx^CYIm7lJ<_R+N71ofIpPr;h7fRhiwEa}!oMz)&413}$@6 z1iL#@K->b=@a~?z4ac^aVne*{1fo`5u+hV(pHdj}>%K{WW|1MnhgD1=SN?=;i(7;pmq{y% z*T*-??D5-iPglw8RpV~Pei=w>B;5nsg$!o!VjDErrd;N{Vo`NyK+BZbi&gu%#~8!1 z;q61>a0z}hZ=K5>2mZlLqRQ$a|l~n6_FLQik!=0Zmvv*{}8ShG{ zpf&hLRyT{Abz5c+O8NUb9-6o#VukO8*AkW{UEiACRJKX?v)T9WtnZ)F-y2+1>09S# zGUzf%`VSBApG&7`j;c195U98nU2^jc%o|o}Xr5#2@cvGa*|W3Z{taw=<6oPDYRgSl zB(tYw8^%^)C8Zy!RdngbL-ba+$R)%^E9o-C1~=?7)@Cu|l7WXP!*SNdRH(y!ITO-a z7(y8gxdT&QhAmEO5JL2rc#{5Mg5#G6;kgS~oL9zJLG|yVU(0jI_Zj?oTjYb_8^^rK zazNGUe|%2*R$of-6KPp0g^ztnaQ581fvt}M{kcX=*Q%tyl`B(}`XwdB$dvJ=%?tO4jP}e7goE|TnRc>WXl0qP$Y&zm^Z;hV%{Z9-wIDtgmk z4Gtx>@WHsO5_+3BYK+da>bEB(g0-M)uicxZnlP;EXpq!0PbFQRY+@g3(()%{^*1s& z5aZw`qA)ecT^aZ|?x4GjZDZyfF1&$=Ga)+{EuR%*B)X-ptKJlREl(nUUrMU!c%_zW5UUVN%lA;@iorG&G*l{V=qMOfK+BKd4;GBdApV)B4&#Wxuq$N!8{J#* z?8)!i^;%?_M-;wDDmrYm;nQ!pkC5M)KA@_;C2|OU%C>=Cz}F!D&@=u8;&y)-uB>)~ z_jNavb+D3$7adds1pkheLURdlvtXaZUdkwIW4rar_xu|B-xh8ko(_DyJ?3_lwT0w8 zI*MUE4m^HFYMj|pH=t*?b88GHX_FRD^p@b`fcUtmq-QoM#DUHG{l*+hsxDU;`v zu^5ceR|?T{WtdOC9+@8|e(ZP|0fT6@8UZKi=J?h4t9YcK!|~Pv@6GHvx=1pTj*LGM zw-di_`o`{a{YS=`DkX%hP# zoV4-1wusd{k#l_dO_j25!}fx2z=CeTn5y~h?NQV)I;x8FK5IlG7!tVLN1keJU%^U& z2N|_LtX8!`_EBKp&(~!0V#3)|E_cxv7#t1E7Ugrh+q7+Vi@OXrNNR+(vqz8a%4J^= zrvCX*(hz@2t2dvVE$+ND>`Y_shA<7j)QjUr>gnghQqK=H4|>tUnqG`K;96!C1TM2X zJJTtUlCLvyBf@W(oK8F~L|KiWN_>m@h%z5qx4y0VD=#+0Q&cm^tA?V0c#e(itDB_n za42I@al`^NEf3W=g>m&+za)7Jc4Xhwghx1v$I7N4+x8Uv(^C z!7H1iLkqLDc9mKw`l+^76>;uhBgI#Jth87r_}GYbvC%NP0eKLenR3BeODeU6sb8tO zwAf%9zZaWmcjHV}WQ0srX{Q{`AM1$@XkgvmJdqu6SS!=~RH?n}jH7FhsKuizETB`G zWWrOM{=(eP2qqvMk#oEz*ZqAfFMq&Bi#QP^_jpXEpi!Gv1fwe;AN=o49>J|7k;uyT zy6>y7@TN2^@YW)kX>DB!;&5HUvXW!#s-kE7Sq3+?xV=uD#;5j1iJE() zDhog=D{-27SRe7qWWGK4xPjf&Iq$iaf5Ou6OSV(i=AOet&ok(**OqVTtb4ajUd>(a zWJMukIDY2FN}v;`uob+zkJUZwh*r4!3tzh4a+P0@yc2b%<-14TYI<)?qljRiKzQL{ z!-`KN>qKr2j9XCvle=y3a1F!v*`rf3ptUM)ZjW4lUpKpX`HCi%0{d7{uB$=n#5?@`v z7n-O4rPy>|UDYU}-o=eqe5)GqD0V??Bq8S~DJxsT%#||Logk4}b1!r3HJYINtP*;f zmM?twd7;;UA-Z&{H$}%Vv?Mx-ABDv#FkBrlW?koL*ZKQ^vpc_%wMsKNPt}w8PHUYd z3%24=vynB)1DC|DX}i6ss}y>-$|W=V@qC7a%R0QBK$ZdEdiZx146={DN@22@OH{yr z%meyirYr+iY0ChK^i5E%7nP1$*npVrmQEY47t@UAYIOhH2$T{CkP7 z6eiX25v;j#H{3sJ8=rScE1hQ?DbcD?IWDeRa@Th_Z5pq)NjskRovFV6T>%pZEdMT_ zm6j>GUaU*x_wP!6uOQ5W-)y_WRU??2)nLNkRLT#r{CjVQvVK!d-?8ofge|a4ts2G* z=pi~_8&7Vx?8B~UuaEcFvOYh)3R z)go@`gE+3F=4-)^$mL0T?|_%f#Z3lRy8JFQ;v{~aib|K>Z=2gokY`q01w0jv9c}{N z>VbJ_4Vb#!sGD5rQs;TM8}^+RSG>N%O|1&W2&YmWJ?=OF|8z-j=?7_32eS0%?@NT@ zl{sWEr&o+MVMa|8OnP?TCT8KPg3Gjw)SJ3>8u zXr{|wo_uAp1b;A zyZiY6i6D*^csTg^8~V?_^4V8O@dnz&$>wzbw0jTBnH6}BUO$R&Ug#t}8?q;lr$=kF z@S9Fs5s(??=@$ih;z+H(C->5l6^e*B+w8^;kt72@EcnTXeZc=AuVzo5xBIYe5<~cRKozshl ztAlHM4Q+}ObF{NJS-1#mAF4m!AMeljkXg+f^~2(aFYXl9=^ElJDThw`?j$_WrdHnb zI>g=0f6-q&lyTg%yb;#;Eu1Hc?{$XqGRoNsgu+;mXpKV*lCwrrxXx>)6<}zpb1u7H zF=O;3XjV9_FJ_IrY3PasSws0Abx{U$r5+~-gD9w?UoCh?7uyx{>7odewgHv&GE@?{ z^Igperr=o^mhVmH5JYWB8%XZZ8CLqx3yqYV7XRs5?MYfq=FzuQ8M##@9S^~QqAAY* zVs7pt+*P6D|DfwFz~Ts=ebM0VPH=Y*?iSpg#oZl3Ah;8Jad(G6ki{XmySqbhcX*p~ z-~T>2_k7=U{i>^Hw|BQ@x_WE6tD32NpVt#TQyLnn0JAnLA$Afd#l)h~44InNj0s3& z`~rSL-T7M*)kHi~(&s&D@SD?w<~4?l{5V_O@LoFv0c~T**85G6G-&wiVQXze+2C-> z<|hGnfqh`|2F}^eUsV+MEtYC}WRdmmydDDR!RggSU?rhsgfaxI=I~s^P{Irh$74>i{#om%t<53Y+7O zS#MVF1^ow)j@rLmTQu?%&#@XoM@j`Iq@Y%Au9AiHXI4hse1xPnZkxpnsa#=JPqvdw z>ilTk)mkTm(F@bD=e%lr*fv-$1t){TuBL?Q>gNY;R{V^9bQBTMu?n|vmUyBg&0&>8GQKM|5CT%H%)BJ=C|?} zn#8>=K`^4qswz$GHSvG(d&(jZjS!0{k4W7eMbIrK?A-7}$Pgd5^pA8G)D+kqoV{8_ zAK7>(ip5>63~?-VOMrsH;bT+z2SQ8Y%Cs%k=VFE^RzsEB$lhPF$ZNwm_vW2z+O>NL z7~lvYiIodhiY|Ek?|)0*k^he%%< z6&k^16kN8!<>3pC6D~o;62?!#p=RlHWaiN2jwmG4IY}S@IW-J0mK6qI3Jo5sE-d91p#4#~AWgGdd37vbFWMC+S5f5HC>@ArgS8^~c`K{-+3 z0r2kG{UkC2G=wfJZjO>IGR@LlmTHzRV?GR}%f#chHCElfiV9P;eEgjvSe19~Xg$gIZ|887~R_x@cE$AvSw7I#pF`qd3 z(>K!TG1*gVmsQwtJ7$87_L)Hs%hisF&E&TYKG>ErFb~hjQ9& z5SXf~X(W5PJs*=R$3m6q!3I8UcIC96bJOcSyd}~;FRkGph6(Uoe>gy8;^$>ZnqrtO z=#6Mqvr2PQ>N{+5Zk0o<5$Z?EoLSj2sIRWBJX;DGdl<$|xO+22&w5`pYiXaWOwzqO z@eG`-$W#}~o`E+8PDhTvtUX{hKF{4T#A^Glww@pD+;&ECKxm4JF2z){Uies%7aL^ zPoMWo6k>l!23*P@Em>|jpz|!?MOVo`IfQ>|(1NrdWsXZPy?(#TZ6?mI`-YVt6XN;R z`m^|Fc;-2->F`OmPslO-cGvO^9G9QB$@@yebXl0sI!neqg#F5JY4v*NCG9{N)+pLo z)iy2#Uzn8|O8O(UR<3AV9}jQty`Rt}`jX+{oGpfu`L&e{hAmBd`hG@IV(HU-SCIT{ z>Di}>)c=vWvou#s2^I`WsT$>#B|STNSfr2WU(wCV%d?h9Wh%3SwGS@*<02DYKtqLu zCgFy)&z`Jw>vpUOuSf|;NMK4pj+a78fMPm?PY9-c*m{XwC4P|$bF9-D&(wPAU6Qkf z@_zNMcSni2l1i&h)PxD^O%9RLbIzl)l9an;XN8iC?X7h43jIBJSV|9l<5X=C&D;cv#dlu1w5W+aFo@C3oO(sotrXI5vFrJ?_gC zQjb^ePo=GCSG)Vyk1Sp+VF;UmCT)If6dwMuwy4c8#Si(zX7qpYp*L@@@;|8WSAVH> z{TH_LUhGJG$e%T*Tm1k(0bU$2+O9pF^SX+8^KBk@F|zHt>zYfka}xr66gMr^3;jRy z8I@~hrZ$FaIoM)}C;e6#o??k~EPkL!zF9q)d#P|wC7M0I_#p15d^4ngsVk|h;=$pB zsqFg^JAB}IgSO#Do_lG>bQm?5UKhX@3!Eh{%G0ge)V2e~kC%KLj~jV+q<%Kye+&He z8S3S1{U3v8){PYMU)*OXR&-CZEzLnkv$e1M(V;DxL%%;GxH;7#q9(wUqYv{^n)x7N^@3{7@8jSr@w!@*t4J(~~R z@+2j7^S=q}>fYc(3>=_OO*4aJzcvm38ef-2cf?eJPrpduG@;8*xQ)AR1GK(zT(qq3 z;0NPi`|>@Hhn=_gWfTy1%jzaHoqg{)b_b5&ee%@$UNW?J<3SASqQCZkeBu`-%$k1k zZ+oiFT8SQ_tNu5~Pi}oyx!mWO-a}cW`24tZPUsEjtFDNsT=FNW{L-93 zF#UhCPqD>t12a%&^bSnLF~tpaK<<>80kst!xzF-8`9NH`{{ty%&|POfl^3BFf2JRv zQ66S`15(T=)APOo{}$y^(36I*&u(iz3%z6NMs!IE*M!IWy}j}k8^KLU!tS!le)gAL zd8{nL(R-!7+F_M_x#BJ|Vz-LK^OtRZtn7oTE>dZ@JR=7u${?p6W ze*MqQ*0LBrWTiuZpJzW?n3$i2I`I5)^nmeWg=*U&29gAqi*gDwkbJogM6UzC@RyK5K8xA|S|KcMttSkb)J7UTL2Jw1jgDIteG&Lo!JYAAB=??=KK{&X03c=&juggv=!mJ6JI zWu&i`Y_nW}v)Yd%C4D>)*iSx=(l&SG-~zIl*LoXW+X1yd(8vItAlv z>uc0^i($TZhBHx{M@{SE3DGO0)TXGET!&f7w=)4UohwPDfNCo$NpsD_}FmEs%TZM!IlIw2~%!z4|{$S9X_fN(f+oW z5+(C9%@1`z4C{oN&i3^Xs-`J?ZeESOp|RSoN=YH0B(nl86TXNW_h6ED=^Y?Zfh!HD z(XzL!x|L{x>=W1bi;hXJ4*u&!>|fz$X8O~Ns+k%UIF~|hlS1_KM|?#?xk3UBwz@bZ z<*0l@+uvq@B*1TT5H@uU={DX|kY(v=$<&)6EdRAj{=@6|Bgiau&)~j%=Og|-nx5gK zp9#Y7jL1A=iSm*B9^NlPD2UWFZfo%EdYAkyEoCoBhyRg01=TO2IG9v^Vm9%nVeh9y zq(*}6X2HsMnXTTLuf_}cJj<|}V%3Axy{K9Am2IS9e|5^uJVlq@GiwZryu_cG`1nyn z9c*0OnDkzx=z(IR6uMqa3!MUElR#1=yztQIUbV+x*uqSqX!6^=iEcxhhOVgc6A)1< z)s>XYwKnq^f&+{v+wJ1am5=TB72555T@ClP+S;QTc0IFmbKuJdcBk;Tqx6+8fDxE5X+ij%QzgsuJZ{cLmL{|eW7-|^$qk9_B={gsOI z2!3I_Jd4F$jn=JV!8^&ucb&%X3bRI`E%# zl@9SQc;BU0c{TE+Ny(0~#1nT-`!Y-ve=#VLm5?*j>W^svivIY8&*Iju=U-vbq}Nul zf8;sgf?7V`q_>sP&ofh0r2fh?;QuFlj)SXVSgZO`lT(9uj-z#Fh}%ID=)A3hU0MVtsEZ)1Ovw~|Zuf{z#zEPR-C(p)8Hb&!lxi5Rp^9dV@= zgwIM1PtGhBVS*qo15OX96~x9Ue<}98x&k)jH=+b$C0k!L;>qm;gYROPM21FpIyVhf z1EMkm@>7MY+Ofq$0m4bf2!GiM&XAo~hlq+_e%#GU$0-T0BcNVg#S?ABw(Ard=;6Oi zI^_c^lc0TS!J1cfKZ+{4%t`kG^{?_WYACu)N+*E!W)N7v!peA6kJr7hH=hHsta?;? zKg!Tqb-FApzU)Nz0&n4ze=4uhhG82+I_N*v&%{67Fh*kZfuRseTgYI;BjPJMZJ0F&Iy6DFl2z{1J+n2$_kCr1=;d|=VJ0v$1G>NH4J z@S%N%uvYveb8ol9s(V#Al2DP`C9wC+e5vplXA@cYptK| z#>GeHe#gtbr=ZZd`W}8Q*<_%|MQPmbnfud?37yq)2Hu}1a6Ev+0De%yBjy^Zk)aX= zZ%U9rka){-{F+K)_Z4`%)O)sBBOepBy&2B^Op{1>+_$-z#4v^DhB^)euRlc`AZi+s zJx8so98dsj7u=e_*Eiuy^8#}F5Hb^+CyZen2wB`9Ccg}Paj<6@{>oxNM;$*iNm-Pu)>a`3w2S3|<@dKn}?{vPkS@J{ymqlVvz0hCyo%*|z_fE6N( zyMvW`OP;@RqndWXo`sI;Wq_B;x$sP*0u8?qcFXKOrwQeL&S*(B*FG;=$BTje{uLU@ zyt0E*KG%@xkx))-vT>H(fpI!wxR2bA_@WU0K7JmJNZUxN#ehN;aSIoy;vKpK71<8w zSDQ#G8jiP*C7-riX;&h+1F&HeX8v6gOZ^Mk$M{nmbhXps`vz*B)$IyOz^N=SclZRvi`6(X0nE0>0{u0rJ7SY{OPD~nA zjAvc_tBfo>1|q`Jcx52g5%vDHkMVemUxe#+GED?$6&uVs*pt4yHRj@cz{~4Kl*Nad z#Fqk_n+H`cIAqI~9Bt^=tVx(&RR7HOlGLmX8skFs3%A9hn*VeoLj0;6eya`&=F-3| z9!J@OGR18@^}{8{Ir?5VWRCQE#?oAC#kW~^o|7ZmU)mC9e5m5vHUY-x4br-79-CIp zk_Jvq2KeJKEy_>QTC+N7f{&RUz40MQM1Spx)HO8`f_A3$?O#rK^B31mNVdY#980qt z&c?Ds$4u$WEk{BuP3+ZdEpyE8XQk!FKsa_!`PIpVs5L1|m}Q@V0_24q8rhF>g>$YD z>YU8-zg>&Zwo?J?L6@^!=u=+DRxPypVw;-IKJ%e~`8D4JC)DPVUi&Wn1!Jihe2&h1B0d!F=>X; z!+M$Z2fC%9zAe3;B%}MVgX8wE<3K9CMpUz=)B7fvCKL^9_e%T<{dUD1iP7;43TJU57ORnv*oQE9bvszv9|m128-~v8vhVF87v{_>hAPgL389NJ zdV)nRmPK%me&{?-tvcGmN?FV>JNESGR`P+o`iOlk@O|l>4G4H*afn!&yV1l{Xy3@W z@&^6aH*3P*mM2_oxE=j7OY8+oaqPU@GBVL;s}R(w#`dAoNBfr>-3qIy*VfWRA7&e~ zneoQ$BQ-m=L0_O-=R%dF0s@@zG(lQGu(4D7+1Ucf#;F!Dg^~m45~w(vV8q~PpRjyZ zcuR5c7oYS*P^HpMLAN}cj%PT&m00iU%w~B$JJc``IiSi<2$itpOiR$6%{uiK~~+CuVcdgGvTVe9CIl~^F3}#R%Ri+xxV&`u$}C13D=Q# z5Z3ha<>BFPcj}q)Yaq^NMM5jfbY03YExPf`X|>TW2W7=s7Ry#fmD>;Z@@M6?5;-$p zSAL%}z5i-@7lgTK?ivzWxu~5Sw}GxMZrWy>(5jd$saoN-7_G!fuix*ft?JIxW)s$8 z^V4E`|F0te%xklWYfWuZH&{jbsX%p<*q>C6f?sih&So(h?3+rqNj>C+a7~=wMLA>^ z@*d-zRf?iCqQFi4q7Qn2clextMmc0DxtxD0#v?yOV((6j?`LP~Vq z{UV_by^9Xy! z)8VV0`m->ie{Q1Xy%>8(1vQiV4~r(p&OW~BB&Yft^QfgDH61Ryq$3^GF8ifBRC#Yr z!0enue>wz7{7&8{XD1QdO=xoV$&#Es{{wL);2}h3Wq*1Bzwt-WcjyyEBNE=YfwfLZ zUtXOSzsXX=2Wu#hECiL@x3bNj$>&BSF&&{-)ul}TdC-hVOf)1^NhYNKs~Zv5Z7-eb zQN&kuf&77pztD67CgVzMTp~D{@{%+1^a@9hL3p|9b*uwJ3vvw z1-+~l7)4D5ED%XY#njiF^ z95tOY5mtGd&w9byU^J-=UDazw8F1iq{OvLuwEAuB-tHwRUc68lkG2j2XGunkcILf= z-s}{`%mJS%c=V;Zo_TkHKfGf`Ftup}<)kUK=fc0CnS^LaI>u@9h;Q6KGPs6>>dUbbMgeE;2Mev~ljBFO$o>c=l>eQz zr_lVez3*_-l9{4VQ?cVj?m(&L?%8)Cm{t4p;4_iI#=+mcWwdStdM`6M4-QIDg$UEX z2D-pDdvEHXQ804-n}Wm<0(d`~Vjk`Kxl;T-YmUX46cg;0#aD=Mw6l$R65BqZ!=KHS zyWdfF_hN$y&%2JTZF5pbR5B+$|1@#f7jA!_E;O7g$EyxUp3cZ8aX-CAmmi|>rhtvEWxi9! zt|2<~&f$S8CDlsOL0pM$>#!{)(Gyv`P#2IPXdN3L%3vBpMRe_n7`dZZB5`yhXMI&qM6!&oDF052x~qKMF0J?ROfDLe`E^*_mESf_7@Z5;g>z z)1oExjLVQFUJE~lztWw~ub1J!mZ8H-_Ztb0*je^&w5-(9;LO6TC{3!%u+}Y4M(Q99 zw*fP3N|FIDe!{oY7F9y|$iPE97E24Qoo0hPb^ zYS-zua5*%+R5C{>?}o*kj{B>9P{a#=!O$VEvFjUeQw!#S(Pb6qf!S6bkwER@ zPdq^a1cH>0^&8(3`zHZf%k@arQ=XiRzB$qjQg#1tJ2>ir-%N4UgTL+Is)v3v#oGrb zM~JI?Ma$-Jm~n41w+I)0&J_xbYK2(_HL&Ke3~>D#q39B$J*%b)dUJTw>wwl9{{E>A@bGg?#dm`DD1R%aVR8z%Y3l!Y~=KWo^-;1NKUxHmG zi2TUUc)n~b{m86+^-=rIrT@s9eE2&opr4+}G`j&8dJ(1mrS zz4q?yc9@gVkh1d~B_@2B*RxT-bf%2$Ms}At9D%xkr*@ham1Z@lZr*7py#TU^utTBJ ziHWNZCnVjDcu4*lQ1*H5F^cdw)?Fr#8f`rc77w(_|z_;w>kg`|KjPI6JX#6KL6Y2@2L*H~4>ST3z0iLc~nS6@8j@!g_9>DzM^ZQuR+#TIJV@tVwc zOgVp?%Iw!sCJ*W`B%y?^EgkN>-0e}=U8Y69yw4rCRg~#n`mY;ycI7s`G`}fvhBLoE zyS!0P*We~mqN=$G6*jNmffxIsx8~d)wS=bB+p|n*L25Xt;>|afnJnmqY}VMjI7Fj0 zJz7UAH$5^{o|F)@K0A*5E0n-g6+-bS~QzK%icq!Y{IUCbI87 z&e(7NwLUK}ZmpkDhk>2ul!BcmiV#~;QF?-f{p~`H@!d<;%+1DTxPkVmhgwSrbqq;P zbJNEEu!p=*B5e_P^7X-DL(lnO9meW+;MU|>gUGIYR4g_z-QVKw_9N*V{rkhV!YX0s zG6OGZdIVRq+leEZ%dP+MjW!IkSK5nxo(q^Vt84 zXFlOhm~xP81dfQoQ~oxugXZ|76#tpliU1A1Mc3oTwetty7CGEzV&m!T#BX`xhbN7K zx0(LBen|Tl1ad4%&7;Bhaa{>N_TbRhy>H9bs5Qo`9Wo=p)H9u>gF6y3PwG}YzSimb zR3ZA%vsRA_shkRC=PLR!gHJbqW~tYlH6IfS9P2wB9vXHs3IgG^EM-|+UP|aH9HN7n zW1FmTVf5L%nyh&UeK;+gzT6=4H|QsHq`x%;rJrE4&xTV>(X<6FucC~RaI^WaLxXZM zZTn)N>VZ&vFvB?*70%#*<>W#=jyuiM33{r^1Q}61IJpK={%HQ}JclRvqCV@h+~%I4d86-Y>4RNuDJ;K_iyNnOO*^(rkFndmKxicD08`6>#fWu_Cm! zj$b7qpK6yg9D-WhKBp3SGS<3}Rg$fW-1e1xtm`S!;XDo=*MEG}hx(Iv&Qf&`d(fQR zF_!a#qYD^wnMcIE ziqUc+cg;rPR;@29JYshGy{9^+So^UB$9mT9ch9i)eOgHn@qj*Av>z;7Y!=}kJe=*1^++JV#C46J85;$w3gNq&}mLg}Hp zIS{0y97ocHx12EVSN$CH&D^*DIk<2}$WPqvo~n3EpaPky7yXNi={w&kAgwkN9`18Ph zg5TSAv2JDaD{jT<`X(>E8|S-BK>jwirn z9SlJ!-P}QxdcOzw9684kD~Yt@I!^-3<8tA2-M?xWQ;WlBQT$J^vfnKSM5>Z(>6Tj{ z9#v-nmAH1;!KRb~jJ-!-{(ta*uAie4xQ~FN^FM-3DiotC%P-7*$o~l%66NiwQ-|bo zOp6h5=rqej%f(^ja2Ql7R1(yZk$@F|JdJ(GVg@`q%@)z}5g0j57L^Luz<*88*o>NG zD&^ZVl4Zt6NI1wp^2HeuQfb6PwJ=!}Lw074#?csJNbm?%G6bY2htI^_VytlXA!lcn zSs$}qJ2qix$^piSBmXzJP%8ke6UAX@=rxT0CrHUNKs{Az={^S{5v983C*M|`%+w{y z56ZC+Gq$)_?P2Og@-}wM&29Go=hr1mv>1#}%}pRr4K^N6D$74 z3EYX%X29nXhY6N0GoFISK_<)(5-T)7g^h9T$x~&)=NbXGv`mTbh!q0Ztbs`XxBSeVM@wyC5u^XJ$Os)^nvc8o2I_#`oJ8V)+NEijRnYg51!H9*;l#+j=qJ zh-k+n)iuyf$Ac)`bxk46!x|uWg;A-o#T$Z16s{7ui_Y+iEFTgH^Z$V}S7Wge7~CSj z{~4%9z&c|721Kl;0))Wh=*fcMF&G*WoiQjhtf{vtB+EnzIYwa~Fd0CpDm@(`h)il$ z7u^)eNK9%i|08&y>lL-OrGd{a>!t|(4^&e%$o$u3(Z8}k^&dU`FQv_hlkbT$%8p{z zL#i>Dg9zMzmM#G#UFNZoXn`#1EyKy|SgLC^Bk(bFj=gdo8FlcI_{>G2+ekJh6q-_C z`Y5i4&l2u}5zAT(=>gxLT!yXPq2}vLkMpy(@9HX!!8yn3c?X?y2Fnrb}HsfK7TK z7y2A~P_@%W8D;cq3>&OsqXTN&O8yl{8dm}S8YnRRD+U>Mu^GDk;$;HD9_X-j2#KhENBSjk{JwR#({{TC;!QajB!&$f5h$Yma>*Q0pxM5V`^ zN@L3~6mhLmrH;XJZC^m;Ml>%~@i1r&A&Uq3Fh_6Swm3FKUI^EI|OF$WdtUDm9q zVqbptx#TABcD~9JJk(oS} zVTHxb3Ffl>PRP05R&f{%@@FECG=1 z9!^>ZsWHUYsRaHEMKov9AT!H{BtXI$(kYwzES0HREbatUVA%uHpZ`V2SoZ$E1DrTx zBn?e5nBI%Xfv

16P=p0VKON^1;i8?h-0*mhLKKbH&LKG()Sum946$pOG4)ZK$z z?D(Qxqvl&i*AJJb=BvZdZ^7jo=8gG;agm}H7>UsDQ>9^S3Ld3WR!LOb2jyJ&==+N+ zu~WmO!$4eWQ*@kvkDPl!ZmbvW${A*`)o?CtN}L zAT?hAUH{i4HD6?genkKsOE>xXFST}kIT$1YVx=B&=@w)1Lcp(hRTiBgIy@$GAQCRe z2)J!ODD?U8_CqJ!d}UsD-#_FHnEPOr90-CHgTTY{&rmozh9Fi;;$c;pnVpIbwh?t@s{zR5 zTmtF(VG6K$66a7IsUlC_Vn18#fY@nSjvHIbt;*RPz zz602JztjNCaxNC&A5@gykyp+ohQ9wxi3Z!Gb!xD;bc>3ejSQB76$Bje=7{+fEb=CY z#Wt9FnNNc$v1Jdef^y9oF->gSbe~Hb9a4A1PKthtKSn=uGt)ao#jLnviv`tJ?B+F& z*vM12DQaU-@_xL0w=?4?L~6jc77cPUhY2Vdp|@HA|9rHebd3VIgPV`t3||bAqU&cC zr+37VbBR`o(hpHvYb-sk8oZKExiye6R{)2SUw!FqoxrxYDizhUJQyOk>3&7 z1Nno8GUdo!pTNGWbU_zi8f8G9+CB&l{jggopfx6bn?w}<93teugJYY7C#s~ooRqqc z3mW<0IE~AiJ?4Lh{GmTE#=bi0^uo}|J5bv6!fVMpO5*hAdcYl2S^DzvD2-pBP%S9u4di! zUbq0{IT{U~1KBmVqjP{O$vZ1JQAiR-xc&VsB0EudVT^|OHQ!7?spw%o2qvI9c&z4( z&(UlNbs;r*?Oe$!gouaNxKVL5CLop2ZSk16+C$C@h~7{JWV{MCK-tQC{I>X+Acsm0 zR|K6fIMHG-Fw{p3bs=KuO70njMlw<8DIoRk=dG%5=oW(_ zfID~^rGLH@ckN`TMSaMz4poD1LwPxu{87YMM!7{#ca);D==W7-)MYG7= z6Hp(Fyvlx1M}cdPp=yh9Z5f~zZ4H5LFgrjE;35Yju4)3ygB813)s81n09sCNb_S0L ze#MVzs!?%V#UOpvDE&&6wLhWTj#BvNS|R@dtQa=m0t}jl-_GkLcHTAK#K(ZW?^5rT z<{;nrv1JvJ+)G+v+$qMLZKS1}QOMR}h^)%p$U{ zRxs=OG0)RM>+T9Qa+M&aYaq zaJ>xpT`*sRb>yR`$gqpP)RCVI&^(n9?d{G)Ik6s|$qr1hrLwLX-AsC}uHGi?x_E(< zHtO$3cgzA4E%=iwh8T@ zW&Bkiu(C6PJMO%Zb9-{v2=>K26F=+CT}<(e>xdE<48reI1E*Jou{RxG(+YSE=p(Sg zf_NM0B9h{R{?$0kf_N7hB9wR=a{`Y-NX2PiRkR(j2N|n-snsoFBU-i4J*vt6es5dt$n_6!CzWW?hTVV1 zA9_m|5z41~2q{>@igHz^`&aRjQawj3Si_NQVT^FqM&GX?8!-?AwgvGHsGSf*Q0}r5 znZ@t9q+71Kfz^Ai7ZawEiPbyt95XS5)tT3#vwFq5yj^DSM1%=q&YwOgI$GCB3KI zTLX|lMFEr0y(~!gGHlqv#&obfqR9NM%Mozwbg(;WKMl~%EAbnY*^k0ar;Pf4G6T7T zU$Ni|l#C7XX;rdPlaOZNDzb;9*eO#@=b_E+#_l*Q&okMHsEVyf`q;IKELhU}|FTiO z|0MWgF;>QDCVfUA!OrHwSC=Amnis5B*kP737sVw#b@ZYs6vHW!~vG?E99uL42kn#$`qfIkp&Qe^00zC%sUZaA$2U z^p9=$3NhUY)9XTpAB)%H3_uuYjbTtG!=lz~VY(F@YGoe%ipHUes&E9QKH2@9tDYpL z@vF@--_Zp@1ky1%AQ7!6v3=`Xu@s1^7MW!juP4!0)m%;mkVuQ2x`#ka&#%&e*d*ri zQcUL9h23|S8U%V%LvJ=h+_{$*Tl1sWL1}alg31mPH(-S{z+3x!M)CP?ujHET7T-1+ zL?`N{W&o?znk_@|xk@F1R5cBDV+Eb9rr2CXP?;u-Y9(TU7LH^!Lxi3tdSiy(r0CpV zd|MCX20dqB3_rYmM9`8sOr0BI0Y0{5AbmuECVFz-7N<40cQcICG$LjcZj#C^0fN*= zqKX0!idd@+{H$VfphaV=bDIQXUOFzKHBCHZMtMnqr5ZzCdMCKr3YkG)7tnA8^1+&_ zkw6^!ZoWtkV=h#G5)WD5LFf1vx_3O+xGDieAV+orTFqw|3bD~ffiYjNs|Kv6h^X>gqSdkDQPFfp>sz{@qeAGmOygQFAr%#*a+yx*{@Z(C*2JV z?EL$1yFUNg*?S>{$BwI4_rEQ3AJ=J+pyq-3KR5&x z307!3r@-uv=0Em*`5$}yk9CtK*;apYaC@(lG!E@141|Lw(cg;4JXlT|H83(_5xH-M z>46Q3f!4>YngD0_@mYwP7qaUoIV7r&%jUP%0fB>zX;ROeUgP0EG~ zjQ@zYmjYi#N5+8L@AU(m7ND*wTt$L&R<~&SU|(}-b_?s=G0GC;v!vzTe_zfjjbnwf zL74_YJ?wsX&iG#s=n7BUs~l|!bG*Bm}N1%9mgbrPi*m2))_Cl^{vQOvymUb1#e2=o8J z=lHSYtH9X>uJ42YPCsYN-~xaQSBiEvtck6ZtWpAvthFv7{!?lp=5B4 z#_|&pa=V9{5=kn4(cW5kJ+VI}tnWKN`>n4}fs%sXtbHp93L{w@ofaRrTraO^qWgAr z7q7khERF{MkatcT#TQFxq3R0k^^jXG^H-Z$5L*XAKmdeCZKohXz9~5QFwRd*mEuT( znQ2aN z<9<=2IJO_Kf4BSmp7@+nV`SHEc)xnlwpOCqUn=I!m%TSKK4ojwJx~hgaVL0_qFtn2 zg=vB_x?kaF%giCcBQ5cFKl)2h8p9cP>iDg?s?wK|pH64V~A#)@>Wj?;S^YL(F9@UA1w;q;zQWn0DMR!wa+k&t?L9DZ^8V3|+pB zZ^NO2pV3A3wx?oZ8M9p!OTyy=7AawrC`)SMm>iKM!)qBzmru`>oGhWEN}tSDCzO+t zzVUFTeexXi4vkje*)-&F!+T#N6^@-Pat6{mRQyB%SlDkdm_cb1H2>;@CiqWHp2NA3 z3QAj^1KbHT2EmvQJ-HO5ym>+oxMwT#e?4pAgM}`*us4QsbD=$rso}RkWxb(=6ns$A zYIkEQYz+tdWx-v4E$ga{K*cUa?KBo$q0F@X6KOp+NU8E#t@=#LV5|8^bUL9xKB|VU zwd4p&ij@9YQq|F!<11&{=e2GW$1PY|8%Ws9##VDmB4gYO$h7{pPnK6K-JG9h*pbpg zTRu@jT4s_5EL*|{Fyq8oLD-jn<3lo*@Bgj)NuOb33=$kZ!Wg$ZC2Fx=^a}~hHCMy0 z?LV{v1opYobP|tO2!G;~(fxdbE|0K6zUGn%K0|L$TUl>%He7&*KIAi>uzMkimz?|` zlT)4l#I|mMD}X$1ys~i^TelubAbp_y$S#Qkd7wSv=YA-_a>KV%Tyi+w zjJjQ-p_b>z2Fv0+ zE;K2xpA`OCuf3V&_33R2P8FR{kn6kkaei|60?GHwslxCa!CL?&wwpR0^k;Z(Oncd1 zI6Vu{K4eRjJ%3kcC*UH_&x}y(o9AxV3wlwAYdS6d`tr6Dd8MvTuJ1p)eiLz^e!+3| zpb$Cf@4Scwe|5p|L9Fk7Eo&v42;OhJ^7cA2;@-kCn!*#9G`W7ufD6x#XKindxiuf@ z6N&<<|CCC{iSU~^qwlfhH0TrI9A$o4jX3R`Z6ujF(QtOe(P3S&js6O1oyU9SvA5;G3q5c#naXLv^PHvel#lV?Qt_) znW=2J?tA_BAW54ixBb60!7W;X#d36b=w4n3s8BoSEZdf%YwOejC=p3%A$<@om`P*; zWHsaUnxFa_b-79ZY0488th8k^h@BNCeHKwbrUe!pe3PylRKV8ymsMj7TK08Lh#;>; z1AH<%_Vq=GAk5ip3h-MfnYPNp^ffNaD*Ruhy=7QkG1D$gad$7a(E`QYio5H^-Q8V^ zTcNlYcX!tU#icmK-Jw8n>9=^~J+FO#&bh8kGIwNFVDFWkOp=+qAwUe@-vS*Mp!K)E zKTAK0cm8!cX#%(C`?vv#ZcW#=_ZiNjO--x5j~>P6j%@JiP3Ly?ftyh8jpn2qWRSoD zG&5CzNM)aXx){dOE9r*&*jsaiNrs#k8GK{(AkSpkP3>;sSl(;dS}z{4RCE zVV@{)n@?Jl^hXg7-VAdvjehm)VA3#d#lSvrqA<+sCyvVvZ9}@xMv?1uC%hfL&#mw) z(-8&FFfQ|rm%b_PwS=H_TiVGG%eNdBx(~Z<9vvhKTxb18f4gf|WOOc`KXJ6qf7xW1pI8b?5!O4uB;Eow*6Sgij<&@_+V4Q8m}957z8YCTkz*koduw<>Mu0*AQVY zO$Z!A*0SO&WvAVYd}oPdXYlqmw)(*vqdC`sY%2@8J42*1n0BGrT@8Md$?gFP=PrCP z4+cZ>jx;>|?!3p5COZv@@3aN8nfXYmDjKhqzn}Uhz47Cdk_Zj|6;iy!`GJh<*ac%k1_pg5~(B}2$$aL+b2c_U;-KkJ1Kp&U4xW9yKo3`xM{%VL) zM^LMjDK{=8t*3LtKMJpOB$jvtRhSK!%AYaMplRjsRr0tNEj^8Gow<6YswR4Upn1M3 z=^VQ~b9^j#>GO(RAGPYid`;TMIJw+Fq~43GC8HeWI-B%K)BpaXI;UEgxTHjLZ~O3lgOOo&xl zVqq?O%2(Qg<`@iwNq+5}PfgfeyIj9I?;ipN_@WqT-}EPVKcCELKZIyRpQJb|Za({a+I0bLR zC(aySxphmZB$9O+{aoo@-c)b!RSmGq#dl=Nr; zQUu5ipvkQO-ZN)W@)H}&oWS-n19CyDk7T-B=AfIS4vKN1os_J z&}(%04<4T?)$vBFRZenF#2~z7lpC+8IXb~#N%c0041-WZT%=6()$v=szUuxc@#{E6 zuP-Q7d{0yoDqYtS{3+OL`Pr81fbR+ZSAV-?wfAnlWUN4ab_7{rWIhBB!xQhW>Q~>> zcr<}}nmji}k(2C@1p$OAA585#%En`9%lX9Va+*AKMUjf^5DlQf8&mt{-vvMmGewcH z?2r@z1O^{W?{mG|Hjm|lb2FcyfOCY`Hk$i`?9Chi93#Az(cG70hh%$WdLK(4meJ(> z7ci6^LISiul|HPa$@|~Hq4Z%MOms8_uOhFyse0-go&64~1aZ@!iYPRir;xKba{{BxAZcCLSc z?L$lHx1v~7(Ob&htWuBMp4(MPc9Hogv42Sgrb#p zH`Dn7ycE+XH7oWW{0qB@gF_HC%H+|RJ51M^DwOp4q?YysE=eU`4Klxf6iFKsp{=*F zkHqd2_n_E6u57*~<|PD*L&C=$u3XDiJvn;_&S(otCQ#ekelG24c<%CXKBANMKDLU> zQaEf#g6_-Vj2-9o6^tFH^(Lvr?Wg%eqlo4GpWK$KD@`%xjXLTR%+$iqMrCkSh@0u@ zwPXeXdwCV&V2$YNN?biHhW2`GSF@(WQR|<{^FRTNLM6k~7pw}94_1ZF%=`MMvGt_W zls{po@+0=r4#WQmY$UW6I`HVuv=A{K>7b_mVF;IfOzEfc8)M)j3bTty7_iPkK!M$|80mZz0laV{W7mb1xz?J&VS;+CHiDdgW4lBM0OY zN9boMRg_^itFZp+wrqG)HcL9RmUFNsu>es_k@-OEymS-kxI{zB$;< z<>@kjvQFd_%q6+HIf3zi4S!EyK+bup!&-~cLvidU2NL3p3B_cZpW62EV*MA;HfH8y|Z#WQWe$*9V=xX&5Bu$Sh3Fot7(}X{mI$ z3{ph(avcs9T|n*2D$DxT{JA1fYkq%)lc4mR4r)G+N@$-A$xuT*D;|dV?+SM#vZ3tz zlC*cWRy&$(pBe13TwrU9VuGh2nj6z8SwAxn*A`8vB?12n&B#k~x5%_nH-vP6Qb*k) z0YXR{trbgBNMrqoA&y*(EUSrOs)H+?$@*I*{zwasV+ zuKWC1`JO{lK;cEe1*pRs4654tz&7^3=QG~>Htoay@9=S+@HfuC7Fd=IKctPhu`+OEfbBBr`Rac&Ky!|lsxrfa8g_4c<=T3k3lsiiC;u)! zf;Jm9^oB~y_T*C4pKp2EouYWXPX_F9AyvvzHdfCd>w>LdNe(_LgavdH-L7~QCH2gG zxX@cMpxJ+_^8)!(Eg$%ugqxfZ|L9b>C98@=QF9#W#eo90pbR%DpmOl<`I$0Sek>LR zM5AI?$^OOt4?^nvvY9Csl%y`A z{08RH!gRD4$h8<+D_OtPk1~&1_nA^{2%|}AT*tdc?RbuCnA5yHo|4pRk}US)Rs25k z_AvQ>fEmw5+}rs5p!}xVuk3i(RiS=`4>P`n%bcErA-QCT!ot`=K_8%Dl;IJ1L6q2` zaZ1=CBFgXx;%}f9F>IWUkd^+EDeD(e>VC_3dQQ`YE)WIfdsdjCz}l4c?KtJQg#lQ) z2vG@PB38tFU^{3bpG-SC$dUm7g+B_KC>zgzVbNu0NLOrr2&PI*32LO4krhr#3HrbQ zqpXdX--s<{MH?}rf?kwN_;p6+Lu^&Z_R@b!%$S=~elBTW)g>Dd=@7V&8k*S5zt<6A zwV~zy_1PPZ3$`EM(0e47odzAv4!nK7M6mb-dF6c><$UU<{P9iJuE zVc4%2bL(;;S&oo>8&HHesB8vgL5Q6moDgGAhba7)b<2fPqKAzKEi=C z{3E{0{M`}9GIu?27vGjhOHo&7pP-V45omfd@FoVxu9RHB!i&2p<;fvSOxv|StL!+O zXcwv1YT4vYJx;;1SZhQxC!1_X+^(!3tNw{Sz>yKuDxr$LXozXbMY~ z=Y+-zRXf@Cc^sk=@WRIiagv2JEcc7|09pG$7wFd;^fvwG0`&K-{3PCO@~s0v1E2#u zKnI3-4}gwq{h!K|lWo+Xfb&=#gq2i|I|+RQmn5*v>DP@3P~XYW$;~3ie5!y@Z>5Mi z0jtR{GN{4wt9tXeix|K7fQcBt*Z?oNb?y5IGqEoiEMj77XA@#Fs#uNZo7#=%w*VpQ zxaL|CXM^iY3C^-R9WtaX~6u(cx!{M0wJBP0P!Ei@{MB`(XKcOp^WC$tk-Y z2iB3rjjL1hRJ%{P=9-y$<@pafjVEAr-cf;nsXj&GeFdm>NcbCXr$UQm`Rb~uAJ9%yBe*t3IAr9uV!&duNx%l1{qF==AVQK; z+lT{8HsnH5sH1S=Qi1};#%e>t+G;mK$Dih}Oy^<=;Jc8XJhgrpmTU=cuYb%Z)IrD)7yt{Bzi%IzYE3IBN1--gm>%tb!X2p z@knT+SbtFgrLL7Qz}0^-oRn&m4lVIlh5G16Np0hwpGax{I_OWHFAc5H?axAYj(YY) zd7ZG=s4!63Et7c8@$|QL`5G!846VO{rJDaTaGzU~n#Jg+f>ESwjG=G_UTT6`|Ei+B=e~X@s)&3`aGA^pg+R$}*XG+*qS0`P^7h+q!Vux{}+vE>7n7gXu=t z2N@{c;p>}N2`Jq`J8|A|>)V^E{~Qv76@`g>5dnw}mlMQ&k3G;=LWbHs=Sk#?FxZ!> z)R+>I-$aRBMU`jCfEL)qFSd~I?ZS9H*p|t{Z&^f#$o8k2eGW}}l$Wq=pd>U2x+X=f zh+$-JMDJ&z*~!kj(fv-p7&xr8|?3dt(tS(lvCX(ER7GxdJ1)7aliO22=ATAp+nW##>!K>U9@Ew8p;= z4PoK^&(Z~v{4Y*&%Awebt=XT7i&RbCXY{_cx)wzjyaWpyQ2QU-c%*ihBe*#SQeSX0q3X-{g}g$pFh}V>DB6vk zyU@w5mZZFvZlGj8X1nse|MB{=GqrcHr)nRe#F0Z#uG0!Bz_&XBa?1qAwNKDXt?lC! z+Fv88w0OaQs`R}}V^avqg|i6C^Rfxb-{ldM8x;`LlWY2se5y)=CLmIgG#0kKgDwk% z>RN8-S(#arNB7|pK3#(rHacE|5H2Fh+D27qgR_8uW5J|o4A#aJv2=iQNQSG~2wuGC zJGtAu@%jBI;Q2z*Il!c_jaOnoj6V?y9r%gf^r=fX-JjdaQw4Ep!{R zAWeYNPA6L!g|5?}j%Z>Pu1rQSrmALW#00~0gx=u|tQ(;F1PGtLzzD~5Jkf^`dT3Om zTd!)hiIh57>>=j;_?Ao`qK{mqJMkTKI5;hhWX!<`FGEBFEv&T7TgM)w4_y9%6|s<- z+pOj2BY_7F8vM5Snq$2HABctt?;9sH4HKA)1Zjka9nAOvR!<4JJvZ$n=okUC2s4i@ zQjMg51erHx5Kvx623>^?uMI-?v=7Y1ffS~PPB7YKMlUoblL8c!utC1Ro5|2!g~`wh zg(kDUF~Em3=cch)I3PvwxZF0AQJ=SOHj<&QZblAEv9$JGmna~^_X)rR`5;1P;K2`2 zqesY-&2<`+aSrB!ql9a)UAmlaKgOLTCl(4-gD10LoV%`WE7MMrTP%PIyfWWZFMHI- zd4OF;ni`8hA#%t-F=)t0cxX%vcuI0~88Mc_WaCNiu527YjyLY`&FGO9*&=<3MWAj? z=Ub*syES78acwLZ)PblxTrGlKzxMIF_^^9J1TJXhn%p)dd!mwwxV{4YEMN4Lj*=c8 zy#sON_}xIYNPRbimvclDnjJ{yd7H{#Ig%@NG?AXH`JGoY%mC--@g~G&*bk=a)p6== zA{u*x(qyLU`nu3>tQCIXwub20cTlPGsx^EN-B3|CS*f$`G15QSN!aEFvl*dbca5yt zv&wd}@TJN9NLcihW&Q~WW4_D-Vj)|L>q?XMt#Mh9jXt8*waRcts>nhocKv=)90yaV z()MI0HhBToT82%C$l(I8iy+dnPnd^ZPHd-Z*AFUnZ*&q?IU+ti zjz}?(T1Tc7w#YwNz-kk-{$9ar;nYiQ{^`PodV&i182z3$t-%!TMaj8$AHK}%Q z1j#fI%x=EMsRxN@ARuZ{eK1YV{Rh}a)QY4J!Sskx8s-!Mt-y!c0=;PJkoX`*Xf)55 zVmM#jyoHWzmN$koP_Bnw;VxYH3#QH*@1MXfPrXA#;|wux;9H9-JXLn5-YhHv#?tFP zJ{;LAxHU+aZ3=`Yi&#usMeQlZEjR4y6zAKMO(x z!{fxVMQVqNN;K%a4_mbgM}ao!(ZjSA|JNH-OB1BEhGKI3Nkqc~QEQFX)U6~=JxN4E z8Bt4|_K)pKc?`q@9qg4l@@@tGFqkE-#+XcYP$(rzOEiO-xD=kJ%_v0`M8id#amIir zrXCDV7IKsg+)RP&V}Pmemjg{_3*`y~3i&_=x zxialNv-Ak6i?(CbqSGFz1g69@Fbmf)MQPaTU9d7D4}&4IHJR27=s*l3h~y!pOJtfN zaN$NhEPP`iZe%b|5K=e*+BxR#6PSe=G}s%04TYsrIhoMb2Ci7){Dp?IqRaN+AytgXZ|Td$G=V@}eV_ zdi>#~&?G!CQ)zqxMn5Kni zquQMI^jeK8v)_Z(L+N4LmB(WWcdIjTw3@Su8wMTG>oJ+kgX5AtSqMWaF{4JvOp}$6 zVe{G%*H#(B`70o1?!SFmDrPb>GfsVoHud;ChlFG5Iy?h<9d(BSMXU+I8{<&@BT+A5 zXe?J9E>{4p1cX)|W7n6fmNx5(l`;MVsZ+z$v0_;1EFX_fgEX3h?oTwA5sl60Ba*Ag zxZ#zWgEng&>($}ILldh+xIbpu;(RxXmtY21RDVq(M;B@e7^-#aYO)VbH9V`RNI{rG zXSD#}3O9q2gfDI~-bRI5)cUe&w8JDXw5Tty2zOQ-eFBD??H~JCK zA4@ZSb%Bbd7Iw7n{YeQwiNc)$-PiU`GLej%YqPPMVW16Ug$&AipU{o|9bl0_NuDz} zA|Ec12$<{%N~130-UI1}x7h5Taa!;${4yV-S)Id0DY_=t$fy;Ek8EgVFLn?}Tjc~5 zDt_;fUxYbV=5)=7c~kn2-h~X=lk6E}>*o+H5EU}v$5fM;27T(6xySvGz(X15UL&~= zn;)sLQQXUaTFv2Q43lYT(-#%lI`=7Xq@LJl&8owTQ3{5*TWJrAPG&egAMW)*_{9l> zRyRinv+CNaoOl{enJsML*e_kd_+YA?{p00yN~21KN!*#vE&r3#56e2YV`dDrSl_y-oUn4Qp3s4%9Rzue^Rq7(h7J{TuxszJXO4-}e(48%%m){?A2#|Lv_bmB@$ zk>LwniQ*GN_NC1zc*D1UyVip5GyGE^Zo{MOQzCjb@~DcQFJzccWw1uq#ox8bteIhr zmldQe_NWTk_k~ulabjNE{!!#f8GhfV4YyJK3BhjSpinnelS|k(s;^ZEd7(HeFjZN( zedPH2JjBU-g1ig96xqS7uBzes+!jg(V=NrgkObE~%M0zj_+W3zu>*nmVgnE2hJMwl z>xx0j2&q2;CS!*|Da_-H4GXfXl=}z|^RB-Y_?9zQ4V`)1_i|zMdY!`ge)K_y}}Q z-9V)Xd&^i;wr%2XB94;V>?0~lxpfmS=uawB+n~8h)n=LQYH1k;M>47+}FvfoMB>?bsFIGD^au>7xPN_eQyVy22;wO0(#4?+|H0u^~!mRl>pjg6cM31 zUavipi#AWtvHgig4_p?zia;9L@^caXDwqYIi`7OGf#$$aQd*`waGqM#u}93J%0^OX znuukN9==jp48OJ&WB9D>5UpE*-Li4CJze4wWja64D$ej}W^HA44IIfX^!G@AnwxDF7jH{tF zUUU1UoPVS0(zWHs&Ke<_hfU?hg63LPU8jx-5L$H#x{Dag>)LBN)%{@fH21pw!FMb5 zzTF0UNB+q}PwFJ+V6BZB6@%Y+8f{E#dwxf;cMk094(Fadvpar2WA1R6{e@!;n8Ti^ zIacx391Y&}`yHX)74fa6o;w<}{|l_Ao_iX!@Aw`0_g&YVdw9DUxK=zNYRX%WuP|zx zb?|jQC%|shu=^Ly{7#lhB)^i4-Ig&ZWAn|Mybt9aW17?Q%bR>eawF+hI=Dn~%LbAM zq|rE-A1^Wn$`$wDo|3zbt+##7!P)^uGyPyX0YK0!n9fgpoAver{3z3J_PkN^2ghjC z`@p}b=7Z(}{~f*G;GyZ7OV12=j@%{(5J2vv<+2J?Y8r0e0V=WUE|36~q|*#k#=zJg z$6DT=;aZ>7X&`;qW<<42cYF9)<|MO-maO_X+2!_?c(#2g)@sdU=#NWkO0U${YEtPE zR|D%E;<6hi#*V+3s1!hf-*Np{z1zI8g++f>_j$8aJ@>bhWoH#m^IUoA8xUZ>YrUJ8 zfO)PU^^Fh>zxP@F8X;le@xt^6jg~Np@9i8wZdD#en>|X*v73#hX|0ZE zLG&7-hZ!B;cRr5uQMKC=59^OzR|aS$6AmshgI$RIU5Gnfh_YU?ylVGu{<((*l6$ml zQK(nsrb2t3xZ!XCF)gVSZC4@d#K~gTh7fmj=w-`@VlK_!*CZq!%4A0s1wsT<%#_0C z)A>x@lCkRQy-#Y}M-i4Ky}CRGj_H>uoDbtozUtl%tAEwK8xAK~K08qTdMevelU}W1 z#`n|6jTpaPPbaZUEPTGnW`O!a;-fCjVxoEGx!Uv>-I5^lKfIm$%6!i|#QJR3067EX z4Nwq3Q2-?n>k}J=r_MX~1o3{?5B1?q;&5pRs?2!8agCg-$c?VlhtVs(p{u-5SMpe| za8yjF4?EY8ik<+f#v~2e_k0%+9x?7P*s88SFMrDJi(oqex6)WUS-l|MQPRd%8Ej=! zn$@2IdD7|SPdMk&D!7RMDB^qY5A_L3So7@>;i^g%30?c6UOpoqR7AI?rd>WGu#&5O zzIbTIqupzovd*4_UA?WQ(?5kr zz0E=D<)aHA$+;MiWCbv-00ZPW8??WS+m}1{wEZJ7aD7qzST^d%ajRHYNOvb+9uNf_ zb*}mRN}Hm#*X{a5BdL=7goxkcSnxD)mO~`1-c8od^kK7`@G0CLD50Jggh(ns^k*(5 zr%y(iU2J5DNgz3US0a}3=0 zKF{l~;#)26XW(01+6qHmR86W}HmP51Pid&2$;^QrvWY7hwd&V#@XH&zX9_frivoC>+PS;3_&d3 zWWkNQi&R0T$GYt}&8ZE}riDk^Ddf;Oz`sQrp|Lef!1?;{gK9Q7Ce3X43y3X5ZVob8 zv{#Hli5B{eeTVV&lk$*y9yVCqKV4GFNqyJD6%h>o> zWZP^?DyneFwb^y)p+N9-SqSUF} zEt_7?y=TY{K`lYwS(Mi4I52j$qC=$IrB5hM@sgifZRyMOTnJ( |p8hK%mc_G(B z2=My<+0Ol}VzoNj`DCU&CH&0(7;<#iJY(~TRHd1(68i40obvb5f> zAuf1Ih3@@hOaw;8Xg(ZMmc<%JMWp)Ar(n-Cw2(!_q1oMQML~~LgCVb<23pI47tNB+ zTd8YQdTxZ}6QJDO@5Y~Yzthi&3T4%Vf99?Uj0YURBmsz(AOVosS-5$EMuu zeYh4sp?@nxHxHAtI9ai_wm6YBrqkH)SFQVre>pdOc7EXBkzdMjA9O`;IX;%>TTgoP zO@;-y4h3gK9#x~3v`wG8JnlKvx>5!J`VW*05ZB{AS*{~l(n&uttLR4xa1c+2=4*OBN}U|0SZqvvAep_9wL z*n_gi-8{z3oXX>2p_4o|OPY4*>Kx!+RFW}+bi#c9jr#`H8OPG4V0k<-nBR(b4N;App+6Yj^XF|4Zn`A+-+q}gJ&Lwu z87!eM3X--bc$F!etnu8D^eW(R=v3*v1cm{4i^D&~F4Xs(?1=dG*xwxs(8Jz`zDaA4 z%TbENUx)O%qv}!gKJpkC0PirMhqdsgxM?W${>C*`g-Fz-4PP2Vv~nHKzL;sRW3q=zwimXz z+EVB6Vi7p=hZ@;+Ct_r2EkQiml!GctnN(06F2%&boLld}9ReYqPpN_o-@4s?O<0&( zZkeZGRu46yiZC!=?*QVf0c>R;o*O7rBJ^^O0)*tT8|N%bPYomrDXc;x|CLu*ftH&- z_iP?{$ojk$Gqy}L8e$z)(v)R@lBQIuM>p-AjY5zNzQ+K*Z5LGJbV`!zO=7^?-zm7A zG~5+~x6U|}d8&a?4-yL{3rS;sFCwSu_uxKgn@wZHIh9!ZX#YEExl{T3v1}8)hC!P? z%gopKKcdFUa)vy$gJsiUJHZwY6*?dsP71<(FG(C`-_~7xgWlhDSun!s!xpA2z<3=r zJa0zeco`B5inXA_v7~jV;lU{vaM-0N=QQE$=of~JCH(!R)LU3`-kiIfB*k@3R8HW> zoT{8ac+xH(Mfq_>QFZEs*;Diw^H3Cdl#SLk3~`i!5^eP!i@xNC!80XbypJcQ1745p z{3LRJFUnW<{}!a5&k`CPr1xW}(zzs594K#63VUP$i+QPW%rhHQM>U0K=sNMQqa&im z=d75!{Qg4H$CUZ7F0t0vw=cjkOgo>9V;5iK--o;$Mj6?u()&NkW#B&83!cZpkylyu z@Wpc?M~I>5!QAuql0rVS^yWbFA2!{0N<3jP29VwkZHyXqq3RqAeD8LsPQ8Az_i*hQ zljFHHQH75bc&Y11!V1w__gACzn}G#6*}=+?3=3DMw93K>S7Bdka#l>2#gnH@9C>zR zp*o*3{pIo1;TT~oy>;U2>LzLlIBjVmbr#vax3f}}kvFTfq}oth9e$T4J$K7YKF8D5 zlM}1mFdMU$!!-hUAnb|FR2cJr1`+>kw!2%L)Z3dX3(Lw8d$P3FHum}f zzU6QveYx&~3nuw(gWMwv7twdtcjT}ms?C{MzRfXt%^d_0tl2L?|p$F)7V1+1{*qM-2U3jyxCoc+~c{aP?>Y9-n05zNS5744r1qtq_6+H-jM^VY98)D@b^*_yw1hPuJb54K5BBfq z7o+<}g>iXjzaPC_8gc&SpOU@a%b{33Poy#tjZI&sa+XvBxu1P>x5n2a%SNQn_|!7H z_cb+|pRK-rEUo$1*`Mx>({2Oz#fndOzAfkMsDkcg1sf^uk>W3bj7#17T+;;kvWg>8 zViEy!XJ0Y%x1hbcKVs}gHj2erEMe9~0eiF|6U5}k80hs=yJB;FpyP1<{;9~)Hs|(l zSngn5gbu;V)@X9wW@iah79R4&FJae4PmeDL_9n@`*@}O@oo@S)k4E}86eYn4+6Q#^ z=yqRxb#GW^<$#DD|4#H%_FIBgR8*LNYbmskzcRw@k|jBLzsc8PYVsLMpJxt?_$_Ir z3WAUa`mE1O>Ewec7+UE^43am*rU!#rERNGNqUTrKXZu2J!K-^tf+gs>pNN;7-{NWK zxEK|6t)%dMgmj*20l%T-7kz%@ zI}RpP!J#+G=@OgGzSD8b8OFX|okC(v2-pVPsRGO;s#QLK@JZ zJFn-rCQ+_q-;HdIh$;eoV$L_ViXi<``g4kLs(C)(9F26yD#n?=2t33|?Uk5&edE6T zs-1y#xQ9w>!sgnlS&8OUKx&NhX@Jf*;-V>5z4hN=sk350xejqJ^65=(qOI8AjAmQs zpxKj@2K84)G*%-<1AuB+jp&0I5F}2of%}(QR047S{Qor!7XS<8mpDmsbRTLvum2Xz z?Le%4`>!^N*^)6Y`9i_r6~k>hs--}4o!;QCfL zU*|V|hOcNQIU7uwIjL(GOqm-}`R?1J!QPVB-1%m33i~u@XAMzi0}Q~)RMw?^FmvKo+F8qgOfM-$jQtJ<=6x zc;Sf(rXml*Nr|A(XMJ|A$ljexFj;Rq4ieo+z}qM69KZ{cI#ZH>JiHd+9LV?51TUZ> zS%g&U!IJP9+cdYn*=xd%557b&pjKbr^=-0N-$@Id;ih%c=HY{B;$6lI?F&V#-QX$I zdLLx3$2+?Q!E=Y5Ca>^>BG|m~P+vOQ9>ihc=|5RN$Kz1v#N^!-H!`KZyRhY+-=9Q3{BZCKBS>MdQb2@qt_W(V+W$Jx39ikDyKgTT zfgx!MOa?7DPV*6+9K>PA6j?w6hrUVaT-<7tHk<L&|Lpsl(YuJAU$a_rJFq)lkE}Ihjl- zs%VYOfAdWA9G*cJW-qJ6iN6@sZ0!812())oM&IkN^cQkfk7o#UoH0 zyLpyWHnaA5(TM?REgS%Q+KhLwRJ>I03m{ZkH5QL|>17<)SDW3>Wv9X9)q})k9!cpp z7j|OdORp<3?vFDQF9%jG_wwf(N%dkXM|#7}t@pR3co=?3J-GEt$vwv-hgMNrheFdd z+INu)e#LG%(a0!t>H<>LympWy>NH97^JADv^Tbwb@e6u0?XnAOU6pVL73VkCL|3;b zQ)4%`b{UE|-*o>Rm^FO9g4n|t9b@+&D7CkiPay2etbh=ZdgM{kLhEEqz7aAL064V; zsN|d6diTSq1-+l`HrgKYC!eO<(bPBa2x3-Vrluyg@_iVf-Hr-Hr{$ngXDMyJPd6NZ zI&;FCI?Lz{39ZH_OerCMBKB09I5x6lYSp`A z8sx@6k}McyVY@Iu?pZh-9_AkA@4{-I%Ql|TvLZB|uDKm{JbcG?OT`_@kuBAFWvuuG zuZddk7q>)G*Xp8&;mjZPaaX6^)*sufC`387g(- z*hq{|Owg=awgpCIJ5J;F-&7;mv1H*DNwW8w5bYnGscZP)KCP*DNOQEN+2`3+ZRizA zR`}Z1wN1onLxDXrZag6cN}T5#Qp_40Mv*M{$tFH>YPj;Q;Im6T5_lYoiM4V|4hj9V_6^tg+Ft<|QzFbnwoLdJyP zk?iNe&SntMefa8ohR(hsd`3)n3f@d&}hbAEI78ncYee|&==eD@oqMLq9yxyzcURcJkCTxu^bPm4ryw-=_ zXK3ZP2+e4*^82QkwPQ;wpgc$$rzRU%sEtTjWI{mI1W(z7F0EA~z(;^z#7g(24Ih8V zHOxs)k$K73O0wJYoN97927eYF60)23CU_ zv}J2A`xlAVYI@uH@pKS1Xo7XjQ0$Yb z?84L+Ho;o|L>#RE(D+Y)tfI1BjC+qtL??tJ?H8X`FwXP*bGufIrBf|^;}u1Rh^iVG zBZ#9PIZNrKiFjfT0Y!jjE^ZP5XOah`OvRfQ5{Ly@Ky~0=Mr?)8$}{)P93;(J-ij_n{9eW|R#sD&P1{#K}bEVZ9O zDDEJ0rk)XNZI#O~zKGq1r6GScHFXf0<@sgV8vXG|TSv{Tv2s4E7&)WQyt;1&E#GVT@;K75~2Z$zg((3orL*KX}fD_iHFYO6>q>sBr3_zRF z4{QXJodJHhV0K)KeoQ9`zo(xLTkmGj)(hfH$&I11G(q^K`JY)=)u0wy(F>LOR(Hvx z=GHVkDBe)((Wf0>;HDHuhz)KWEh(cz=NP#3Q0?wM_bUpfG1=V`$%%mZ;*w`#S)rbt zOmy*_9I>`_oB8py%2?SYdr?Y*LWk4gRhtd{zH51J5(An<+9bbP8~qw?6=vV?OnOW) zvP8;c0J|A;y=zJ#ZE$>%oVTh3MKCDK`40H2;uLrprjv}|OA_Ja2@a^|#iAIBuq5Tn zs+KLmvJJ2$30>v|Yh`nlWR0e-MWdF{#Z~liYlx;Dkjph{TsO{i?ah{&rIm~2;$7+t zT5jyWo6pL58at35)qA9o z`ZY`5R>zrqA>@rIq&7dcGN{Y60heyke^bBda!a&R>(RR22Qln;^$FcxI2K_y%;L@E z!u2O-3C#rzj0|mPQ`F`0h)+%5J~FoWPYRgSb8t`g&aTf-M%%rH&UK?mamimHyka^} zNoD$Qu;g(PWCuY0LM-@0EhXHLiQZgVHd6z4@Aq06<5}qY(Yid7P216Pvo=FF=o+Pk z968#O_siadraua+cid|Ouaj@o@>z_ty+ zu2C9EJ&6V#XkNP0O$D-oXEL*ln?TunVM+2m`J{x{wHDJ?8HbPPdsMV5&muP1 zAJk33b$vu3Wo&_S=GvADgIX-V;&qjXMsS!?ljT1nu(NrN7;(6uO=T-@agt0r2^dHN zsuA9{`;}^*&JV2W+~Ezx?6Tb=!Qq|}+@TF8xU&80((BdPVKyTiDrr1!V0%iWwKiy{ zcbH?Gdz_SKknrM2cf^bA}Z@~7QS3GNI+c?UeuN?|@3mHo>9mBu z7F0BS_bY&$o}(gE5|%M~DyQUH?LdJ$`ID%)N#&om${!8i8}!ep#{}VobCN%k6@02Q z$*;U&UmFo9Fl}9T5$-yOBqOwK4YeVL=AWey`YhC|nzL~fj+_`^>HeeSF$>wgrVAf= z5lQ7PXr(KcJ3^SEHRAq5%~$9r%et>0o=O|O%AtMgC6?^}TYSj3Bt9-|n!N%7Jbta7 zOY=RYL%cUGM>A@JtAhQ~`Bd9dl^;7J$Ny<5JDc8^#p}LI!hvN$G3bw{7xIsO7d6T& z2YZ#0E%HvU-+RgKZGic})Qu?wIM4G*)cyUumRoOm{oZGNUc1qLqS$f8#*p}loJIO&vYISYiBi#Pkard1gnm=#@&LI(4H#twBol zj`zxAMnn7BDmQK*9huyxWH_#VxO`YYY(qA@zS(CTZH@S|U-}&bBiz`#!1bR{$8k0J zaBD9{o&koh2v6rKT>FOZfy{3GsE-9HuMgJ?4=rB4oA@LdEUTy*$pWYgyanxjzf?9& zb;_>?2zLv#ttZ25Dh% z`!_wSpTXJMS54icm&^o%#CgySY=jN6mo`a({hUz^{RqtLzJM?I4=b)uZ5^ zgQFOU);&tG0&zLP9Z|k}B{Y7>>B3aipU=O{DlP*l#`SlgWYZ>ozyY_qWABEr2=9Aw zvX^?{ntwwNZ9IxW70%tPH${X~o(q@Y zz|tON*!!PaGB$CXU6%a_JPu*ebm0=`K8M~xoE$DKo4{*MjP%PMaUT;*HxK=+ibjW{ zsJzu+$xZp^ylL5!51&PwPm-R4j#BI1f&QV}@;H#ucYl4^EH3GF>yF{?0nxYt;5)PF zK8Jz{W%T%!iO5SjD5M|qe0(g(FyMv=nP)A(%K5P8GClQgXU3B8Uo1GI*HqNDrQBk^ zs=%$ks)BWY|EAt$8M$LiUb*9F6sXCRV!&%)AV7PwUC#s|FiCq${hEih0!Qqu-v`rI(eDk(t5p@^{qiqT|i)VZPo#gbe}I^<0Y zqJox7l8TeJ5YlXM=<<)k+)y1za;+EV1yKkF612?`*RXjFGR`L4ec%0E%EhJ}{blui zm!`8>2IuUie3r?M4lOKoHiy&3_S1-c>971Ebjv@c`&y|sT^jbWY#`cijY$f&^~FJK zT4Nb1tVgmr=}Fqg-*+kB944*8uak^TgH$_k3!?t(*N)O_^7n2BO1rJf@7$DQm` z)%NLESKese;KoD-suY=Wr@i&zq;JwLmZlnCD8z>}In4EAeiAXH7u}TC;+6^^Xcu~m zaW$8d`1_%270s3QMIm6BdJUiP7nf|H)0kV4er1Pcco@`{Q_#OCpOg(4p7cjLAGN3j zEGGIt0Jq|G2>FGbmD(9!eWvZV5d5{$M}=zHAat4BW?5Zd9Ev#zEEj~VIMNYltn15B zs9((1EP1#Sb!Xa`tG^ILr)Z?Qm5p_8k{-`nXeWWTCbOLr&K|eNKbj0A&a!b3xN2Cl zE@?sd9}mqO`=Q?y^955o6Ux^+^Kw`}*Gnzmyx&(#d(mtP0KEhiYiaV{u;Xz=d*&j8 z7WXOGvOmf^+sHO(OA<$M4Abi{}+`WeZxRuuh3KZ#V6Y!RmPX(xQu3i9>0mw59R z`=a4e)gupPy+QE>I(1VvCyf|8Ywe|i(l#{)nmmpu1wpEb3`31@_wRjwPYSW3|Gf7T z>dk`H#%{%v@NMVHmrWZ}WtGkQ zF+x02u?GQDb;f%;QC`t2e*V1|3+ISpqH#xzT$W|?^yg;@X-4N$6$AupQ7M)~Z$>YN z-C=)eeXn%EIj&jl@gzJ3;;>2?Pt&@=S(a{%q<&H!YhSx_xvyk9HVm^Ak$6fcBW9ItKj zrMNx6C&@ICxel`F@i!zAG?kxa7Pb>1tZvmxOVloH;&z&boyK9OdDv+n_8{9$wU@fR z*sR5y$^fu41nkr{6Vr!C`~ELuI?qlPvGWw{K6WOXXxeP9i9e^*6FUJU#`K!BoHfQw zJ$7GO8(}I%#V&8BxLErzuRvM(b4cdXF`f6@Ny)PkLA3l8Ghc7Aj1@b>#FawwJkuX_ zWzQ?eF|kr+cNlnVb)3VAQz(Aj-kBv&Ey0@`2ZoSV>SRK7D+^>hCcw$sPt{-(gZZ?LnK}3+_9mrytOVB)w^rWP4#lE-iLU0TE|B<0}9p~GY2%^q9>yNbij(S3);o1@LJ zxv!apTg129)_Tj+f~8mxmjg-e6JOISDGb9+;xV049ENW3=%m{6tnDK4jkX2eEGfDF zfKNuBCZ5&xm4@L8@yMk5O02IYzU6LkA(qUs;2!Z6w_wsU(!yKC*L7=h!*HH>LZ{@1 zVT*WNr})EA5l>I1zQF2l7GKqihQn})cv3aiZ&5TFiVMXD+M`NZNy=W?B;MD)#9JT* zYx@t6HxG_ng=QkFF79-&^28bwcQ{yB%7-h(-R)~#H75fid+&Hgyw`1GA}MXWQM|`d zTR?{F6?aXhqsTh;i+8n0o$1R3aIUz0GDGD8IF=Fb*305@+98g&I|o9WbpaPXD&DV` z#qqC-+jI&J>n?Fjr{Fv~U;Ii9JyCETZ5F>&tHHbDh##9Y)PB17iAlpXcb52` zNyC-7PJG{_;aWOdeAlF*ZEMAkOd8s9ruczw9ctSyexXxP*KYAMoq|^Mh@YF9u$^a! zADT2Am(}8@ZS%dES8iQj>nYcIsKT81bh}PMZ3Xd3gM>}biq9J))U{rG!60F$`Qmd1 z39SglXABaWUlgA(NZ7`__>@7y&I!b4+h=&~yT`79X=2$NuLB`=Bw&g-%k!pOxqsgX zbci!&dQ)+djEsXPI>GZ8mU1)1x&_{BRji5sHo{aj|cdgG*Z#%tDtK zPgm_5RUZ`Z%&hZPB{KSI4dc}+7wfQiTWjH*B|IwLo>}P4(Q2p8y+GVz5pe`xEN-@l zI82v`n=B%Z#a3~HMZ^JYiW}3-42)(T63=Gb9hIeyI!7FGb9oajiNmLP!rf|Y(oAu8 zrX?R6GFRN$nve5ou{e&S--3_x_hfOa)n+WzC$4X)6X)bf;#liCu^$(R+wH-@nywZ{ z7U{$~HLq9Pn_1>9adZxL9eW)c#C@$R!v(oW+-6sXCiaW#EFy|-6GvNW!jYaQ?r2>T zPNdo5u1s_iW=%U`qj-B;n#JRLsd(7U@?p7nql1O(B7}x`o12w|A@MRd%ZF>ktK6&r zR*1JaSUFO7SiIc9;;Gvy-t1uU@?R$2a;U78;d<>&08$ ztPlpo>)ot8TqWM+U=_&TrQ+3YRsffacRE-_Ds`%OrGv$8*edZl2P;PkSBlp>Xm#|`2&ZPPkF_#=*2#)UVJgfs^) zOWwzmnNz$)lCgJW@BV{FiA6hK3D33rS}_ag%iNtws|;u_emt|%TPhjmP855L=xyEa zD2@Bda`7mhb`zEkaWlTLg~7Dj@=l#dfghmvbVsYE6>%Ni>o5*?j}h^hyJ?!! zkKV&=tyJeRD7x#H$?r|7^n|7yoxRvfK`cDr`)^&M2u5WU-Knn>lD_zSM1E(t$bj-)~75#>1r{Ug9>391>+#Nj&7}Iy@MbiANj^ z-109HPqb=?kFMX%E&4meNZ>f0O0&^lO*nYKJd@EKPBIJ~&SiK~#ZH$bP7`MeZ@%G~ zO2!ni4xKee@1P^FVv#rZVC>yW>U7a*$w!_PI-;vB4!MoQ=@4gFoM>#(xm|8?qVOHv zUear*IJzDfI!#gbc+*hbm*zCu{hDrhG+0991qi7V1>A)<-`T2d&}I%cd~{0 z7=%z%{y0d4ec{GN|+asf zwN#aiY7Xk+MJ?qLFU7}*t=Ljdn9XiI z?H7lacnc-nIH>X%s$J%0g%}nLxmg9siYuMQBzsK&*NS~}ydv)`Ft z8X6rN-FJOwZRE)4?vc*w<-7Nctm~}qKXCNm=v8~hJAnx9rbuxOW6O9`=cx2?t zvzNA=aKZ^Qz3KI_k-a1P#$jiNH>I54umP4Mk$|n+hoCmYn_A9q***Yg5L6&&EeTe4 zW}%w|YYm?Sd#8KtWh@+c-n6nTyOY>G+Xo09qSD*@>oq82=?Yb|4A}$_yN>J%SF?s7 zuI6+Sq-wU3U{uXEtfqp6eXOP;%MKDds%8h3p_}3isp0xAL{gRN>qI#MNNyvtt6bk| zg1Ej_sIpq_>)V-wGv|6UCD<&Jcu~WLdio$t@Cxxu8czINf>(*(rQyWSCwPtc=V&yQ6ZPT1EU$xL?!AksdlKlnTKa#0$WEp|>^!Jfz)Y6k71`}!KSI!wWq z%681eAsZ|g8GaGNC5F#MiNQLR-ZU4-OYS(^M)$!NGgG}x7CNSR86Td_O!wN#K0L|K z0G{G!2v74f2hZ>`4=>Af;AWHWh0ijf058wr+$q;;eb_Vf$ii%s;KLlWS|?;-u|@Gg zSd;)xvM3=eF%<_e*Cd1hCLss&OhO*!n}h-^FbPFiXc9`W$Ru>ZiORBpMtxIb7A1f)ElLRMOvM2#GYKIq zHwigdVG{DN(j*jMl}RYVYLifc(@jDbtf4v6fDiH98G?7vWUAm~`ZS&yQ|O$54->1> zfIrN5c#3SThCY0RNki}flCGlkCo{8go0NNY7T%k|X&qrS%l}BjX+!@Q6|dIe-TZ98 z@3ERjH@uw*o8faz*s>X3k)fSc?b~n`?t(GatiYoYTkhg;wq#2T*-wzg5_}(nZ2Skg zk|B6MKX<}MGq~Jp@Oi4UhHd#c(Q6I(eI{TByix)IyedQca{~|gtlX*z^dU<-GlN;^ zieZeoV>p0wV>slkK*H$7#&90aS1JQO&>0Nbghs zU4cc|puiGrRA3iu!rfjSfY8ne9ubXgS?Ea+AGS%P!kpd&@u3e#yv8H`2Rv@{GCm8V z3iRP>K2ZtG!l4-UVLXNdI2^+v9Esr^To=Q6xL&CY;2H&nFs8s9>{VbM_9?Ic`xRJ( z0}3p`wF>NlgA7*4>Z1zu;f7gMGl5ySJrg57+>syw+?gOD+?60XxI01eaF6Vn3fX?6 z0z?2??GMDBi9D{=Xf(B7#H&+l2su$2L_nMFtQ$|(i@8KDSnpVGx%($Q+o!UtPSv{ zd2P51a6g)<`8Y6z$lWj#cWJ|ifvLC&HoBW-cqeU#4XWW?1o5?9331;rj`4bu04i%Elok@XU#A@+k{SEM1Ppx8r71A0bqNu&W` zWIaah@9%>zQ2Ph^8ZZlY%|Y~EUQOHQAUZIwkw6Hql|T+&CxJY?UIGPpg9M83MhTSQ zO{90Q4sS-nl)-vA3&Rrd;Zg|%(3C(3mtm(4)+>YcEL^TYAFfbf0J{|!!j%fl!H5F$ z@L~lP;3@?cVGkNZC)X!%@pSZ$j*ko+Jum`O_4umMQwc+8(|y!-RM5YrQAJ01ssgvd z6g;Jritdqdc&H60NE!Z;+KG*NJwF5N33`Uu5%kQ-e!#AJGkSM3qkxpYSp)w?i;f7* zUibwqC5}*=I3>T#MG81k@%-gzwaF9rPgsg^oUmVKtV%!HLT&$(avo1K34Y2|%%)sL zKjkV0uq5R?o@^=(;1rV(!cvovi~X~RkdOVdh){q|lTeKPs)$gE{i=x21uIg{A)j^W^z1Pqbue{tHjQ2JVTtXL1<&9j5fcFZnryyCzQl3j7tJ z6<<1ll|aTml@Q%gdggHZrDq;DU#u~@CgB?XlO{hAaPt3(CNkff(B%IL7b&2Vi8k>a zik$pkU@0~EzsZ=I{NH2sT7&+Y3n$h6H!E%6;Y8jsHRx}c(hJAv7(kc!z0{y8{4J5I zKKh;X3?gSUM30%CIdpmHnMWs+d{(VN|4t1e0ygM)8+p~LL0{t{1@vafn@$?^MfSZ_ zgT9r)ZCksoVWoGs&G%+&S2oQaxTh_VqlU2Dy_y_d-JslknjGEUu-yG^i9=sS_v9m* zgI`4-<)a237y&>P{fm!fl6vS02uIE9`9=Zxbxrf^D)QLz~^Pgrk)Q3OQ z(E$EjM??4$_mmp!A8^-egZ+K(;5r66RI9pMV%Fbruc`~C<|f=bd_2aeRmsr(8<%fj zfDwf=A5vk=hs`jiu*icLYjp46(&R5`u}o3QNKDDx&NU|Z-_tbYJGFmch-m-FR`kMc zG$Ap}`8b=duBzDdEFQ%%F=@~1WFYjfqyW)R@H4>Jj-H`(scJcl4C$Fi*9!YDy53@I z{)4?gIdyNRsmu3WGJi6 zMmA*%XJlkkrf^0^Hf0KD;>acon-u74fmc=wynHS23bep0)B>*@-#4outVgK~V4DI% z=v82jf*}d!pOuOxX`W<^B(Gm?B$7l&&@dbqYz z57#c%!?j&Ff%!)5M_ROYi2{8XrshV`+NCk0<*9$hJDx{!vP$K z;SjEk;T#-{;XE8tDgzi-U?z?+j?Nb?loz*L26$E5%xO5jdf>hhkN z@xPFGd@OyNwsF3(X7tAtcQs(PJC>V%lDEjZ(~dU1DT}=Y*3CBSn}cqRy4_}imx=>B zPWBe3?z|(l?Np(C^UZny^%!3~-`2g+5QHc2DTfse4o-r5$K~zo4lxJf&1y4 zz&P|yawy_k`)I6QO;yF0_bmStnoR?+2)mMR?U^_qyE3}DXZ)vh)G&R zZ8M^%^f5YtIV^oVf&zFVfd4p_hpJv2sv@wV)`#l^0sd)88v=L&2P}g?2s0#*;{X?d zJakB)0MihlH@SQx7YDjikUB6rHUcM5AU=9f0u@6aWAK2msH1eokU_ zPt+Yg000<1000XB002W!RXJj5baO6vZUGoR=fPNPcvdkuMM5ATARuL9GBO|_ARsek zFfdR2i;*JPFDqH58_IlB3|Bnnp)we5AM0zdi2#=59040ePgTj6_o(zPt2+nK(s4&- z<>^M|hl>R=MN)z6_K%>}`rT!Vc)?H42gp;AinyD9Q&dR?9dr^8n%LifA7D|1X$5|! zRYBAzq+I6uHCeoI9_N{o^A6ZEr!md|_g6(keikHdPb~slYsi`iVCIoMdxLlU*GX*s z1F>|bQ?n0!Qyug=llP0erQihov&+pOlt`!RFUb=x(%xgBHw+cA3L9Y)VH#NIGS*v= zJT27pn0}tXJFIrQyoU3Aav9ncXUdCBR)pf-pVpqTcg!@S*A7us;hL+4s2bP@!Ff!4 zsaTF?KbecS5wZJ0s)yqRC_KYeWT7VdZfPMPVTguvx|T(bhpF)>0x>rzefqp?9SI@9q=Ub}qGf)j`-#CJ{~195x$Uc*=ixdJx1}H) z9=W_&D!6DK*?qw$?HHpDqGdG&-|1YLxN&Pb64DDYpmI!@TwZx!U;MuL$s#EPOdDKt zw6h(q5yv10O$|sE>Z)rfV3i;b{M5%rr*tC~3m&eyTpG2X?5nLkW%oxVxVz1i{VuA@ zTFo*w_&cMh9cQ4#b&wb>uR1?ulc?7RQfZ9H11`92Yr~_Uabu9oEZ3{;I%eSZDtvlJ z951ljZo_Jk?4&D}TXz&s%)ebiZ<_Se)RbDzb`nA1Q(}NQRqa3JE zd^ma95+uvE3TqVY7>(yRDM1UzXCGHL244jci~}@OjZ+o7D)w=`ez64nT^i`;pk%Q9 z4d%xK&-zH=ZeB(01QR(KoCj4lgBN)yp49bEs{A={T~pjXD=^jJ)6abna*!XR5#-wh zsc{yX&VP=;5zc-NWHi;d2F&_I7K1abJY1qnU2RF0T>}lNLyk*Y;)wuS9|?M_BkW$c zSCnvB)n3o{0mH4fVN7Rbs{nf7i7s_+()}R)1GnYSpqmDT2dFn@k?`o zGP-%g4|)VU!@eIu;<4+6#oeq*gykD~LjbBkU~7iY5P1}qa@Gyu zoY`3}9ErV4HJ&xRV!i*hf+Cnz>pq%cI!#E)I?eN+YP#^V7dN1&N*08%4e?WUs;iv0 z^^YFY zPG}hNc$2ssvqB+KnJ?>T&mp}qSGJj39ldV8deQdg!7)9qU~gXbjtkR%&0OpZQs*we zk(72IsROd|Ds>t?;^oZpN^jQJTbMttxrOl1q7mbm!F;^g%1-MgLaVR+44ZYul4F1g^`h@hHlH(heP-}>VG_UmSWH{f z8vrd%*Z`!JJTJmk5V(9tlcF*FS>vZ={)gE!OscPz1fTT%9_#xAKZ5#6D-~sbg zoz*P3sia!p*f2zrXnxfx?EHhUlg5xJ{(2945Jkd4{%hUNuCT4Gl7^W#XIUt_bu_=P z5e0S5g2+)?b6k3$$=vDPH5gGk7&VU3{q*rQ4X~Ph43D$M0e^K;RTd@+z-666n`ac4 z_A;4l7u1ZD=$yI#B?8@>D|XT5hXu9(a`8QEIa_0fC*^ZfKOd)tUj27(6o0WF3u;;5 z%wR7+qr9)>b}XCeRB@)^?-7mZACT2_jr8MI0Za8WpI0GP6;Ph<16d@GWKo`DKBvpe zA4Df>SX945c=v~dSuU>i-V;WIApCkC1qS3y%VI9NiohI`%^hh8g=XBO_JX#>hARW6 zdu9{VdROKXM809Q?;_*7CAmCcz#|bLYb3BZR!Tc%v-=K(L_?I$5WBd--V?;(nHFf} zl8ejRTx=25hD|osex-O?CdB>ng9Mcu+a`gp*yYfw`mO>279r2~U*{L%*oPJAMWz|0 zXeW~<`lHs2x@T16z=NCYYdmtpf+O8tkrCVeLV)sngP@3A* z+MLn7D#QiSqWK6i;J0~Wow-GCwV-*Z=xSg^(upojB-RyMdkRNBz27o(5?j_VGkeoc z$?;QN1VpL=k_|lGZ^9A5!)$13(ERL#68GR#YUVhH zKpHXmiW?(zW&ll+*1Fr$b=yLoD|apJ8xB-Xg+zQ(sCVkvE&1BfvV7cWBQg!a zFwP&MJYRL7q+zBZ9)4s{*sY}Ya<4ZaieWfr%Ar#W>hcbQ8~6%687GSc0oS8wgjek> zxp~sBNy~qWc zw5e0UbCgG$_yaG}qww#8d3=nE$>jh@@&YsicEu`}3s~d3darhuxqfG?(n$@+tHc%P zdsE8`NmJ1V(G?Iox8`mU9VUJCb#iLuF|EB_;|&yHkzB$s-thB}3Arz2D*#-5+git2 zUJ9{xc9bs#4l#xu`#*zh4imPHC^RL}l69-W!Qli+LGP>)mwq~1Ao3e4+Zk{W5$FDF zoF>9J%n9pkADknr+U7m9dQ*lMrYI(w>XUZaJ67DVYHc`%KDy%+6d0g$s&dNy@4M>q zeHWL@g7oBEhFTRypgIJkyy-W3z!P$PBt_jOaWOM1H9kKVq?Va7puq95$JPIues z=GZ$eAww8x4nW?V=qc~9G^e<%ZuK#NTi`&4M#B>QT4rez?73%iiX=+vUUVyb_~-8| zuKlpjozJe*l*)w}wA}ne{Jj?aFBsXy-TK4EZJ57K*0QVp%4fks2FJ57f0}Vf(7)Hs zZzEs-N=7~4DP@fv`2i;#&m`q@Tyke4BN!(g74LUq9s$tf+30nx7U->}5}i*as2g8aHAN1fQuLFcH4yuj#kCJa?xBR~ z=!fsuG!_z61{Q)AHRhB{h1ZKP-OeVqq?+xC2pKd$}RDrr(Lf9bb>Pj601BQ%J3+c>aL7f{c$OS5yKl-W?e^rJ;b z$Vdi8$eW3H!-b>GgAlYC?(wUYvIddH&$^MZe)AQwk`bvNQ`yNUjvV$Pz)SS$jzH`_ z3!3hSA-63Lwwod^JT~oK(OdXqfzFusVOd|sReyCha^3&pO%afNv$5{B4r*T&-=hnZ zgcKAQy6)nxSPhZ|inmF|ZF_DFchd51qb?mv97^*TOX($)R*1Bf9Jrw*9|yVnq=qF= z=1r4MsL@XdQSJ3gqu1yjRh^ih-g$ToYUr!p@`+41ude)NcF}0qZ-4ET@%$%C{V0Fs z)xYMVQ7ar4PfdEuW;_0WJYIQw_pDEyN%+AgpZaXcxHHe6T_+mqG?~vKAyZ)In$O7o z>O)q+64_VZ)mHMj&7Ayif1|7+HK$!jr^2?U>MR>^=sT<(1Gb1d7zN7GHwRDo36}~Z z$Wb@y1fZQGREyNo5tlb&AHJC>qkM<~obfo6nsQN9_k#^j-mV*Xpejc5 zNM%fLSeFBkFTTnk(+zibwE2%D9+;QU$%&98s9QfNKL3IL&wLCo_pHYbhv z_(xTSTT+3|(-oa3R`#xVU@3OWW7V2cT3xbdM^!7rJrD5`Qc*0Ek__srDk)3mZhu~V ze13Rw^G6rwWiFpVRbo270~Ff5%zv2eB3mqn&Fq96C}B0e?uw#Rr93nn&a#7u2CJ=| z6;|6;2bst>nhZEg{&MXjXYB&2lIGLD8&BxC%;KeIV{id;wrj5Yqe%EyX8LS+n54q| zRfXr2&bgX8KngWvzWW6Vs}AEM?<^wLL8#oMVv#hXEJpl}C$(?S5B)aBsZvj1JJO9^ zwc3UqVa5qsq!dKrRP_O-B62it;)%hcPrWgTSwmo*uN%%u$^%80G|Dz*)T-IC7wi;4 z_aq7fcp`^#bNOo1^h#U}Q&tq&tu>g_`s{F5ax?%ATL3@#o2CKnRDdxfN|Z0>)<|iY z&tbb^U>k8TX}BYsfX{S|UG}E$rSaScrkUfw%RAj!km3R3P1Ji8-$s%jqa49EL%ZO} zpb?=_T!t<_k>Jgbd9}eo89(;G2R!$j(8Sc%?3Mi!>u!KFaJ~V1=4dRYXNWe)(pjHXXPADys*;pz#!V9BfeY72uklJXX za}SXRkZ)tD$+Rh8&QLB0W&*_K#mL9cjIKB?D6Ofmk&HB@3wcm|Ow{D$zW?6h8wsa(7D3@_6$@64O`_epY{SqgJ;2JNxZ7I@H9D}xAxyyRQ3 zir`qATAj#j0_pcGKalg_1*$gGLv7PH!S7r{2C|g&1y1xG9bJO@`1X`y@yC>ZUGa#8 zUijWS86H8CybD$$_s~p*{dG7S`oV#gRq`fci?0u^1KytSN27~mn#>QZYq}FKRhh{R z>P_dTjL%Gcf@r^Q=(91w+g9*%y1&~sY>4EN8r2T4OJV;PLx-wO@lyoOw=ci9Zf}Tn z&ZWc35MrYPCbUz-D8C#1M-r*eQfzQJa&Wy{ITy$1Ljvic)|OlAxv;;?@_rF^AT%p% z!vO5=Q?3SHpsm4hU-2%Q$j!GOG2k<@D@txrob?{0daQtv1_5KY11qliW`5h27+xo+ zoWAPxC0`?4=A{-PiTPq!EX02Yu{` ztTWN}wB!|Ht8i%)KbNv#)FoKin$}zo5BZ{Fd7D{nvf6(e)1sWp48!*v>R0u7H@ha$ zN73CdHtdo&zNcc>GBGUTbxw-{9JS0gR!T;LlZXecrD(atuS9{DQ_wL*a~A=`&)CJf z0cmL-WyH3q!~62&U6Lob|7V=4oVBQBcU7n0H4JGZVPDt@F6K))1`Xe@vV3;S6ZrFU zf6z<%i+BW(vYtYFY;`X2N!Hl@D&nHm znsCbu;;N{$Zc^Xw@R<^OfS9k%{Gw|wQ`F+Dk)2K>%U0>U7^WGDj702rN75Y;5_LbJ zs;VzzTL3l&qiA_Z@(xq;S}9xr&;@V71?$XXMo4ro;@A4EU&g{}VWhD3jY9^hDTMxBb>rhXL-1Cs5_d3;>DCvm7la0pF@dTg z)s(y{_H%MEa)&n>n@nB&6^ShnN(L$T0RkV{-S~ipgzj|QDVQICOBY@f!-7u19ST*7 z=`3n@wRa%F!BeQcEh^89k}{^6zV9G7Jry+dO7sKA^woasctLHJS%sNl8_I6cb5mQN ziL2y}OvOMc4)Fj)CF)(>hl#!cN?TtMtP)!X@QKx(4-yAu{Tx_hAo1R*J=kv}y|Oc( zWzW<(74R_B`a7zC@|-0J@lE9g+>eLohWPVh@zjezTxIV75LoH&h7F zWtd_2okB2r`=6AE9F=XvFI@WuRAmT?pHu2OS?z>l9(=LmwNVS6bbZUGZSOQ5Kj$Jq{M*c&{6W{f|wQ+|r0wuy5Qr=LpM3YcNX92JkvH!uK``H4J%hv@l85AUsLS%NHKBj5ckKkE)r zj^Op9%j0S~e_SEs*e8W#vPYKEjHjLd_o-MgLZ<50wdeB@Nd}`X^o{)Slkj>7nG&?Q zQeYDD8Z+t636+<={nW!?wxumDjMKph?Jci|?3yacpS^|#>XtnWB2V?%rP5RE-3bx? zQ3+Fab-qiwmuFnbnfPTP@8692N%*J}WUID&DLs+FXd%Lcn8EI5^j1Ju~AG!6M1TD_PrJ z)&!;}BA&$g4OQ1E9C#{aFSH{i6>| zd-Ggd@gP#-9QFPCMpr3x!9L0tCOsuZQ58u2>5%hov1|hZ!-OD-7W~DL0z&B-;7jh+ zKXAfiL!VuJ9BrbRj}U?>wvc2XH}9RB8*Jgy8g$R{(KB*KV4XF7l~4U)bT|Fk6|%*L z@?(V0`9E0_Y%Bn%+{$$=^s01t#4Gc3z)aO9@|o5lLBTj{Vv3XZ$50(%QP5B>Y^%VvV^^& zYpso{nkL;m#YnGuuD*>-X4kRR&p^~HnWGp=pjY2aFM^r1TFk&m)K17&M^u9rN5bKV z;%E*6=rIRi_0Ji!7BfC^_B+lVo>HQ>2O8Y7{=J{~R7*Z?%7u<(@z9v@l3{WR_PYaW3!N4LPxoFVs zK*>=ana^4KNvhG0R}X-O@g;9i+p{zuYQ0-GRukXuDdd{=*WN)s%@LFR+%lXS*8;;~ zAyXl}mt#ID(-Rd}fh*pxJiAj7eUGI4e^*lZWmYS=dTn93uO8BJK3cy#aVnvGqhJyE z@$D=3AaE|OD&)o?%IN(Ei^DanRCRgVnYL7E8VksllADc93Bjf28#KP@uX*Fc&QACC zC~NTdl3%>eITAQ|=wbv7J$N#v-=SS$jw9jhH#~}@u2qXf%a6OJIJ%$%0OZR!Ux%Zj z-)5)9@5Y&_kmn7D#4)affuxJZf7<>P1NBlm=s4BCtq-u@;*ehLxI;ye-5m>lpt4vf z3g`ce^*L1F%|K=-bc1(htS=TpDxEfu`zQH{5Wau9Kv{vDC)b|vXCLG>#OHVobWD6|U)oMRh%5Ny1Dj-80k8XpwaAFz_Vxb>Qy9aiWEuEi zwRqTcVe9;~0})T80yqUpO(L)N=CJG;Y+y&RJbi@^*fY3FA9kG0dxdfk=ni?iuxXc$ zxHCHDYFi4%y}eckVX9c-y(mOPknl>}9OJ}i1T$KJTX&bWIsB}8rD zyN}YKk#)#bBf+QvWIqikNy%4vJy3bsm6kNj_jUYBg@xRBVHHv-%0CGVr0DkS>3iQ& zF%M2*dbi~6&v_jG%VJeyP7lD%$ME#5UpJhZSb-J~Z)uIP^J<-iM2t@CKNCJzdI{>% z7pL-65d#Ewa0lzpm4ir&hP$!+7LdF4aPM<^!m|Vz$xaWS>yzYxA{hWoP>Hc*ZJp$6 zD1SO1&F}3FkBOF$Z^y>XhiUHK?0<((M4hHP`I+sKKD+hW-9@MB9~dVkBBE{+}gu&>O`s3Nb)e-3CHJkP_AJ#EKJqnwOP?zfg!zmGyT{z18|?wZ~DqgcK~jqFkV8Lz8Pye})%3$mDHSfMlCSFZ0=a|kf%-M z9jgK=K8WudY0Q4I79GNix3f$#fOCU(7c7hfjp2t3$A2{_fjL)EE>&lK6SIGM=2=$k zNZxIW{pW_+;!vAUTDLInwF2_vGEQ!w<_!S;Aw?&PX?COZ+Zq(-T`MfZaXuD=B~ktd zeqD4gj1j805Okr&9_9u563a*XV3dMrC*yU#qalti2g`|V!ivT+nHVgHtNGibo2e}Q zBlpS&qwYyq3Jv~MRi3smeDzrQb0TA{MES*_2z#VZ=46Ll28CYt`}qo~SqT3HCn-I?&A(nV`h zV+*Z7E)rqu+M>o-Y}DtrCP%tqB;5+tOCx?OoJO%0YXO3d-4(|^@>@L7jQdEl@Lo!s ztzNFO?b0y)itfMr@Ko;AEQxcZH0A81LXrsTuca=riM>?l7{KW~&|(j12XBSIRK0FE z(U;7DZqqFKx%-I!^@K?@B)lH}Is=>_bKDnd13)qBH5(d#F$NhE!w(=OVc#U&x&-$C z;OMEhxU|=t6H^-6jQs2wGy3sO+)A!lX?sJJ?G~^v)UMcPeYHCNQe4UId%|KjMV*rR-3 z(DM-MQFQdzba0oEl4_2&(fJhe8)fG_5yC1PT&9p;v z_Xw4MSQa{+Z-*H_J*?>Nmwq3&*MGK@A0Njp(l`7#2%Q)0M6n>m_d03@33=`wIl}Hw z_~2^1nrH6+xwetRNfIr4g`ND$;TJc`i8j}%9)Sh2p=qe1oQl!c8oOahEkeOi=_IlND5042q@&nV}2X(%d_;jtdJsq~@`i_j8Oe4_J5QM) zNX5;cxG%yx2ky{E6L^gL|DwETjm}b9$`VrvqJ3`X*{FC<-b*b`QYpId02%J~UpYVm zq!4lcNW~i(&NdQ(QEaK^%;8eeR}0(_TPI*-i1Ew!>Ud%{=@RYCBQ@fq~h3e-X z;I0rkyNFrp*({sM)tC7VZtmtDGW?nX30q!dd!;?1FHg4_`;@#OU}ob z?Y6=rBk(~|5W&uReNY<%wt-=2OKN{m%0O(|y$y2UR%4Y8h<9g>3t^$atL)WLntd7malTa$^;L0f8J!x62159j)R;6VABiAy4WOuc&39qC)DK0bi?5=YA{K4nON zvy@JTA`oT8O}Ea4ia0O=s~CVeL}K)$LP5F!1y;oOXEHQ-DU$`4oE74+kzmRf71joO zpqkHfATCbtMc*yyDaM95G!o@Bgg#o&TjQVJLwmA?l8`jWRX!)KXweUK5d*DxZ8DJIapYfh8%h3=CcPkzRZw^WDBAq$$|%!c%(DOpnU+_a z5y1ESNj=f}hQ0;m0jACM69k;b9dpIujO9B*=y@(C11`o&s=a`c4mCBV+XpE}*M(~z zr6QxWNf|^3UEbzt`k`iMkm76KK^SUet?^EQBPr?+SggAlxV}**MC=OzQiJ z92BnDyx3}9tAv5e`I1^GD$~H?e4#^l`-kDX2r%=$>d&{Wj-q>JHhm*~;Gz<>1d&9= zgUfOV^f&=mWay5Pvb{Uua*AMW4&tI5aD9RG<*-W9A}b<%BSKxX3y>LfgWb#`X2y(< z`s-|$Z>(%l2_mu+Hnl9dTyiNFkt}wyU>6%{W{Kn#Mfpu_C+!Dw?P?3jG)Jzp_JyaE z9s3N7Yn6PX{~5!|BgB9d?*Ox9zV4<`WyXp-Hmnc-K&iTjSEZoqyvqtHFQK!Y8~R9N z6_l&IR`w&q;afnk9D6edi6qoAukQ{UTIxvg=>nRlY}nTs$QpZX*`Y4aef_h%6qQ0_ z7J(b3DqWSK%V2>5=1A%GUS{$j1ja^@G4T*9b`7!i2HKCr$CJHbR@X zEHVi$5AbJv5hat;M-;am$X4`@C*6dn&e9a8usIku=lymQEzo_0yo&O~ z=zV8@IG@@yb$Qsk&TSOjNQ=#pqaIcP4w8^L&}0AAp-^$#Sart*XFxp6!ET?mM!;#= z1hY|bTA9zC{@WL>}oJj|BcKXlt?)mSX{C4WE(HA>lM3nu1 zXj%n$$3Z%ARmZm~LTaO@G@FSohq-8wN8=$6R5*k zBky1reMHex*>}DS1WVI30p0fpNCUgb4So3cLZp+*AkIo-oz|IGoxG%HUbYSP*1G8| z?^Q29z?%7LaNMS{o=nxZWq=#oBL$48MIf$w#}S~>B5F-_*(F720Tr@83!h@zG9qf= zy2Gtp;Gx%5UkWlyn@02>p&^TR89hK(4svi~~A4wuJ27^%ky-$*EGJmCbpU0wmn z`0*IeYyp-0vjI~RFod?Y906}mX3Y8{I&afYr+O)%Kl5^*FN@L?HP^so7$!i$mTy zJ*RX>35Jtu9O4tkpU{xN{S7r4`5_$ct|ae{-9Sns^o^yl5(PJAde%=nW< ze7iRRBIrgaq=hy$B)z;(elqg2AbZ9wY*XA(Vo$I73z9VO%Jk<18>*5klJ3igbt`LS zqvw#44CI55NCO;BNSnCej?zVz#q0-&tk!LClofxv8`rEkeU+Pq&`kg9>Yd3dhm%GU zbcgRAaZ6k@R0x6GA{e>GS<&J9FR(&HbLnisV$L>NyX^L9DQx(1ulZ}EF{O0VY#2BQ zbOMLH$=D4v76-EZnD$2i-20nrwro(}6qCG>0yE0_@mA@6()8%?+>3|9xl_ARytGWY zqf6NzeENW0tB7q*vu3GE`P?ZLJzkR*XHstlnXK`XO zn1afNP4eZ_##d#H-P|I{>Ef#In-VG9w2#Rp$8kRsFFd8yx`n8GDp#owahT{nX0_N< z+xOr74tCnp_FXA^lB_=XvE^!Z8S&MG;=#LBolN!&2lI#EkPt>P0#uH4mFs#I>|ZYv z_^vbO4;4#mG}`%@@l6zo&XJB>`SNSLNvZ_OlbkMnMI^OwW=JwX|cc5(XJ=A4aRF?ke7YcA)W6UCj2>xWmjVHOr!7EXUvrEr zlov_kz6+77S=Z1SG;L?vhIKlR2+#8JK7O3JK>@b6el~6V8vp0e(z<4kBc1jl%p%|m z5j{*SkNFb;v5k>SwoTlX@q~48EMTzJ}RsFV8k-29S8p?AV&9AnIRB)=WTA&$k4-rA0UHnK8yE)7PtQ5*6W?|sHm<~w&8 ziOpp4_O5dfSwNq7m>Jx?YLI*?7^_F3_yfWjNhy<*OlBwX0X2{rje0Y>1{(Q`bfY$O zi%E86wJrsArA$RkJ6gLoTPFWsL*whu zHc^i{Cqt8bqE<=^!WanFE4AM1dzl~?m9nDdM~4g9j`Y&B8C1SR-#D?1>8M6S8o%b6 z8ty&CCl=sjoJeo7FlKv;);TEgtDqM=LW`23YYA^1WNTHz*9ekv3Uk+k`pG8qE*hDv z)c7YeS#K!aoqHII3XdnA8KT6AuqoG?o9@l_pu|V&8xdx_r~6fD)glrIc%`8rACBA5 zdi1&3n5mHHgtcyN)Jsl==7;c{*hrf2aLSy#3*wn4mvMJDY&tV|aKp2Um-s7}N>=2@ zcU$)7H`Xst3d;kMsx!8-(eJ`kj6;1Bafp!+?FMowtfVtIpQ}j`7={@FyA^~T@Ds_{ zW|Evvr&l>u?Ul3av1O7a(~}BZC~UP7<{&vB1<;Zjl4qtG#bmuY=q1I7h^V7hjm*fK zB3&(?QSdPdiZM|fvSqxwnGa<0fZ>U75U7|1%CC-Pt%j5~%O<)WdWw*3mN1p->(<#E z3^=@7NH7jH0|}A(B&m)=JNd$abX#iM>$rF1WH_kZ=N zDt~@3n0h=B$Zuw$jeVLNN?9p=sJl|B32sWh(c;vTl`!*RNY%RrJTR*e&I%ThXCGCNGk(s!GZ9gd z$18VAu`!$GSv{e$3z=L9a5ea*1z2Xj^HJ>JjrYXt{ugN~)5lM>(Bn}dO7ZK(I2fb_ z9AkpsK7o`fQNgWA_EVyiLN%wFW$ya`d~YnCzG^pgt2I$2(CQBPGpUpb6Nv_Fk((@Q zX~qO+DO5zzHne(~7=z-3{%WtlAcW(AvhJHxQ@pO~JDi(FyDfR~6-om>Cc_F4E%wY2 zZjzw60+*nrf-$nu%TfAs#4@hFOxe#FE@f_3&@>Ji@O}!%vxTox(x0l-mTQcO%~TJymLTL16ANSJ(zVW85yqCl{cQr(H~^dAT$1~J9;nI zL6(wreQWCcnYbl!(7I8NVgjB}!vC#qE%-oo>E!q+vR;+jnSM`?R38grRg6WGL%(8c z($%yao;CT0(nCbKAN(CFm;$|(I~M#DVPtLY9|+pbvlyy(geUuh+6JB5YVe%x*8!m? z60FP0@E;M~@m>O==6P}#%nhV7UDvPr1tyy9lD-qC{%8hvp$4beJeUyjDs0Kpl1<{? zs6$nD^wWJDbH}oYI3gDx;;~yx@8^}w;Hyz)M--pS1hh!ltGG`2b+auR6;FFz`w)&# zs`5ANiDcH_rmgNO3aZ(ghPNzjaY(`wZxrgck48T*Hg&|^Cb&<>tUGNB9vSw}4DKY1 z#%PsBH??i3L&cZ8h#d(ie&y9aUFbxUxhRpuZCa{T!GgVQ>ue=-S~CC`z6-}6a8>JI z+htDd?GKHJ^(%W)-FK1Ve3LS#Bf);xliv_vF#2k{j3LV?v2FjiO;U&h~?1DG^msI`aHp?`Z7zS;VcXesG zRHL>IrlsVndk^}exu@V!Wv1;*6OXG1fdF>!YdcfcV9y7&%y9Iw$GaDK!qX6pa^!b6 zMKnB{+`VEhV!~B;O*7`mic3?PD)yK<;=`DvmUZ_Kj}(5~e1&=JW}rTpc&%z-fIgI} z1;EeT6D``pkxTWn+#vwyNl6%fh9X8)6TKFs(Ec<+x2uv7YEK#`=wIHV`97F7p`wfi zwiXfJ2Daqz8Lx{^MspMnb+N>`PQNP9{x#H`5KlUKRnymUKtO%&S>c}?QlCHvkJcu( zoz&@{YZamPv+W5Dib$Tvk@&c&y~k#V??)c$hi2$dPoXQz?D>=WTyfZtW)98CVy~gG zAhf&o-mYK_u_eT7Ep}s-kGPh-`?+m}4;GxFt1a;d05(!jce}5Xyj6it4HX zx%=Gu4YJI=zf#ru4Gsz^tGuDUOUS&+A_X=g>d|fglXB@}fHQJ59e%HNLQ+YXzD&qY zfQ>-`_C_!6nziNx(~*mJ1Rz}=hD}+6URq-)ths{_{zs0YbKeZ@2}xmV!JZ*HEGKR6>c(*shWQc6cz(L~NICDRwlwM&zGB{i4cnu?zfo=!rvDg!={-M=Q)E6iJZ{-#`u zW}Ih*KtcPO$fJ3msAwtMS1Vy+SMJ{W>kE&bKHfl&`H_qE8KL6HsO2{!W{_H#p_jiZ z8-tneEt5~5E<&UpwL4#G*dl+Zu7r>OD8ymHj5ZfIccux1%{Aj<4!y&7PWAH$Yjq%h zjZ_)%uq6T1Sfms_Wk4ChM+ z^KDIfW?AoxX%KtITR3>G4_kr@ES!}bw}Hz2b)3y}=Yp0&!GvR-(JnVW%UhFefxr?C zMGh1mG5?#@?-3H6%AR!Nn7e3KaZy0tss<^&wpF}x8KM#=!rFm~ph2jwRKK1RXF{wt zHq-h}<(i|7EbcOp?Lp5yRIb0l*cHSaR=|98%c;Eir;Ldd(8Pfz9vH`_u65)Zb~ zF~7bOWDJr;w_AJKc`(Y=Adj}~Z5y%z8%l0mCtD3WlOJNu!SS{ZNWx1?oWj|gviQ;! zjHT|3*xEx#1566uTFs$CJnp7NZgmRC#mVGPEoM!nT0*twYZu<$y{@8L(-Dk(hXu-Q zay;9abPqBa-r0F~FCmOJ?*!t~AM;&$YS0e&(NMLc!{Y~Lw2m;hjml2oq#IcVkor9@)Z1zwycwW%Ijk}i zZ5zse3#o~i{TS$$P=?h*@1-kd%?veSltbPNDE|S39(>rQZ#v zvNO*k5hU9>YN72ead%D#4eK^thp&tNda=gJAlSh4t)0|6>-jm3&k*Iha{U)$smLFU z#TgFir@g~udv15pul3@X!0dssYfIuRh2QT*#Aif$TT|S3(z|><=Zfb=$lZ7TE=CIvqeJV*o=|L%JR>dtYK1J>O#mGbMZe+}Pq0Gde zk+yL$Z-@5YUJf`R;zkV z)y1x{NPW!R{V;{*%;ONdTP33g4jZ|hms>}TV*p)T9^@>U#Y|k#1zg9T4C@ZInw6uu zES;b67;j0MANXKG%wE>NVM4;MK+Cr(%jfN`HHR_)?UWfb686IFtvIxR5cdc91MegQ ziiUpH?t>{jAJ<{|DJQ0)JK z(PxV@2io8$<6i>w%)n`n6p!|q7WYrTtlJ|s>TMI(6Ce@tuUgp6YXoBzk_p&jmqay& zCcmg+9q-xZc{4e%-$=ovFS1)t-}6#^n!r_?m2uEG&nPTw;Uc46DG9J|*{xE;91qy9i+2Q|DEM$N%wb2EgE-Hs4?2*RsgI+^1Y)xLZvS@|c_e<~tS)@_ zxIVm+{9_lniJh9QkGra?2PeeJ)zQpnYX^O6rv`PHT~TRJhnWY&T|2>}E%!kaCdWED zA`c!I*FYU))^e3s)>h-z;0D!$>Z?E?ontI)FrbVu5mkj9e5}l_&dsOB&07b0Q4Qin z9@J&!1_1t7n~lNQjZ3%|-Ut8y&Ofzr_jqm2>8Ta>T=fe#L5SC5B)J5-{BV%EkXBB! zG$A#8&-wyajMjYYfsO5>h^GdcF8kVbfY-S4+fR9%T@*5XkXccYmz=2_t1&N*49&i4 z#>CVuq(R?_NTZt+InZPxa}`G9PxlaKx2mKbJ9+nPKaonR0m<>LnsHyt4-&A@8dJm( z@C>Yeg>*MU5$S!|W`S*D7RLxJ6`Yhg45VmD(Mki`9j03#&J&p~-8bTAEXjc z1;e`N_zK;U_^H(}2e+^OU)w5tznpMxb3vY3#S#7zS!Az;XWAng2-Vm$$A27psUM*qM`^eVP(J2n>(9KN{x^~JowiTjx zKvHla-wX-~-dtWVuw7E(3M(q&&$_>iq7yK z>R`OciiOoalA=YG2)U5s>(cvDXFM~x>!C|sLg|Evi9Is~V^7r@L$OLoM#*%)rCw?V z?h?o?&i__?#{{Ox^6 zu#hdwNRP9|@uN)rNwRc^Z_Ta4JO}d;`30xzbf{1jju5`W&%s;=n@w^xMFd0u;P03T zD1%b@QEGwbuf9YHgjT1)v+f;Ao z-?jaH?)n>?_4yn8eJ1<8m*3}{KYIDoW((hc^z!En^gI0fVEh{n_xl$NADU{4Pm%v< zg8@Gh;EwL{M+9&JqTQ&6^k%7y+TyD?I=J delta 138 zcmcb_dWm&Hd**|EMvg~$Bs|$1r}8o|%y4=tbfMC~ajP%`!!f5;Nd_Re3MQAzvv97m z|0>VG;NW;vmG{tm`>ARS3zd)V=01K=FKmY&$ delta 9 QcmdPao}kWBvOt~z01LnZMF0Q* diff --git a/lab2CA.runs/impl_1/CPU9bits_route_status.rpt b/lab2CA.runs/impl_1/CPU9bits_route_status.rpt index 368950c..b33440b 100644 --- a/lab2CA.runs/impl_1/CPU9bits_route_status.rpt +++ b/lab2CA.runs/impl_1/CPU9bits_route_status.rpt @@ -1,11 +1,11 @@ Design Route Status : # nets : ------------------------------------------- : ----------- : - # of logical nets.......................... : 128 : - # of nets not needing routing.......... : 12 : - # of internally routed nets........ : 12 : - # of routable nets..................... : 116 : - # of fully routed nets............. : 116 : + # of logical nets.......................... : 152 : + # of nets not needing routing.......... : 41 : + # of internally routed nets........ : 41 : + # of routable nets..................... : 111 : + # of fully routed nets............. : 111 : # of nets with routing errors.......... : 0 : ------------------------------------------- : ----------- : diff --git a/lab2CA.runs/impl_1/CPU9bits_routed.dcp b/lab2CA.runs/impl_1/CPU9bits_routed.dcp index 736cead4789eac6b82b69490fec3a3111aa2fd94..bc07617efb40684bfce8fed00a4b1160aa8d36ef 100644 GIT binary patch literal 182755 zcmb5WV{~OfyEPi8W81cEvty@Yn;qM>-LdVCZFFqgw(jnJ&-v~-W85G2`?ad(Gw1WH z?6qg@8kJS0@EsHk6$l9E8<2ifh@9d!d3hu-5YQ_q5D+8)H8!%RceAmMPVBV}5JVMQ zdZ5wOXo@5z)UcTs$E+l!1nX_431Ci=iYH>!cz?4jVoNSGc)R2?b7L{MSGho5-s>P_ z#rsLjU@xCIB^i11NK!y*Qu{e63Xe`&^pb|sDs^O9=n)eBUeu!C44KjkAIl|$p0uN| z-&W#vm|py)Q$Du z0!bA7L3QM5zm|H%5wx+eKxpP%v&!!k=ItMEen*e6?>Wk=uAQ*}H~APM(a1TCL=_+# zP(oG^Qk0_Tq}@&reH5a~OfzdfX8-V0GJ7IE8;mypb zZcoI(Bx>3r1uS$AeC`ifNkl1SJ@YY5-?WLYcE!v5VvVX75+s%_g_{ohF||$T=|~!z zKCbx1anmJc@xytIwtQ#vGdK;$dsmTp%)}S1Ls}zBCvoR*d9>XW7_9G;7Tcs7hZpvc zvN%ro5Et7R^Y6FQzPg6mQoI)UdNtxbI3*$5K2TRVNcJH53!TCp1!ps%t0L4+&Cum_6@@{-lPyFsscTx0dQ$uI{WZXu&Es=I z^kL^}>aLrApP*)W%e9OClHu!A1|gPwLk`}zspDnc-R1f=mBW=QNDrL=V=0xuCmmO& z{95l)7{4D-Ufqf%a%F;hU?vaYUbdt?xeo=7laUX569WZ(Zs#IwTi2y z$f3l!X8EqRj&5FEuTGth4L6s?$CWuI!40dA{lSl620Po7r)Y_%HGYq;TiX}KZCm*L z+>5zsj9(?+14Tn@2;Lu`qZQ{1nh`d6JV@|Y-EUBS$w`bORE#fdWizOczqBmep56Mq z3XaBOw^XjBeG%8z^PFP|SaaR17UJUg4JNUVEy`g&>+ zJ6hN`cJy&0#81c!JlLI{n%w>LaCc?!*{hNx$B+oEVTe$3Z$Fs)Fnl<<-OE?@NybTC zTkt+oH9NBW{lja2V`@`7tLryncEeW$!h2}YaZ6wzq^J|N-?7(~n*bKm&s+Uk7kc%I zaj#0X$jtGY$SJpGjvVKl8-lBZ&+*GQGY0O_?O-@wkJ&D_S)VtG>_c<8m4@d~*Kjut z?8nNFTg`KkOtXvV;Umu@qsM|fnb!lI1hn&kJZ@WToRRl>iBSbhlH@&=VU9qbS1cUqv_-tIG!2(=2 z7HN^2B|9(s3%$m%UuP?BTLl|uk?TF@;RIcie7|_y2Y>M>p4V3W9{$;cFSefpOE7oF__=))lhm<6*HXEbE$E+dT=nOvF)Q- zW8-V%MQ63_<8J)oVYmOO{YzK(<4s?s8T(ZSB>&Y)M#VvzCu`}fojNXQK3b7e~DPQD!6X?e3F49~k8-Op*C z&#Zz@--F_!JKC?g>34YnD__G?^~d`vp6=D)PF#6m7v`^ri{5i%kLPl7f$S_mm0?c`NR;=q(M`9{ zM|-aunnZ85ERxvAH~c{AX6wU}qtJ%C{z%`^J(|xTe~YA9&UQ+Mm_wPAmp`4=M2`?U z6DmRu^{%v1dK1LUlV|-#Qm>HRa`2y;bC-B{noz@uTktE;W!3^-**uG*Rx&?7*EW%C zT)rXC-n(Uf_vmw0xI8sZ`Pt%n3r!O*O7TF^m5qPce~?Rh{7YuL!0)%o8+Ug{+mA^B zT7CvQNz}+p8Ym@>$x2e!rSVvp9}EGaClJhXhk;WN*)Y^76NbO0+7r6v-vWhcwB8{X zDvss3O=4$%IX&VR37qiqOZ#??d`L+=DZVDc-xb_bWZwvQ`No&%?XO_C--_jU#+T^s zr(?M9iv4m`8j_8=blG#E5Q}1JO9Yw3+`t>Su*GfRu^>Y(Xt$WZOlbY24?ny5zrM@@ zbkS#5|JRp#fG+av>i_y8I;6X@GkOk2VcNsmZR^UZkVsn?%fk`*My-0fbx2@mcX`=< zH`U;J^`+zWiI4*GAkZ?NIhZ`pFpX#|WvrB^pPn$(RoyU)+KRR!x4A6>FQ)?YsMnZh z+N8NNuSsL6ri(6@-I#CsJ7#CTGLAXwhl+BD5yrjBy{al{aM%&?;-p@7)a1q3uH;xl zldu%Gg`jT-ae}albat{>NDO8{QH+}GxFwayQCcp1wow3gmX-L$Xv@9kt9gA@xUm*W zs104-2-$&DDeXb1o1=-hI5Icwn24~1gu5h;%UJoVnj;-SeT-QnlGWe@c1|67UUhJ~ zvwZ_Pp1~lM&97c+vQ>Vg))2FxHs2SlJcG>lsO# zCGPK&5wb4~&hH<6u^v;8;-Z7pHC~PO8YhHTZ0pJnj!k2IsY_g1Hs2bd;B0E+5BK{S z8!dv_i}K(ep|ZRXL)a_+n6ftZ(uXBJ_5XRKZsfyW)t?(5^)xVlLtEvCqg(h>v2WRw z#IY(A>>?=dFzt%ga1YH;_W>4M33xP!Pxh5#VYpuZ{Qg{LMDYL9Whfjj!`& z)ZlW?VX3bt+*P-|KT=`&S)a>$6SGCYbGRCyJ8A?Hdqal|*`D>ydb72_Hj zLKzGu@8Vb4wk5a>*;DH<+dbn4zgUbE|Zma_bFBk7cI#j+>xEU+8Xe!GDcgL8Rm zD*xis-nfRt1OtBj_t&Or(~jbGD7)&)heut0&80j0s&pa4vVH6Fw6%*tt{X_{ORkMI zMu!usCPIWH-uS~f7c6sD!Zxb|fw?iH9;%I-Ju@eiXCO}Ng>Ypo5oSY#=+LPg5j_xr z*2#4rG66RhCNA#?^%WwLK+^G?PA~*t{`H#Ax58)_N6A+dMW?oI( zyI{he88GubtgxQJi$5vkIEeA_N?=#UEvQsX6!^#=7D(@deaKln2rI%0Mw6dra1X12 zmM$h^f?fS`n5Qvi;u^)U!MIM<3a&D2qs%6h`?+&LZARt(DO4gvkl94@c*>JaGFZ@D z$NRaLX_g%(V+tceN8rxGD$4ZAA;R$7WTiaC*e>bJsE&VD1Q+VMunwa+Z~X-q7@VVt zkU~JZ_d z_VMX$oBIAY@$Fr8dZ(HJ*KryBANJFe|Maq}K6Bjn+IQgc)AGjM-L+d|Qs;^w0Vm}A z8UqA>>#%R~S2Bb6;fB|5jrR-&i9;Q7!)ZF70jm^Ph%#ig#b1nZqTmVE5R&T2lCDO` zQmTX&w1ny{9Ff1>)K>$aROQ{+*Th8oP20judE0$^NFozJCBrcjBor6V8uON#`_mo+ zk{t@EW1L7hki)P$w2xs` zvr0;`za)qt%XRHv$IGqia(5o-9pgT>wS$_J?e_la%fWE%d|W3BJkks4{6N~ju7mAn ze)S35vSY}hog8GrbCH-s>Y5p*B9>`WLwb0vkMi(lAgVG8xhD*j+bN7gg`$v}L+W@M zq0PvnP0vf!Uo_Hy^o-*xF+R3L(RT@&Q`hcNWmf(?JZ(;p%JI$piNQ)GYF`wjCLbe^ z)2eU_aB6dUcc@#oX_H50Lrp7Fk4~k{V~Yc}Q@eTg$1T57PqvtcY`ZZJYMO08kaQdf zh=fj8D6Sg@@nOzZQaiNR`eavaq%rI@argx6h1ii$t5^r+4my(&r!6ZZ`Fj2x;W}|# zqJ5-3Jub&A0*dX7JL09Gv-K)if7*)YI1V9fUj-C0s6TL1c5WCL6e_XcEs6Q6l3*$} zH?z5yn7ygFU8dq#Ya^!eTui8mjO=YgU6B(SG|IW8Q5yL}%W3eeDok4Ohb8PBuSg}f zT|Oa-hFG3*ZSxx+#e1zE@1|LC(|_0x)}3~}g+O3*1~ zdA2Z%s-v>zP3@u}#>l<*>{m>a`ea~)(waBv0vKh{_0qb29O%^9>jU+_3Qs{dNw8ov zN$ki;as@dR2V*UmhS$(luDToE&`fedDhVk`T*S{SdXheD&m#Eb4kviG^DeoH%id=@ zkmTaS8rG%LW-pAU;357VTPpp9aM%*iaBuS3^%~HpBgSgRgR@{ag{oCh)QIKOP}Ddo z#*y6Buv2SxJi3VFc%MO>F$7kmKQV=%H#to)_cFS9Q@H7*f%Y3^{{!OUJo0P%D{Mdd zWcPC~m4jp4Q{pmY-j=Tn$6CF>k;brn`fdc*VN6=#0Ic9u8CbXiv8s+W;L$a_l+QR^ zE~ga#noy4s;so346t@0_3Q9{xLB3fyxU)+XR$bo3>TKnu0H4pOXZ=!iUA>eUT3HYm z3tXdBT%1UEMltUX+656~CBsAC!rTuO27R*yNUPPsGN%CrZb27v1$^Lj(XXF3dQ+3@lAJPFO3B$ z127J6tuJpawOu#@jRi@QT^UT0OB~v(c_WNh`Ho)X;u~w2^XXa29Jhyb#pLRqa+J5C z)^&d762-PRDK$MA&baD-*Z3|mVlhtz)BW_jIu+34Mg4e zW3unDgMt~y^YS9reowzW^C$;C?c}&pTva=X9n%J3XCaei{=SvD(bru2yUQB~VRFVK zo##n3+VtmFPTXS!ej=@N^61N|?SaObTBevlIl|&3yO_75Rli(k&?%!;zmJh{UD4j- zYRO)`bZY15X2zT)aI7?$_^En$oeeKMDn6uqf<%!m=>rY!3Ol|8bZh~|=w5Z--lg(B zlX8RvOZVxF&7PuhT{5qDRYKHI!3Z>!`#p{GIy3$twWKDfCRY~!XMPccuRz39bEbgL zjP2;JR=QKEn-bdB!38Dx2mzl?I{*|4fQIcL=wDD$)%d7BpNsm)e0M`CC@Qbh%QDD4*L2pI~o=DpY`9rY>g)`bXi%P5KG4?`mBNb~@@#r^8 z{RV=B7foxnwkfJmNZa-c`KDZ%j>o9^m*kHQAU$&H*H;T01b_-+PZ%DY`i-_h2SdTj zgfkBA&H*Pn0|pMqpk{Ds5ZN31&U*yS#HYXQP$ z0_3$=!MC^|rfy?86|rDe;3kO-zf}@h!HanE+($_i1($h*UTbfg`1KPOO| z(L&Sv(BIW9DbG;76Gna%;yPYWFr}iWQ&(U7FfOdMjF0at7ZZoBK*yr0{0|V`SN&hW z$PZN8B@H!QERmFmq){S--fE`x2puCSAGvnZ4%LC;csM9V{9~k&s2}MPuuVVlu@u)# zgOS+yD3cXAkg0X@%CVYkOtBT`_SCSNJZe)5T*Mko=h@HnMP(6eOy6GMFi0c%JY-I3u$f7%llV+COSOTSToto!u5onm zA2u;bxbfd^;s|L|Zb?pu@X=8+%fciBU>VqKDErSRQIN7`my)9+L3B#OGPx%|ScKq9 zrrcni58<-_L=cJrFlHhE@%S_lp9DX!d?q^nsW&9i*umbTj4kwD~=#e##bGU_j&65h%Q*QZ0OG&Yymn z^HTr+Z)os7CY^ri{=76z{kd>bV~6Sy3bg~xe>QnN00hPQ9ob;@Ry^tN;1cafETd%_Hmgc0 zV_z#6WHJ7s@Nbwg3}due!TUF2^`apa)A@>=P@|G_9+UJnnwV4S1oweK7OJ48Z))O2J}rXKK*RUFf^d?TS~5C$xmm0&y{}o9U3odB8sKv3S%Ay` zq*Ga2(EwbYZd6!X%?WV%cDIs@5T|0aGM2Ul3>-yC{#5%W+jcL z5lMsp0Vpm50HMWv`}U#wtNXF%oJujU_91&3muRv(u8WMi+1dZs-fi&#$5|5M^UazD z0P9Kvtcyelu&ye=x-4@5>$U)_8^{N+?qmkQx`6=eHYO`p3_DBdn{+G)V=2xR=wUTE zej8S3H#B6i%uHs@FNPdpwmdM8&T|Q#1Plq^ZA4{b^8k7Mu|zH+Dx*uQHv7VU*nAhO z!VSFHKO>wcjU7}-MoK=6y`Z+YZD4N_lK*ikO(z@{tSVotnt?Y2 zk)6qaa+I7e2L#^<$>UZ4K?%vf!8$}kko0;$u;*V8n}|Hly$Ya|zbId`iAM$m-vL3+ zg}+KpKoF-Kpj7{>bU-x(`8|{Y! z-$XUNYc~z+P8ZR*D-1t0Q;+AjBu%*gu0@9qa+xEFkJ^@7A4w6Au}Y!H+8W`wExXzv zkx8|5SDZhL#YIW*44I?ZiI>LY? zkn39t`EnxEzuXz3{VDB`GF?w%iHD3D8Ttiuu*KgqE~F{YEZX3^N!uV~QduQ>XTjP_ z3>bB0y~3d7tMU-=aiHRy6m$$-eOTnJmt7|`^?l-`4PBBf4%Wb#$V6oB;jSMcf&RGpAeASba1 z?kOHO5j&+hqU$K4#D6HhVNfehk?LBSJl2RfGA(0CFvOEik**<%|9BR~S{+f*(0}EU zEE~IYt}1`Cie{Xk*B}%M|GkG<8IHhX#sN6Pz>{L3!gO1R8G|sK0f;%-zXI6&engHi zxZ+HRc+)m4(NH4WzcmCzYt{t!)%ZvBJ-37KPcG0Fj#mWmQZ$qUOm zXXREqMCDe5C6W}8#v2YsqqGj_f7B*ZJCf5WF-N(vpN5f_DN%Qx-ge zEnRQd#g+NVMMZNXnS4zVh;rKbR}>(F2|jmy#J>w2FEZZ|z2kDQ&#_|<3lmOt{_Aoe5(zyYEZwn3k zfh_C*zSSODW>i9NrWuNaJg+mT9iLO#YeK>#Oy)(7I&n*Joq(nM5^cyv(Dv(HocEJ6 zGwUpp;ScZ(Dv|+nw-KR2@y~8bWqvUiWDBgqf%MV9kEO&}KUm~et#Uq{URpKIDi~2g zU4c-j&7@IHt%K5ZQXO8f;jC`TFXh+xzFr*p7OS^8A8fMwlzGs3PhI|6v1nqlA!O&O zUYx+cm^)R%mizO?2mK&&QNMn}DL0FMOg~C1dI%h5GdA&r`jQht8N4!F@RJ8FpNaMc zq|6N0v*&(_lO33C5`rO@S|%^owp5BzWsg4}@((H4vFX@N80c0pCc?m#F5@Wn7`GMh z{65>epJj`+C;SI59JtlG>7!pp$nmjh1a7#@MAHJ;K3%98XpRwP>f>-p(k1PLgf@Y7N z`;-H2YAu_(;9%G~B&7{%6MKF1Lh-I^8f1Cgi`x$yNkq7W-Nl1<5^-Z_Y8o$^d|8NY zoOy`bn~hxdIGrnqCVy>rj#4<|u67|C!q^QsOHj=dTZLP-E{f7-u0vY|dG0xkx*EV& z5|Ibc*hxI8@ZZJ)C-eG*2?qaZ3SwGhd41rqhGG~XWow(9bao6)_oWgTBOZ^h^0`VConHg^kHo37VsU9 zFa3OY({txduH}1FY=El;B zkc1sQGa1|Q_=4l7P1Ac@hu&vhYoL^HC`Bkn(-s!rSG_<0{lSB^2h$hZ)yaM<`S11* zCxPxypRWtY-(!SS=!d0CXEfu+Cj~mDPzjp1BBqk2R0*1Gvdm{fv!QWVo_VE;rYi{P zMCo-;sn{lqYvkzLChW`P>2QSq0jyamV2^w{f+2^Z#pU2sB6?CG=^o$FIT9oLu~Gt+EkTJJ#f<+?DU)c+tNtc zptf$eXpwVl<2KGgqL%4| z)+2qd3s2WjsrWcwp_<<|+;a2^2e z1kc9X~b655p?qTs~g@LqS>RXV(k;EKx5_ z>e_Gv;3~Q$wlz+4{f!QC{EeL5A#v1vpL*(Mb-;$lHo%5Y9nsh;Kf3~qir!#ilHXus z77jS9H9qhlhoxQdacNu$aVy5dmup`=kzy7uq((*^PV4yp{^S3|!7g^oO?&T0lSjgd zgGJkT1UQfmzyk;bEyHQJ62=w#!)-=;!)Wtj@Zo;Fty%%akleZ(7 zQ9Rr6OqeZABu0#vsuDx`>wYm&z}8!Qh!LlOY;c!nPu;H%{$&Y1)m}5NZ54_flS#S4 zucbpQMXgbXsKac`I)i$>fo7;#HgMZBb8+Y>lz0 zS#l&)oiRMhx)5kzbBd0TR5yZkE3ZH!yZBDrSyf&0QqSIclyKa7Z+Q~R+yB5kdh5?{ z;PJ)gpVHqacoS&ce~3+!l(xZinr}g(d(+I^9hY4SGn7C0i*2#p^Ev_~-;KQWe{+0u z*6{KzXB{}lNMJhD%KMa%MN`p$4wFTC>~m;?ZG2K!xdJNB#q&45_9#&=k5tr9`q4d3*)flPgYNNKps`owUW4A%ea@qh z_8*^R4$F0Xuw^1HQvJ6es@kqI(t90w58Ji+U zA@x7j-h9@**_KU72)9lr#r5Irw(UtY&#Y|MH`uV2Hevr*bzL){BVRnAb{<+a5EG5M zsFJQ+4#k=C{9N&fDH4t|$JjTWY(X3n&e!qGV$&1Fgb6ooZYW-f2^MY7=e6pJVMIs% z*nK&~fifbR;niHcH?E5_XJ=nai=Je~$+VdmD$avFN zgO&xo*+SWG0CZ{38O+O{l0Hd|fNGKU5E9w{%gfo@p)qb&4{Dk@H|%ht>D8C#y8-1m3V5yDelID+ZUASwSzgomIif3 zk6MZ%TV7{4rtoYNOt}g%5m^axm6T}Ws4**6^e!b>MXf4ofDRY7GLc~hM6V57d6w!? zsqH4~z>J$(ccnQhoU8`QFR29B#2v)ga%H099hZb+lJYQ( z_-Ed-dH)Th%&$}A*^J#nz6SyBVIpZFPI`FE?=jgeM8y|eQ&>Z|+zbA2(79r>P)nhf zQ{PTFDJC=S{UOI6d==4Ez=sd;g?sCMq8RYK`h5((a-EmqK5FAuTga3sqaV))V#n`w zjX7)$-gpG~0X4z>Dg{j*^p6~5gFAIw$7$%zx|DqyD$tc-!!O50(7~-S%Wu{g)6eYo z*^%_mMvRrvZ^5r3h~BF!^C;1nqDtS#w_whW_%gy~Uf|%;nag-KL0U1d;W7LgW?L^W zapW%7_4HY}wBv5RKF&Iz6$fdQSZQJ9KEf ze4I#dZ^^LUP~_rw2$p!)7eKv-OF+HD4-1DH z&#aySHx|VMB`YXV@+@Sg<2m{hDO5~ak6=d4GT|%r6qpSUNomDTU`81^$aD8O`Z9CM z9b#8d9x#JRw%ueO7u!93KwlMb-R~1PCn0IRnZ6y9?T9TKpY6}@1Tt#R32C4)x;=*7 z>d5l5zxz5fi7(=*UX3Gw(+wqyG2^LRMp0D)yiTNwBu7x4RIt(mDoL?4rI_mzjE%`g zN2C+tlM5yA@9^CxJdIC?6{Ceb3sp)i-`0<*F8;oh@gPjQD5hw`*qmlCCiYVE$wVWE(IYc5{XeXcBsh$iOOM_E{o8Y`$WpOr=xWr&s!g;KFCg`aFiEIE&tMkT|{OcmS`1twn~ zB{~`z8Wpu&VMqkx6R6#J17k4yES4uu`B^>??6<|Jzxf>YX1uC>!z?kKEVJxF-}$`^zFvA;F|$U{$*6 zAgvU!LS7+g;YX+T3Z$=wsERm{?E5{JVzl{&$V{>p2Gd zlrYHuQ22vHhUL2{w21N9Z|-UWZVJ-o`+z?9Z!=mg(7h{(bGk&ksTmu4wi*Ta4ml6vXuS$4$(~<2p%@Q z-mf8Ge0dE=%rXc`*6Vh-Yrr#d<24xFR=qle$+6++ZO>=AW&w@bRWx0u8pg}PCJ<%en;NRtgtBIX(oK`2In z_K*Hi1)xKPuWC{%xgF8rQSnAA^T3GzSek_{JmBjE#KPnLrD|~mQYtw(0>~#>3pF{F zoKDOBrQN~vn?EUV`&+s52v{9Dv+>B9)QkkJp zic-m5nFFt6P85kY=@A+a_7KR=KT=*4Xvr@Q2o8o+a1#QVFkBeK*pmpD*+0&28DD%U z4hRcTQZOV)m|s$G0TvTT2&CM!#~uh-fen;fLKMgwl{7bowJ|UZ2ug~H-Vx@c;XWRR z^hMTm89N)4^ba->hPY?QiNIB%(l7NdHoc15%eY)876n0@#x*VDAdpq~`Ln>%8lzd)^`QZDHf^ zDco?*l-s&=E7#Dp>O61+(-%!{6!R;%FYP6nJB)EK6M4?B>R6pqHYu|A<*{GDscqm$biVw1BEV zEY^(+bm)Zam_Ax=Jh33gxpJ?hK{hlwbm*;yWRIxdY9Oz4#;GuP)~g0w)6uEjUp;pg11v1bo@T=IpQCsC)v_-da2h?qCO}ig8Tr zgc_P2UTI{N)b3nZ3k8V{847jb%@!K2jiUyK((YY?P44g#W0H2g4&+cO=A*Bl$J*g4 zHlo>_%!q9AM(%L2g*j-T2ZK#jIS!sU#@!+oCAyegYms#hYS`RS0n3qAq)8-J=)}U09O%|y&!EjR;GkogzTXEvEPrvYdOd2I?eVq!! zU?3P_&bG}r&Yd;R@cP(9Fq?gibe8dTYQZ^HCwd~;y?qrPlz1J2Z4LLU`XIY{(lPq) z$jy_x8gd#TkRfy*^Vt0X##33>S26cY3J_6QTn znO_w+Cg&1$4*-cC-5wD_KJyE%hs~l1WApzR%*o7I-*1XnyBzKRQ_M!(9$uX?kffb* z5jc_rlT#hc0PM&f13*zU#bcZv?fLChjFi<6JhnYTerxNnVZzSro!({ki%LA9=}0-- z+kVQqAlAVaF(XVHgee56I^BxYk*X?vBu{2Ya;6K|EZ@Ej(W_xe0K~8Q{4N$KHimAvTpp()lRv{1^xpICS~`CJ?I1XBRMK zIz&+ii$18rA2AplzrLs@*rLk!4IosfA_*_bYy2cQL7~)PYar-02QvVN4KPjwT)IRc zh_%?`faXu`o1)P2%wnXE_27f!*CA$CK+r zf$P{qIkYlu3HXTXdot>jx)#MFhD7>rMLn-%{;vc<9lA=|;K_kkWqT{ND+HXc$o8h*UXXMzJuhwqcj$ zCmFB)ckU6PWD63PpDXw`_n0!Y;OV_$-4-WsJh!(>JBOUPV7~*mL9>VjcTGR#3|cln zW#H8yWJ#t|lH395`sUWFuGhY&f+yyu5J=%p91yrj9MB+X98fSs7?6j#q#rIBso*Cx zGNDc=*ocP+$f=}=76eq-1yb(wQwxc=;Kfd*KbT}n9MB}Tq@O7qsbB*HQjaDm)E@wB zz9c^jLo`XoR$t zovQLFa-FUiPSHh235Lw6Bo{DU_h<(lQT#BJi~$G*#}za1B&T5xun3i6V3llb)ZD_e zLL!BI5NyHGgWMM3eu7p*d?tv3v;-!IE1`-5B4$+j(GmPc6?|e5hxDj(p|gDe1T+SK zB=Ly?~J52?$y30YW=tBkL(~+Se82Zg+q+ zXCLgX|K4Io;S&tr?acB>Q@5QOx^IKB@Zfdvns`jAMIQq2s$Be(kAHEy#(}RJE-g`eyCuZiVWAnj|AvHH$d;@NkRzRt<*pnB+%v636Y) z#i?uB1&G1pTVM{)oiBIwj?6H3)@x?7eaH%1cSOY>BuWfr3f&gb=JVr99Qhupqii9WicAX(z>W7I2{x9ZswrUUDS*P!ZS?xtz>&rcRKQJ^?$&=Pt4+mCiF0x~_I_{Iz0N>cf~zTWb8_=Bt-&yT6nBx|>pL{N z^N^i+(Z^}-KQz0pXAI;p51pJ|H8EJM-h$8kRW{xyqf>*&F2F;f)G@kT@3Qq>t(Am$gAfqCd@L9(m~OMOSU@6r^j?EII{?<-q~yrLL`W&GVT+C+ zz%)i$1yAWu>9BIP{&lubwDIfwGBmIV3q}!VLs2J9A5O#!fjjB6o5@Fxg%Ctfz_d!BgD0gOp_i-6afR|~is&xUP{25s=E{2-zv{ob-m?$&!QmK0sC^w4iEj*z zf`L)}ShXPPxbZN&$WO*ey{CPPYDb}#7YQqhjB&}UR~RUruES)1o)u%e)%}RIWN;r% zD?+f+NVnq3JS|t{L$-7b3U^M#dd^79K;z?fOh=VjK?Mm%VxO}V*H*5A zl88-x$C{ziV(!bo%|P1f=?E#1Q22~GPNKt7)K${!DDdwK!&iP`$yaVR7IhEyyrG7G zCV5SIFcU4Y9{m=1U?uL=|AHNZ@A{8o6x6MeXISV~2NI0LhkW;s6y{hba`OoWP2ypF zI2|Upl7KWwf?gv<$k=Bo9r*kQN~=Ck7iOoOvh+`*!+9|k2>Tayqepkfvm~(saloz# z6d2O6?S?0*vv9<@Oan77<*uD_shD64*}Y$n78o~?7+CSkx-ghDs#1roKOqQT(lxrj z+%hU~I2;+o=m=97zNA}29!j&ZNVp)gBUpYY(x&xs5Z#KxZfq2;?=kvpCR}zc9u6Pb z>+wuMtOC1JUy3zlokT3I=P|@EAjTLLJ$`m|SF`nXI%U^=$M$h-a?Ag9iSf9>(9&qq z`A+L26#q_mBr8Vd3oGe|AYyF1R%PGY#}o{+6&BSCWiJv|iHAXpt=xJIH-d@VLUBWG zH4F|@ic0TgL=7=~A=xpy>dntU}blDD365IkzMeP->YO$-{77i4m4O zYve2UTb?$qts@WI!l5Y`W7dFB>F^1XflKu?Roej8Bs(J$<9ew$= zuymJa?Qz&k4M^GePt7>=Cq*|RvMn(UrvWb&|M`P#TFFQ&ijKI1D{@+HL!VN?(_2CG z!odqOX8loT0h<$-5Xiy$7dGGeoSzmX}$!6d`5S}L6`rUe= z?WO4ikG`~&y;3q&Gd`_{?)sEnFh~%2W~SZ6qaN9QaFlt9Zuz-2mjmj%d-M8r`yYTX8YjjWvUqb z{%t=)VxZI_fyF;GqR^Ai%Sr#yX6aMxy`)0N^U0w67sCHO zLtyaFxbHpS?0|g0xesvv^9%tCTO&u4xJkKwM#P{i-oecZ4P71qxtgE|ZAr8~u6m`! zAI{eM+~7C&t+@(99rXw-TVoAtCsJ#5HO6rtQ!ic3f$E9%LK)c(R~QHJs8PL|?sUYIfMeTwWrLDOjwhG@hpVrEifdUG4Uj8h#jnXX;Er+e@2M;aH$-|Ztz@E43Of7S2)sE<_2 z=z)ZGrQXL3J7OWODsdKU7$8)u0WY6%?3bS8Iem=Cbj@obopr-|qU7ZHQ*c8cr@r<+w64^pYa`+Kvm zk>e5j8}pWctF5UcH#+?4Yk`3(S)^{^*GA!|O%)?8s(EoEPm@B;(s=WF@pffuWtMhT zs#BJB`L*IC%9aYAS>_1K0ndyaD00;M5^bu>Bc-wp{?R%pw8T9YGzf^0*g>X9rwtU< z%qGwCY;LbsVOpsb{GkU;B0jQOkzXAkq6+rvKRx;jO7GwS^Kq5cmyKML=LhDa{p2GbZCk0y0rs?IK057`$2v_n}(OyhXWG zCoC4FMFbO>TZp2l-A#5R;bLwdK8>$0L&oST|Eev|$UT&>ig++qJ@)Hk4 zK;S7;{7S>HBDZ$II{-KEG538Jj~beBRiV=mVnLl9=EWT9aW3ZAaXrR8gle(3S6j$! zJKq;`WT#X%z1)0|A9vXz` zjCIT zl!ZJD=oj(5&-u(dsX4_cHaS^UP>_KulM;hE4ew#Te>80QY(n2g)DitkKwo84fA@#7{F04i^M(6WKGlvQQ&o&pNNbM$MAZ|Sq0v}b)SSVP zKH|`y>Fmwt5BtFR@;fm@9ZPLGNPuk7riuZ#1;e{StId%RRNG*OdpQSB-y)OxZC+ zbGE!<%V`HYd4pTo;zbva5Dpc`hVqom%R0hzo5^`{R0A zS;r}Z>v-|7>`E=G#|Eq6qAz7GV%gm(=+bqOh~>B(DGl5@XMgcHVu4}RhuzX;%k@C% zGydjTr*2W$*VaoA@NwN` z|0zx`>vC~21YV=SMdq@Zgn`k?A*as4ep8W<%gy#Cbr?bcotW{{w8i_SB?Ahjc*4+y zupQ_EJ`54^t^if=u*vLn9w70~n`M*#)@dW<_ZG8OuUYQdaW6wSdnn(H%>UAzd(v+Jd-cUnKpXjIREz>LeUAQSKl)K z@sX+e#S!+XiNyQ9FAY+IDE;1j5((I!;Ysn|jO-TZND*+F90eF*LV}fYISDi|#Zwy+ z5q<&WTmt@cF$D7?iQ<~AJ~$JJVi}MI&B5#>>Z<(y7Tb^gODQFgjz0rB(3}(farc&6 z^lgK5spjnNl^PI!2G)>&v&=Uoa-`34`C{iBsYNk!XUh;5R_#!{!%VYOqAp~roSsqW zu%xq*DuK$lfA^Uv(HPSD)&!TIDN)32>*^{Zw0y%Jn&A}u&Du^7ty&tXk*HMh8CF%kF4aRZEA|Bb8)^zxcZ*>e5u;>Tq+~ODU9=Ny zOhWQ-WHsK{jBP*_hDMm9*lc=vT##lztbx&ld(3a}SS0;Zp*X|vee~)uK~0*Ggl)&- zbSiUP*yVoyADztc8}YMe%FZEWF|V<04j5?k@}iZNomFjS`{)@ z*d4XT_?H6{E6maoxI*H# z+GrP_YZZ<;Ya=h?e0wDL^bj%WzxN-Sn4+m9BjF5lVGP$WMY*t%Vbj}EnN4~L8Kdhf zRba7^wr5<;n&@+!8>;?%M~t6QgC^uC8M15<*H$A@(i&8gOTnx7>F_)@CHym_HnuyL zS6piTBVcA~MQ=*g55(Q~w7-N;1N(@M)@WVhuuvSwE!qj+|kX^ON5)(K2 z(WUhHWly+R@Or9O&xM&$pt< zjRyqI%%_>T8%Q>>_2cT)(e)Rn%sr#ef57MO$TGDme`OLdC{gD~T8~yzN zJ^c&6>3gd*{jgNUdMvfj(gYSkZdL^{MTn*jNefAJsra3^J{z(Yg`%xML^RBUB6Pm4 zO@b`UgHp(be6pwf*JzB$s`penCy^yE;sxj29X}R+%8qLByuF7s+t-zgZDZ%!?=v-Q zBi7xIJSis$tGQ>&X|Xhy3ZnV$ic@mVX;NZm&Ux;!KPLPeD8edA->XSkHw*cKTCMA%_CSLrd#=mSx*F@Lu;?MD-LIL? z{R{94<%3&e_*mQlCJ-r~ho)$O8IWs!bmZ+1s{%zLmr4o$WAZQ@RsJ?8n zzcov?TYkh3T|23kQ!LXlLS*NhMWQ~Fe;p&quqYEJ8;l#HA;RR>jP~FL%S0lR-B{P0 zv2GL6&|})n$WBO;m`|c+JuI%Rold7p$j(}0msjQ1hnSdk54lt=lIhmDJo!iKY)%64 zt#`83jjMBYM@IGJ@I&=&6snH)BGdI#oTOJ{tOK-}H@6)j=v~fXI(_eTrN_ z9ZmyQNkiEQzxrd`eL)qD7|mPpLZXnxcuXSK(ln9jvnJl*>2vv;TbQ@$H9f%a+G=D@ zh8mRIA7qF|yS>O&#@a$ymhz~=AqB1TYpv)&`&mzoceDTppHT&g174yOU){d)_fXqd z+x^CHQi~hFdvqu6jU}wpnY7|hxZ6)yE#8$=jSet3}YVR$N{u$Z&56RNELFyDDBMNyUWVgro*v_)uV}h-yw_zbEyP2{#+}dgNi7g(-DLSS z#?CwUt$_IIQ8?CG1wem&{sI%-wz}4WQsNsX+$@Sv)^l5y1}r;j#GTDKU-Ix{(k?}EYC^Fk9miPs8$A3Sb9A_f_yP*d&Ryra-}Fu%xYXZNyc+>?xE%U z<_xext1+`*Fl(D4u8d`-w%@3g30$FPd@UiOvsn@Tb2~1P#zZIbF-C8{gWeFc3#gA^ zo?QIS9)a`Gp||%$)ew`?Xhme%A&kZzk^bb1IsDoXqtICw;eTjh7&ALGY%-?)9zhg~ zZbcOHjAh1Vey_%)b%|Rtf3gPE1fr>5G1aUDu+`zd>u9W=wHD@tq|2!`>2H&tJ-dg;uf)*-+cCy3R{IzC%@8E(X0?XrxzS*N{hIoR2g(lz zQr!#mz?kM&TodO#N8~9(#Y1f4@{iiwG>(ZfvkqF=-LVa3Esz%1XzeC@2DL~y^+%eT z@x)hdJ5K2D7%E#dvyE(LU&r2;r1^S1@JTN`l8rYQE>jMtuI*@F!||>z3q~(F)laAz zMzfzYm-%zY@VvHzDp!Qt3X`K8+^WxOzJi~{9A3XpNd3m`z}YJaDV8>pr!7Lci6MXS zC3jQJX#7Dk$qSReaB5;6B9+3dkK*i5d`y&-39%>t>f&(R{F|0Xa40{hFfwdj9P5gZ zD2cWrO(G#ev&1d7AIrs|7?CiElej++cX~Wu#INZoJ(`*q-&Z$`pL^W=?maWeI!@5)z%mghYl8t4#lYU0bufHN_SkFz># zg)=Kvk@am|pBa%TiPUJsKjR!rX#47(LwPmL6#gHX)?X_7>rEBC)+d z9lkt9OTf9RM=hdpSce?01h-54kcd}BiS-3tlMuIA=Bj~!k?!iGNBCM}zsDIV#@mGzB8mhiD zpaiOLg)dSg&olhaO|5ORZp#sSAARlipU>I+GF@fxSm8fkaGCyH>}_Bjs_|Z$oOFE$ z%K?|MxOgs^XDDw$)4-W9j#D(EInhy{s+=KiC=aA=P#{Y7FpgClR%QSwV`wE|D##+9 zc0J$VQGli@S@n4#S)ue+L=-ql3MwTQhKKlr)!Pl1oQIXu($6Pqu*CX{x_F=nZ|1L> zyp$Zz#czMdnP5n{98+RHv=xyEaD78xbr@SIJtiOJoTC@NU!kbF<|{UZ@YB-ojLtM$YnPY{L*i?OGXV@c_KlVj%W5pPEn5oQ;;Bs$Tg3GpK;oB27x zYpeZd0+9~0j5M?YHC^VXmUNR3dCN{!AbHE2ZT3JFCZ7oSWX6Ex(cDjjqUgEIZCyx; z9#hGHimyg^BGN~s;gFn11mRdbW%CQwLcN^05OVF%Wh7W~dOXXygD|v&V(!RW`Z=b2 z0TQP8EEr9SuOW!YmQ&Z;C|{F~J(mi$>~G?PRYXsNtD5=o#pH?n;q>lY`4Z`|QVB#b zB3hzlbnBR71v(?a@TD=PUW2jbI<|5lR7?Ta6uuEFoVq6nc7SWaUg zB+*O%96zX1lJ73c%+gNQkU3q{T3G*ySWB& z_S}1e3tdH~?eWr1&Pxq&#aCHP3Pit1+h9vL8@gz});0Q=b6Sd z*ZLg>-i54X4%mL0JOz(-LyHFO{`LF?cp5UvJ{_E85+YbZqbF7Ik-6|SPO3@L-Qc2& z1}~OqJ^N0oC23VcQ#J;Q8mldiXJ67ztE|gwaYH|?7Md{zP8o1}k(U&soRFL$Ez5gb zoIE756@#3P9aJGTP8c#y_hl;v?=VSpErnc{4`2e<(Bz?% zQ8{4?+5;2~m9lHM-E9a^^_5J&X&%z#>6gQl`>${CpKO)zA9lfiGVz~G53LP>lcrL( zQdJ=jwc@Rq9(d_^P!^Po#(glgQ3sZ^D}ffuo5vFoouG3v>{b-d54ATBc#la~H9$9= zKN*c%hqFPMcA|KGoJ-d@Z8(CqUWRQLY_7h%Dzf#=LJ5X;@K2fUT_pgV1;xfU#MZYd zbcN&7KpD5=(;c2G8U-DiihA2Ds2s*t1Oy4AvF&d}Qsjn{;Juk#&`c9q|^D(V?;Yr{%P90`RU>UP5Np|`l5efUW>W+3{XzOjhXgqCVwDBg~S0Dd9E952j zl_K_Y_Mi9Qe=P#I7#go%AO~FDGD8v!Kdef66pf5$mb8~X+!m_9T7tn3EXh9t z#EkV}#omkS9R;^OHbJkQ-d-PvYj3FhciX}rFSZ=Fg9?t@KPy0ZtxJKVYe&HEE-xPN zQfEgiJZoU zk7F!#MsGOnQm9}>Fkf}u$7U-Shic&Hi;74)&qF8I#W}&##!%zn3)^7Fr_Awk-uRJm zZPWQ~6XEj;-N6ERLH4vj-jub)wY!D)x`_+@_`}16dhK^tQU?###ddOdP`Vf#Zv#j= z3t@=X@eVgdh}adWTR=1NOfH?tvq`pU_jl?5E(|vq(r|9Bzm(uoLdDvT&=|d?dOd#*Ji~!8g=T1qa8%DoIlUC}ls??S@{npoNjV$FRd#utd3DhLU zD@&r#&s6Rk$D&`olD*qU9;8}*S5So>*N_V2Gm+A0|ANzAhrQ#lY;q})-xus@Y6``5 zWQ!F(`2tmGn*^*6AF!q_F7F;Ur=^eAE`Y1en%K5yDO5T)`aFB3}5r#%` z;rt_5?|VmlQwFnSBQvqfDKo#2C4PyBNY#)LCxY*-gZAy%K+!p`iU2D@F`phtG7DGz_jNYxb zpeuNfLBC@&A5*Dp`p~XO;#uHkX)UF6{-dE|!%so00Lf~=(e-}T|&Z=o6!PN%73<(36koUox!=s5`3NrN%{yu6(ofvC+ z1^@hzcWytB^|8%HG0#+1Bxs=kn=xA^`L?lX;$2z&ORE5oNL(j^F{XNPt99@jjVI0R zk*Q_CVDLmDbc6*w&`f3GB)(K?+<^!W#T*+2+TCG}6*1L3ZGpg~zM|2+%#EIrpMLVY zYhs24?P~6(ZWVPxoy@|XgS@a6a`%d-ZpBC zE$G!AG|#UOZ-k*fCb`6baIzq?4;7ceo*a7zN3bCIe;jS_K#UOJn^jhtTkSd4qIdhs-PKz_e3G@mmXd@ zn^`Ikp$qDEaR)+O?s>P~%|P({l@+!+`+mr9fp6xU+UKB6b+Lo;7^3Up6YM&H&5N~4 zBIUtzjKkw===@2ZYg6=zfw${0qgvL*HSLBjVIt!h+$3@G_1c3Kd}Rj>ag*{3JOiTA za=Ot7fg=s&z-DymVwdbC&xn1eM-RVVw5u$+7C`02!Tp2DTI2^*vnV-@XV~iuo%!g$3*eJ1{gHd7CEr0N0Z0J-lAhKdCQjhO+V# z$dhQ}Kh@Yq9pNWlxD(`CF0ogYrbTL~iwNT}$Cb$&OtbYrraL7@!ya$N$^9VrUD*pi zB21h}PV~&n>t2i>1jiH1P8C));*|sU;yJfL%DQ_^yJX3@!fNCd0$Q$R<`;T(BHDZ5 zb5Mr)3AbP=e;LmD5|0EP6guP$M9it}jUBLWwhFf*nAXdHlBg2QMU3;81gsfb1W)`mf2 z*%Xe9zhmH!rGUJ;a)}rBy*S}nTs|iy+8DK|J;F2sAzFr&MIsXWU%8|444WAY*#jI& zs5CH!1M%!+b>+QX!wT?0Fu>l|Wa=ZCzsPlmcMn9y9s>!Bo7oel^-gRAjM*&|aWv!O zr_oGIrR-xG)dhu>+zRvz5b@37MKXja%tb5*BmM_YOTuk^vm-68eFlOq95H_z7lg*& zyY1fXl!fbsyNjfPc(%9aYelVpyM$SiGB-(*9m$=(iaB6{HiV1SKfv4 zC}BzcP$*2fAZu>Sc_P|S@5Jzwi9$n>qrd43)J8z0EqEp#-w4ghUmK9sB4G^pn}mGG z(lEU=4NubCh3#Gv^WEG+_%qhS`}9TVJTHTEh+X0y?Z{Ot%i~f!e-lZ?9OCK3Br6Qb z$>FzKkvPFE2wBy^5HHmE0`;+4wt#k&sk) z0l;U^y+$*b(gRPt-xA*@*xJX9RCNR~5qniouuy{MoJbj<)v2nm>%**u#{O<_nxnuw z@YK#Iu7-7xW&S7s9ggs{K1^5L| z^r^P+k)NiFITU&BQn?%pQq`QvhRx})N zue=}!e~mD^(|2I(w<7aaRDV@sIAflALpxq+u3m3c&ZmyA{QR*Y@^tmiqfux?X7(z1@E6JM-bNcViBMOQkDn z7LS$9z0&+b;>4C9>LjNwRcn@eN}k#H*M}XrlJRMWu9$+9_*lbDV|jk zn47DwAFjeuqvR|T5VIsot9MbU=PUJ64QAEr0TNcFY9UcV>K|yUPYjhS`(6#F21={B z&ga+ZEOv9GQx87olc~!C%lCCeI?GUu0$KpR5ZtKx`Fpmd`P#Din@o~MK3T0tl-D8t zZrETSTx(7J%#&N3kT0F)s*8xZ53*XYv4}XAw@NC4!aiEkxJoeXaWU%Cx5It|!7hDx zn!X0zboCsJ5p(AN^g$#o{5@iExRv+9*|dJjvxHwgWw_=KaB5O>Su)&h4EeID=d=rv z(o_j<=E!5G`33i4&rZ&4_;4w7l#gmDMhUoo$Gqn4M_<^Oy$mu-D}{wZ?b_!IhejqX z_-GKrPk+~2*&~*BSLH#$Cl&+lgl$6dcms+QxiaNeI%7~vB^d8Rm7`d#dP8?DZu~-p z``sC)dX1UI9jc1~aIQV6(u&5%62vQBn3e0ys)PWkfLnq-*~jqjUiGj+DYPCZoxRT= zB@bEkf2C#$fJ?3rjT6uC@S{jJansdnauH;s^^-zc5ZW{TcJh;#P~s)}ZsfPK2j`FP zYfl1>cRK9v|LcsH$RInN+MnQG0$bq!`}r+V8r2KfZ#& z?0xBz`t$PoL>Eo?JXsw^U~B^EpbMrg5dHOg^sjD+Hagrq!SzOJ@x&d$8k*5WBaOs8 z)n=O2n({R}T9FYes-T3vkX&}VoH^juGKl|7EFr<&gJkZWsS`3I(b#^LOuch&B_c3l}G&CM3)1=IrRb+qJcn;pG5uovg+ z$_8j^ImrstvF7KiQp4v^bMyDt6P`&`a%e{j$^vKe?aQY9T+YU2@Y9!9G)oo#7$1X5 zQpXGK4Hb*y_TLo>lgRyEM~~|=Cr-AT)KeMTY8q>^i>_xJIVlEb-IRuTqlIYdLCrk0tJHxG7P&OO0FjxV|d% z7mA-$rG#TU^^bS}ZM=gjixMxgl%!Zvq)!;?mqRIMUKYANjEt;Yl91<07*5=krBjRL zhfYQ~eIr_`GAT(EFi{(78(JGgzRtH(2jyPdsw=FkupL6JYH*De8{@J@$d3rtH&V`? zOg#85vZFX18)_zuE6#TxI-w+pV}{~H7R*kEQ&zXMu1hY8=NJ=zPR!&AD*+qooXx#m z&UL+$PP8fZClvQj+&Pu@IqJR4OXpOS_Gj`^5_auaa$}JdqF8d{<)cXNC6A5DZn)~1 z0JY9l4LynSK&hg52X~|0Y`^yU^>&DS%bf)C0;kzA%6wL*^W%u}{pW!TPE6ta5~YM* z;{v#7uA$?0sKpY$1gOKbGz&w#dDVG4*0gZ4eMey{17yn#IW&`SHasb+jBe*- zFtss7C0ADE&;<@#m^8nUhV<~0VavR_0S<1gy}uRm1^FXvSjsQ%2dk$RjQMsPsq^!O z{1J}sml>g(>dR@j^WqM(+x}yc_Yy*tROMwCctTMjb((EqiG^N7Q#E68R=9+!Et5Y8O$1FTEz;RbG-4?_HTwN+jTaw^x`c zBB~h7Tjq@nKF=xs6?Un-t|~4-QXt3*oJX&h6o5^GWX*eTy#O8~Ag zt7PnC*tUeZLQYx=x42?(JP$_-V>7do(xY$QYN#UNn5tBJQ)^XC$upCgT|T23Z@y$k zlBe!rse5E#C7lyLB6PNzF>%>ec-;M9Yy@+j@pfJ$STO-#N;t0iB!#&tV_Ac@|Cwbj zPar?GKz?`F(QK6EG59AvUdf82NQslU2;?}==cbt~gcikQhV&X0?II|mG0w#TrQ1e| zQ9&dT=Nbh!b4CT@{w30=Yd0snq_e5g^OCtYN-5`;^=ORB>X?zE!?vt?wJX|cI$T8v zrmmO@iy4aMTiKi#CA@z_EF?LLQ7 za*ZjwAN=wX86vJm80Wd^%ZhmlHUx zkhik-Phdqvpq%{8wJSfMNwpO3r_@+pSzBGs@#3YJPv%aKm>bHMR8sOX=*1cpR4S5# zSQORbm3y~(h085LGiO$eBY-c0&z_?kapZ9wx$3?kQXCGs_+9eTyhaw~i`}TXE15Vv ziTQ@2!K$KKPcp`O={%oHr#f!5wg|IA(cY*rLdp~lz1$0V5DPrDV2YR_zo(cMy&Pqh zlo>h6Oqx*vvrba3_=`41MVmSIovm1GtvvDph%iYvL) zFl?4?1QGM^vQ(ui=}%-j%>{oyDn4Fx7NG{wLYz?HF>Fm-%s=Op(&AN#@g5nl(>BjXI@t zx+{-~`p-rkg~iUB*Tqf8dfxW^ROe;KtD~#x?FZ+!{yHqJrh4!s?(+HG&AoIg+RM)e3Dw<<6$01`A|DB*vMa64Z#JjikW~pa% zWtXZ#^XZ_HL8VO@{SK@=VkZ%0M7$_G?l?n{Om3*u5uqVkg&GeQ#;w0PVAmrAnZR8m& z>3e>k;>p9-{&_b`7@1?L1)7Zc-U?cCgHXk6h}CLaER|K72)=B;BadUX6NM7T(W%5!-Vg8MyB-jQsmPvU zp}37Y^#}^r)`k4akQXZ7*KBs>u1eo+Atei@U&yA)v+|c~h>;k;2(4qNf|s4GRr)&6 z1*K*{?{uaI2{9mZQ_Sl3Z4wTq!S@e5U!msStQX|fO&s0pRxLh|u*zq!5*>{muYjhD zt7B z`sy!1(85uH(4bR96qTUfzR}soOIsU z)>mc-;ixg6j~8QGDO1SBg7K|X2Npj@X1~cb;_<(nh)nD$jDB%ba2Py{5TMhvZl`Qa z>QAGVPa{fZKe|oo@xQ!?Oii3dkSYR9x_3ixPn9;1i$TU$p>>#=-WA5OpKSf2D3j?# zFPwHJqhHehSh4vVUyamZuGv-?PkplQjp9rg89|tuag2Tze=+IZ4I$qa+5E5tm|T@s z;Hff!&6O(sQJ(hv$1OaxGSzVew6evq zSHJe;Sx7=ekfK9Lr++6!*SrwqhjIWNV@FjBuZ|X*6?=RYtr~@%R-SlI3w6D}lLia& zLOFA6m33CNztgBBaoMUNrQSLglL}A)S8E)KP;>Ei9I1lpCGq(`xR4#_>$|a>f zCrQovhT@jZ71C@VZwY6X@FS`_M6SwZS%$V++O3X0p4?ddnC9>jqpyip{hx|=a>U^% ziU(oi%H`#Ch1na;57|%`&TFi_w+&S7YUk8SRW7Nu)?TUw>08uGyME4AR<;sXI&egO z+0z5h?;hzAA6}qWFwpC7(CgO^&)5&I;1Aw@?=0TWCr>OoT8zGWsrGO_Mv1Cw%rPM^ zK;FwiTL52@{p&e+sPxvIcxnGZ1pgq4e~{ByN>xQ<#eoA-#p(0$_eIoqN&nMSFV-F> zB>?(%OGVaWSo7zj#owS$XFTM3TIh9Rt7u1)NXo))P@zv_J(PO#oZ2Jd?Brjs11^JY zXZ|7Kw(@VEgmBH=r&Lghr{k(V`R@px&Xc>OtWy-7hl`|az$cNBluh^~M5IMCgXkSe z!Om^jJbyIYe{ptPdHPK6zks6EoEP7|=sNZmdru6zk(4h?#*#Vk_`8vQ5tdJc&oC7c2E=NyyB2}JE9uvQZ#TalgmawTdBtU zJLz|^IGPUw=>1JY$Df@V4+EI})j-?K%ho{i4kg%?Geey|NnIyV7rO`ZXSy@$p&!#HArhjeB(%gd(NFm0!B6-!zMs_XliGh$^QX<#=Sv><{hoS_1Hae1 z6MqYlQ=|&qa=s?#x`VBiX-dD3>6SlX;#APQfAb;mr__BBP3^j%>aeyTQbEr?2SDDx z&l!lOyoV5}ou8rw?_Y{kL{sGhh*bY)A5v{z*LXxo_nLig=2}LfI12o!v0*8JI1)B^ zspzx0*}NgBOAACi8^n!GJdeLTPrvZvxsec>erN6Zr55&ru^lOR(9gsXvXM*qt^H-b zw&P`)0L5(dDawC}$oNx&eNfD|S71^s9()e4_)~^GP|Uzj5#56i z0fm^c5KVs|Ozh5B}6t1;UtaMV(oo&HW_Y(un5xk8zBs;q*u-y#d#`eMjc8 z20uy#?$8^gsMJ9;>14TB20T=bTKu{t#N{zBRg(vz#1}c>odIhte&dqNQf^kA2*4-` z9B~WX@ z&Y3a$8ZV9Fb<7MdF5*wS?%CvM({+W--~kBS^xwAWzxvV3{Hn&&Zht+(C!=yin^#iu z={+VZABaLHU(se%#ov{6wnsBhBBy5F;Uu9`T_|0Mns_ zpspXFG^OY6xx(m0fsjB^2BACt4U5nn{|hFe zJN`dZqPA6C8GAl^@5#qs<|O$W9ga1583)OUj3j`*jW!9q$gu6A)=Z z-+*_p2d19aDra(G?FQF$E4gUEAyeN-bxVV5mGfzx8WHbhymwUKUd0m!@Xq4kD13bX z{{hV{X4>fgg@YZPdJ!wyG}a_3Dg%e>#dEo|&4O{8*@H%-`x>L$)tYCk<+lb)FAdgC znzYTtaU0+@+u5g0!??}rL1X(<+C$NQIICOWQp0pFYiE#^7vkz0Uc)nwgm=%F@|e2jZg|^el5X>0ROt zVtT*LI_)cY2l?{KuRPH)-veI`AMYoQu!;iV1Meo!r#~#BKmg#~-~qXPXXXmk6X4V< z!i?x0HNdVD&Et`3+k~X8Mq=dMesoO*nrts&0opC$mYREW0r^Z9gG`X zVK;E`^Gv-6*!3XTbzj(ZVA$cTQ-rrbPn~z%1F=@Wjkxj~smf8+PU;m^1(K|tRMicw zN55Edg)4sH(|prTz&(r3`G_@T1(L>f&r52mu2M>LUg2!`CO7Rby+hC4V2F<66bf2 z#)^yn`cL-gP=r)9{}KF@m^b%1L5qwmYSfkVPiFCD|6yk#e^MT!$PZo&_au*bmCtC~ z3`K}$`D-W5FPG1s%i|i7@L}bs+Y4y>TL9%(ybmD?`JZVv_3NRX$<^FWy+~P{9Fy<6 z9zCcg=pO|U&x8zQ|eBmt>O2$Osy(Uv^y18dwvx>;Py z8GbbE6LwA`1*J)(o`KK=r;TCMwf{~_v-VZ7!?|qy?)2fP^?dqqDfbZ56`F{a6wY9W zdX)1j2UNbKJ600eUgT}T`Ih5w8rt=Fe$byytIZu$m-%3JkI0QcMw$f zt4KOzI~9z*eEPYkU};*LGL=u2QPvNC&(82pU@X4(^G{ z9r6v82cj*fb3Ey#C2wv~NFEwGk8w-lpHBTEAr+MIV~tF?)}O~k=`NpM*#POGx0h=_ zwaR4#_O#jJkJbz9Wpi8=s5*7kwjWkwb+sotptPLS(r|>(t4x>(y?BSX%)9L1p}&+7 zmCn*fI3EQJE8+>8kh!PiZzwu?ZUgZwq^V}TcBS%}SAB)hJf)?UqP-k?gb%qw)J)o+ z8~MYphj|jN)A~X##3FyWa*%?fb-(I&;rn7-iTr}xQJu~ZD|Qk7SCjd0s9LBf?khwo z349eHJ^mAi!3IJuWceGo{~iL9fMrK}a%Dy1+vLiQnbkSndIO=5JO)C3xvEI`TG6W0 zzp$xxh>)nTGb7t)U z<3Ihw@ZfrcPBvS@F^*x|X;@!M==B|6WQl}NHo4r(6UGy<>16XjJn@mJsE1hOSXKJ= z)j6eSq_`;LD^6Zkvd!_EzdyVY z>kEbi&*%k2{_wyKob5(GBw35|xZ1))m!=1UjPQX%WCm;KF#VGYGk{5&_c4$TjLUCj zgLyFZH%jsG5pGf~_=^=|m(`OP`2<2rd9^);(a3x42@V&s49}^WXd^v~!$RAhNt;2M z0a)HZYH}vrV&^2z2gAiT&zNmQp_}#DsPWTAc#_*lx_8)wbL;SfPbPtF-Wqj1dSC_{ zz&?I<5dObA$j)+GO03u>^uH2J!&SLh@g~;4?UI0&-RA-v?!ON5ku=!LM8Tnc7!nh} zApK;IpQ5sq?HUrC{0;^~n=Ui=x6{UZ1CIwE8sPQi&6T&s|J{|>UGDkXBmQpp`3UFz z3G8Zj(*)ObcLMuzz4`XQH`%K7+yIOFhr$;CXuHoi3b%FHfRqh*_L9c4MH>Z{)NOf} ztls#(4f|v}<})fZ@!}J<{d@{h)oyUe&rM!y@@R3U(fNjS8ki;3x*f1qX%~sjGL%94 zhs+pK6K&TvtN!9H^L_-sxodK~4dJY(3HGeV6~#6`iFU_<32+3^?`AV4v2Y`)DCx5^T_=m5+Am0wLe5bvu?FJ6}Bk2!a>^6>7Yrn zJ~`4HSs;oP!-WoW>Sey+0$|zU8uY(&i99ttJ{jUz2>zUg%%5%3;f#37C)x{ej6}k5 zt(2OnOBvG)p6p3{VuyU|ETVAf8V9mj^0#y`Ss%DI_#)I+B;|K!^5TC{^Ik$)q<82?24HE zZ>|uuI{&Y*>X2{WXdpm;5`CSyI^#H{#54Y#LAsvZdu#Ku*uvUmBSZ(As=P7}mYJBn zGKH6JnY{1_-aS80xy=XuqSn&0`DFpV#<$@A&kv|g{*Q)@E>kpKnt|U1t6BqsdZzAM zeeegyA7RTBSPfaiuEgiYU^1`@L5%~Y4ja2yP=sZ~Qw>WG32W;XbTfJD77`Bo?q=ed z+9ujPHUFQ?exJ=+j4L1-L^Ts=A#bkn-;Lxu3-6?%xVQT6v6gF>EHeH2vkOe#z}a^p zPQ!1fr_1+}qM3Nv?Fa6s1DppC%a}T#Spz4_BzW)H0)cGtP}E^>*Myz|&vwUAh)>X# z4l>WzeY{uvt$PrOeA>@GwH-J(4)>SuZkulqGi)D!@5i%_cGCcuPX`dzL%oiZSydO$ zP-Q^S@8itQ&5Og1Ll2%ivhpCW5%(jg1%XZo*Q3YwF1(jLbXd^j<5c_O7S!ZzCp}(^ z#zY5CbGj$6F8wp0Cq4t(djVw*aeKY1>9|cXxMpFAfVUPH`*l?(PnSBE{X^T^Gf@ zxVyWTB4>5)_dDPF|L0s+9v#UfnMpEtGBac(Z7-#v=Hi-$2R>sOOCyVsy&Hy<@0@o8 zH{Jf?EXcJVt__0O@g11tVjf@nt`w1;H==@;&jQ%!ANv2h5kz*sKNQVB{I?6#F8rSy zUlNxB_8$y&3_i9;&ZfQJLMUquNxSE}-%&@A_aPrYC~H5;o0dLYOP4;3w;#65y5Gwm zUMy}6UVq)5T%nT2FNth>^y^y=e^7c2f8d`y2h0n+lb-E8D;4j3^*J&{}(NYa$PwaFNdTNnn~M&)3a3q;LF719FSNs_32npakXPNod&wZUM3k zP2`C!?V6FGHDuf}K%9vJ(on_ETb;@la_lJ#35=XCaz-dCHbsc&ryLyoS8Al^dJLFX zEqF+$P;**(H`@QMVk}Q^acgN#_b+{E(xHb!hNOI!COjcL=A3P0wWv(q;ZD(oOR%@I z&|ZFIq@Qa4s&~-@Hw3%El5x*6AUgJviu3gUEFI_h$Uj_$P8tQGPqk&}(~_fQv)e)i z(!Nv$(r*T&eB1i>G}iakJl6MBaRkVA^T&H9X>k4nLkXKUxx3Fr$mAN28o{{@Dn)bB ziSeVF@WK`$vP!E)bNY|XP^~MTa~tlIqe;m0#Dep<2soC+74LqlJ$c*Rx6shvV{YEy zAFiy4BUC?XP&b+Qwuji*%WTeLkPS>AHI`P|<}K!&=>4kUKkHXZsJ*OSV}~rAk)=#A zVV1sx#;T>)CBuvPiAPkz=qVy-V0ZV(->ggdwQo0WqkmTlMrIoBq|m}WItUiI7KHvd zf^FzRjW+mQfM|LVO$(Pr+DZ6HEDzn^fXvm3d&gm8De|MQTbf1HGbZ!_)!~9UN8wnM zec)sv^6K7tFd$l-(!WTavPXc6ql531%$VmmY#u!{&2j^2UPX)eyt7r=(_MLa)jFry zeRdb03Ue@-1pV-07e9f|glAzcl2mOjvR7Y<(*IhTa=Vh~Qw6RxKOHTal|T&T=P>3c zZQOMBgT+Wv--SqK4<~fpaLEvvUxlNoUh~Z%<@wUD1lEIBt^_x_(v%Ui9Lc|^@dCsh z!*<5`f?W<&ph`jSh`}z$=vf2Oj?wZ0!9V~2Jqwo#B-8AmA`)13;7~~QkKq)_fxJ)4 z*OT2mzIWP$Jzm|&TSDn@TUb2qcl?B%?Z1ZXH!V$gTUVDS2{Gz32Vm?kn}af^SNkT3 zc^qAGk=MI6VeEx-;n%T_u$u85*)6qsx4(Kac7mq`;dnr@ghXwuJ0*VQonBd<#KkZS zdoDm;_niWzaDe1B9D}j%v4jML^-Ug`Z66}?INnhcw}F1REs+sY+y7~UKv?x#@5<=2 z(`I4@1!f7oYi!93c!oH@0)aS#-c_$;Ml4+%V2VH-PtWp&=}`V7Z~Nm_OX1<`ruw}W z2hQCO7qeLTBJ?fog~$)R2Qk*$B-f!@TR-tF^JG$YZdVttXI83gdzlH44 zr6qnjT0i)6<3^lAEcE!(GKvQI7UqTLY~=cHGkB3M=Nxw#{9vsapYL|p2Foxtp&3s= zN`m$_J5dfXrem@d7+4iT%R$sW>-}pFVwE0N)A`|(Bfrr&;j0*)7c>mpd*i;XV_;zK zY(&swJ*WB)qovus(E%Y6?C@`SY)H>x#(k_vkbutk=W9CiVpKzM_R0dlgtcSS*)ep2 zHnKqjdu6}M#Hs4C+x&9fLYm!jMT>D|E!Du6(=E+HeE`GHzVI4p9#?Lj{K`GVE%sx= zP6pfUQ(3gOmjYzHjYN1oFH!)EfTOa(Z3Y}apbAcu(-?<(HnXO3_;mm^GPDVkXB?cj8oMa@Y} z=MJL?l1UB(fv0YOA&gH#dp7ag`uFK=#*FmvW5c(2&U5Mg+|Ir0Ff|ito4!lI1Dlc;V0sp1>Usr_o5$I_00#$dHGo=Y zIS8Z64B=j+2S@ykjqplb0FFXl=_@f-%dS$#>JM{Jn@nGbB;A?+OK^1?i20Bh=6j!I zU|C&Fk0eHo#v%%g5Xi;Y!oWp-3?GgTlG{OYp|U{pz-l#0y5_!ig*)ONR(Li}Q5Zh69B(=!*sq zoPnF(NG&R{dC~Fnz!EHvx@#{o_69)}C9K|P3_dMG@Do)zhW`^;ELwRiERCeA?+zg( zCMD?eM>ixP)k}|RxA;(L@B$@60`LOfkGtTHM(_gsZnM!IQ+`iAW^{PD!8n##u-S<3SDSBjF@bm= z>XbbJ73l4Mit2L84p(%!FDb}*qC@0xp=5=VUZ1A`S)@evk+Y#6%hA%WbwU47TSG}+ z=zW5N(08U|PQ_G}Lg`n&Owc=Q90BuA@1z!`zZm=pY3Q@%t=$mm#qwr>S10WRcLPnE zaEVN)UNAd26s+Q(;iSvEVTz~pZ+(8|eIB{^*BcfvUrXOsismgS|EnXh?d-9-fhh-Y z0Qa}zyEr;5SUXC*Wf8dTPE6QbB86oMP+B7Nx;Wa4fAYxKz&_ezFf>QuASLD>i8v+e zt=-ZMOqphzQFKQ44fp7)Ic2p9)HgZ!f>fmDo8T7h(E5i?m~sp3JZrZTbJNk7SBWWT zsd?R;S83gi&jF03uO_Oqn$b72tN82dcIVWIMxWH>r@k>MLaox&)&8~)5w1E#=98{g zwKK2A!?{h8>KpYqLU}WGVHU5(u!k?@T|;NZ;@%DrEGQ?G-NP3w;Ryf(hF)|!XVnA` z{_-iH>wD>)V&c`Q(3hi9n(kkv!%~F4ViYg-WTA~mf9i-c3QK3aM%ob zpu?YBQTTIcA1VoGmrM}cj8~!8q|rA@`+n$B_Iy;M_fCZB2@6vN8~R>F@%itEHS-gB z8Q0@}ku=aUkMMKq@2V?E!yN(u43-mdVY=8red2C5VQ&%xE%41z$IkB0Uab$n)bqo~ z&U5{-wIbRUD4}}63*s@sD$Ymmv)BzBK9jI+RkiGV;f%vT(<`*)s^Q`^TtM_9Y z!bepkKz}O~@kBk85mzHSzW`~WK#x+l=hQ0TllR&6hB0x^S{2Zv)Af11x|JH_ zup{p21UWL@p6$~-wtAo*3*L|WHiLYsyCFR)-QG4mJ!`i50(NqIEPtci%``j~c=Dd! zgpm?1uQ}HCqFs_5IM@TwNc zV+)2q#CSm-Ti^mUXFGp>SZoL$THP2fWB1ZvbP6MO=9QW*uDC#m&_Wr|U(NYVjZz1N ztz(sY;W&`gQ%lMtybe#(zGX}cUIF12B70jkDBmY}H^A^0Qb} zonj&5Y+8ryx%|!(HWS1p6d@s{fxN)2gvm3+pM1cYNW$w3rN}%HaRI3S->t;MY|I!c zv0kwMab^{%cjb*lGph;F?2-=P-tFC{*%zSxhxAcv&`K)R`4Y*$O&K-XY@A9OAw&GxIk!R+Mwn+P~ z5ZsvAQ2CSHFv8E$t{jTnHDbT4@|d4AO$OH5!LU5RV$||^$0%n48LfQBhXpNrW6&(-T-C(}chbIDBKi zrDMiyV~a~&yPBd46O596LN(-hBe)>NUFBs7)`Y=cC1+{k)EhxvHN-q0VQym8%j<3n zbN7ek+8~~?35I!HcqEZmZsc6@;hYKVy;5X_Ww_4_LU_~3+jZQ8S0CY(Hnj7&P6Fy# zwzkc%IFY~Y4D^tDcMdZKHVKask`(3spnCG?b325kXcskSWNjaWTr9fD*uw=wUY2|= zm$SbSnNgqC1jC+_Cvok0w^$R_Y)8zg^kwv{xxLtK9|N$yj|aT3=shoeAC`{meDXP$ za=yatPm6@q>r$?v3I4^qk(K$FZN(c$w^PE7)n)~{fpEn=+h<4@Wx9Xe>6~kb!f3!p zFtr&>t423$k!JC*xLBUO0P;knMK-#N>2vP8NcCvXZlOZf7$~J7df6Q9g+F+tPOVAp z7UK4??-r(g15L9Sag0~*;u0SZ>K}frMM$-psB3u~hac^s;ENoWxZH>2L8@nY%zX04 zsh=@W$k+cN{)biSDf}0O=VP5{j{NLfc-ro7KE}ug29ZB7zhl!)#G59-dRUv*pJI2%uP7L+=T1+ zO+=ix9+$UU_nP;di3Z(qp?2?_lJw*ieVHjFHJ~97x+WOr7G;lgd4ZKq2vW=Jg@-!@ zq_%Vgrpmp+_%uqVf0p0cpI|MI{KXi^owCN;)Sf9vxj=y z6!E3l5^~DG7LNPQWyyJ9*vE~F_A}|+M)FxswB{@8Z;u_yXX?gX51Z#J+1i~b3>(kz zVD2vI*Z(Ew6-gb zwn4wNwAG8mC*p=H=gGSVeVA9#pHfbSAdq8Nejx{%75%VgNS$tKL}5B_Tt zl1>@_iHF=6k1!2;O|9#J{!n0&qk{pS$WXO(FKagewOo}nKEjtmf*Z|$sr}yIV!P@3 z4U#+Lj}9BFm$D97g&(5+5+Z3d;(G>c@n;t07vl4!f+e-GasNK9c~Kl8CZ~jg4AmQ% zfc_1cC25f#E$RiK#T-~#71F-)3y}`x1W|?@HxgDWlJF}?&<)(X`FTnq= zPfq-DWu|=B0ar?Nb(SM?*b=IC5_vbl&2{avzxcGphR-yx04B#(>Xh zuf2Vt-7Xx`ecV!|Ji$^QL{{Yqv88@$wat!QXO4Y>=ShU9&9O&!JyGB`g6-I|wuI-a z@nv_EfDJvPO~D(-gt#cbb$91^SUtC=rqr9AxI2F}bC2D=fQ!Mnqc$<8K8^EMz>V?Q zZZB%vvjYsvdqhyohtb!B0!2yxZefZ5L(;_WwFz`sc?lzXO8_kD8NmMguf!NIz4mLL z-iJ)r`&-Q%-`|Tvizg2$A%KX4xDxpg9~dA7R0JH6w&O-Hrl(g_AB>)GcrXLhB3u@k zV{BhKOhUGL^fPeFL~3TVdFl1?>V52L%hOB+YoC;eGPnQO$_TTfP~?5@@woLp@`1nY zF+=24;%cneyPOFizu;))W#NBl+&OB0oldakc32Js=PHB+VQ4hf_a(6hHS}(EX{^&N3)v8S=(hxM#q}MoQkPoU%JLo;&pqcWUL&JQFm^ zYO0#i4V+R7=>?Yxv#uq0ZY6jgB|ltCez=z$&s!~&|Ef?cwJyg%jw?OP%+^umB=4|~ zj8HcU8Yk3cL|r)#gbs%nZ~^NkQvg$th=mo+rhry1B~lYmb4`P!Gb{q5VNeCb#HJ67 zipJ`bl#0Gg%y{twBvtspRCZX@{VUi`+4N;QY$Wwt8D*c4q#;!_lP({?k)=S+W_d^( z%_RGaq%8=)9^#9;xSt31BjgFJz{A??1FhQ!4%>9IN+Nj!gYZei%oO?e4Z6`O=Y1}K zqVqlauPdD0%KU5VjR1ANp zPBpGoawYeKqXKi?gZw(CI1)9NvMpC>XN!~yguN-QY6MkCeJcJeWB&HshVzsO?`I9LHDQ1u@^=IlBtiNmi~AWur39o(cet_WZ_w8_|2YdkK?P zQPr|cADQ^?&mR2tok-|v7s^HD`A(a5BzV7sZc9VTGF>Xv_NpSrKH~> z)9pzI=0kepNbQ;8e5w2X8mOq87Ks5%*=5rVLB3s}I9!VU1|9{%1e(Bitxj)3`2$Oi zf}L=sqsmIbaSM-QKpZ370XVa`S6}oDOo0|bEemC`W*WLc*l}$5FdVbje5|oS%n%4p zBmmY0|LJ;;Q!bnG`*&kPu5cm!@2%2>^nbQo97GxjbS5X1f#_+EFDDk1>Mk+i*7mc? zlgtDa?Naqk|4}5kTP@2DagY~mZO|)L2J$nQiT)Kx7m@S$v?V-2Y!f;ytzpLXE2&@O zzU_cxSu5eW#teKxCoYyUwuwPmE|`gy9JW_!K4;)2sKG^LP*8`BVyp<^kfLg=P?HRa z5}@u{nzR>&uB(V&(vf>4 ze-o4&vBPlA3|*%oZ9Ab8Wn$~AQxJ&8CMr!Ril(hComnGuTw8^)hG$?;ot^KE(gz3Kn63j1~WoO8;0Rf zz=J~!_bixe@{|Ql5CuG&>O9|T0;Sc<83LC+Qu{9=d2n!p*!J*LAiX{4) zSgj~Y!^|YvQb%Wm7}G_lm-KJQf1;t|tPF^oB1TYD!cPni7}MY=nqs7`4H&mq(*GNR znD|d(G=AXU{Qf6%_e*@BB86x+Ftrasy=>(I*q}p$a9E|hDy7K65Zh-?|1qLC1d}fvlUIJi~%>OMQJdme;hBwEJiDT1V+!9_T-?}!2Tx*_C$s7l#VkmoKU;yV@RCU*QcpwS_LAQ@GJ4{OUnoeie zys6I8)nV~gr=OEEFueK=oVHcP4EdcOiq4Mf$~ zV`)R3CGvU#wW+?Y84*#Iox{N6gG@m)ckrC z9^N?IO1l&`Hd**XW8vcCOduYX+?SwFDVZZ)WXAJ_=bY;jv@jmf<-hPZOeKDfm!y=j z!LuxCl>WXmBeUdeS!Bb{HP@_HlLgY+6&O{Y6r9t)?4xARa8{4#bA2|~Nffk_Tm{F{ zw;DZ9k*N(2z1VUtd(%Z}4j9jWbl{(VXHH|Jwf;zz_#c07wV-u?e8FQY6-a=RuZb|& zuEnTGG!t2urAi<#IG5$?uxR4UD>EOt2h39K^M3_H{-iLC3~71X?ydME!dn(uyAvD&fc|?4$zZxYNf!tjIDamP=++Qu24Gh^2ozNQf zjR-LUB`ypzJ+ww6q}v}<>6g!1L07u_9meMk)YR*j96)u$=M6a?9@KsZZ_NM3xOdDO zwUG@BAKGrF6zzB3AnZ}Y($fDE;*kVdX%ld)=xpS6fx6!CW3w&0V9p;kZk3+l;%Vl` zKL&r|KgKPeR8VTY1`M+a{|rYeGW31;Ywl`r^QjR&Hfx+woE<^=K=9HQk~2@vF()H@cGl?#eFs1;fX zn0;t73g|j-J<{!%f6QkURto7KfnMWKPu?J8GYkb1O@D`B%cdH-gOqL<+0?D5Z>E_{ zx)v)8F*87-a;tI`vAH>O~R&fCK zP)w}WjhXb<*c#g(+}r`wtLrY~Tp?E%m*p`V1uTIZ-m%-x-gO<#T^$FXmNEOH=8ZRT zNT8`rJ$-84MHIHF`_eE^;58A&3durhvsX5KY|FWj&HL2;5E62PWNQ>M!~&q%JyZIC zC61oo`v7A7?I(-w?zTd+!5wTG(R6B(TC9KxjAyPOC5&gjpa|s4Yh_dvrF6$Ki`uUZ z14E9M>-~dlVlxErn2DFmsZU|-fO)e}zP*i|2ec_hk+x(l&iKEgp%9XXuV4 z)T|>PjTRq~n4TY7Z1a>neWXeCT@v!H)>#)LhjgnOOlsLqPy?H7s(Dbxda;~bbKJmzM>``&baePiH|E`c?Iz|;T z^y^?AZbI@Dfe(UnN6+{npd-JfqgdMfNwhAZ12))iJ}lI4{uA1HAz{_E?8QVxSRE(O3ia=7>hTjnH+3iIB^2Bj89?+WnXL z9;DDbJxF%G_^ZzxO*dwid*d@hY58$#+++w%3UA<+=|*{G!9l6;Fgi4Ne~p9oS~G&L zI`dXQK=z^UywwUoA2iRu%_maiG$j8ZbRxwwQG9Tu^?K!5a3t%pHMG2%Zom1J@B{(D zTa~jtE=8p);3Wxc0=qucg0qNMm_g945@RK>{c``mgn=F zWC*c-Q$v^9ShYHbmNzxV+Z^awBUC!4Hhlk5ZAP*G8eYk=J{w%!;Guu`QpFD-RJ#SYyE@_O6Qh$hHbrd9@Y< zsa*5VsZ5(jExS!EXkC6)T`oL~%PRKU6eN=0Utkh^*+ceNBzOnVVge#(Sj+gfLA6N7w<0%7$9Us-_tp~iV`!9k0l(@%?M zLmVasH*_jRjuTw({o9>vP`;CJY#U3Smsk3D(Ig{lf5a)C=wO5fu*lyqmbl`La`6c{ z0qQ9L?d)=ntYxghES2~$`uuVmJc(tpPPxj=IFT8#(nhqJ?@Vax=-1#ZtBvJxr!pL;SPH z4`|%*EWAA^d~2m|`HxWPgvA)Z8Z*XrgZb>_7fXe*%Ckg zC)Y$pM6H7nkHL%|U(ej$+Vi;maspegAR(SU-p;6t(Nb8CviyGWEp^A}4XuJmT25ECH=&+(_^hWKiMTEJ;xjmj0F(Ifg@;H0My&8XAI#99CmOi8MgLgoN)+ir z>}Y&1G=gg1!N|kH+30AMJTuNJ3Oq}Gq6SD1&q9H~v(%pE0)y7ckzk(APd!o|cPTsI zx6+pU;&mW=)cXjL>l|Z;8i)G;j&BGF;_$UmAbx`L0E2?_fZ`J49nmh;4J?RKWjgia z$_V^funBPLndJcdHFXY~V!WM1{QPtuAYE-X(ST6k_LGDV`eG6U$^D?)UlMv;JQZbF zXJkB(o|0D$FDrc05+Lfb<0!A z%J$Yy4|j{0o_PWk01Pc=pJdaaRg~N%lt!g&hO|kYBw1G%4B3i0Qi0HD&Q~_oES~MM ze(qRi?k|num|lR-Wji2~$nMWUIm`vZlBe%4wLLe8S`1H9N|*$$LZ=yQI2ipPqkqM= z3@1VSec6u88A1H&$UH1?_H~>%VsB(82UYOr6>WN)7L=Pf*;hphM_@tc3c)aP%w?(# z5igVXNU+m--S!s|V4LwzN8OGvXQ(>{Sc~9EGLmQR*h#V+b%hIdB4#zn-cQc#v3n%# zcraUlcI^az%5ib+v7LwptR7O$NF-0XYW27!*NU1XTsm`T5!qJpKdxj%R+>N+T}wV| zeq_K!)sCg(jH9U&LHxNI@Wudsqqfl6ng~y%U*+)sbM8Qgu~Q=KK5A|>J-IUCn7F{! zK@?|15Pz|f5c>1+ys`e%nW(nyG=b)c6#8pUo7f{Gi#l>oV}}%}PlPAe1c6Y712PFS zGBSxBIwzlwJUYsfszP2f7G}!2VjCca$!t=$R1y57={=eTHv|yU+g~_3usyr~)v-up zAn^-4K!=*hDg|T^xGQ(V0UjuXx*98Q)Cli>on?x>Dr27Aw;KnBCr;uZQ)V&~Nb~4& z#oAh9aph=d1LU|oi(Eqhai-U0D#!*9KC9ZFS20(}<^%*<8~Jm&Ys$`$e%ve|?V)iGv@1zn~yRw$NWY zpZ$ywUbqGmTT#C3vjzBEyK@izPNWYuv-I6JFMnDO+G|EoI7I&VPH#7fEg?g=jHW13 z=y*>_jO3nQ2s|{6>wZ!IT#|l3=vmO8;ujn0`>Lk0>&v%Xu!2*@K0E?&CT_m8u5Yr2 z?01+mu(bnsY>@U8S9%7lh>}}Eche_8y?6fZUtB_{wHAnQQ`D>(x;z@64H=Ls6b$K* zq!2iZ(z*~txw5(PrFHC?FY@ELlt?k|W5gVyP^P8mi!OMp{-B{9lBdj$9OmI}yd2s7 z?r$%H@e`edeeO)z!4}87k80UQC>MJFQ6)njI)by4uoeCQSNug4`_=g}Pw)Oj&?$-y z%RUhe&1*#d8LThUAc3j^XE*hN4$^Dey@2*fnmbP->aDh5OYV(JCl{m%(B% z$&!1OaWYgZ5si7n!j@EtR36LP@Vc89uou?mYWc9KP1^$2(DW7lE7AMxcad$6NC)?iix;E6=4^jp*A_dSB4yw0v12-8l}b7a_%!+I35Y z4_GyI-Yj$|hXot<9r!90m}M^BkL_n@045%(E=`}AcduCp*L#djP#u&~o2WKs~PO2f%h&Tf*0 z2J!dzG_df~A`Rite1SLJ^qp23V07j}@zbF^De}U4L4JlV7YN<`YV{xG|Al#17Ae#l z!z8$M`TiAntBI|N3-S}K`shfFsTX#}TDC34T9l@qU}3A6`jh5JDwdE1mCbavVzcEs zSgVL$K7FZgKOG}GC!xqMc`%PRAp-XB6sgE63H0>7mkBx%iLtjRA%Wm*)DvasSdO^VK+@B`u;4qoj6jcz!^UPSx z{VrDyLPTFv<`4p)=KmYpWxRrHg2SQcR+^#Eio6#VKLc)RTB0*adT-@R)uEmAoGc4y zA_+!;x!LC?2((TEve%9Z2XIy|S#0ye!kC-t*m`kLsJ%BJ^Z4wvI$+ZN1$RLhZ~n{w zz_p{@&?q4Hv&`_}EM$`g? zS)sau;Hw)^&AtCkv>Q}2^j{f5W?KYB39 z$p!Y)3K)f~6zrKwe=}%qoX;*)udQXtM2?|k`?p*m*I$)7XZdf2QIjAzHfPy(376ug zPIV_qi;pQP4ER^bhROfk6RP9iL|~db;QwIO+kX>**9<^r{Vi|bKk&6)7%bo4@&vX*B>j@iU<9g4-=DHEW>8`)2K@M_^>8?!~uo=gyyCLwva1&w@Xa=E0ZLKC;k~1cz zRm220?7xcSZv8(Pda8Yu%@$qWbT^^-{YwTF@0jD~@Jdd-l$|jo-X^8JOUHvB_YTGu zT0{-IuFFQ8U*KZdK!?3O62z6-3ZOQ-26ppiG%{f=Y*c7B)YG$K_IWeH9}rK7pv<* zzJVhyNSp450zthlte@uZSinKiedPng?Y|)(Mf4b6in6Gr54m0quxr_Y9VVXq9 zz*c$R6#DNFTx`4tQ@#3s#|UzT-#tcfeDjc3B43jmvh`t}YVTg;zlogGMf>8$xD;1* ztTWNF^!2l@nV80^`9O=6RaFAdm2X1EsE0&Nb(QV3$Kn$S<6l7Xlxi=0`0?PI?%Me2 zhq{|WQ4LtG9S2m$*PNukopid8sN?9z&O3WFY{_Kochzpn0$i=q9(9N7W9Uwp1cMP9 z$EQHGNM86Z#cd4;R?KI%=a(M?N*4~cBd9!8f6-tjE2-~PoQfQURC_|Wz+=?*VTTJv zzpYPq+@41>P<1W=5PTfafAD=`0iVsT%gT{RxKsKIOE}tGHX(%kH3fh-opbo{TPahH zuQjgW|AD4T{30v0J%}{FEtzR))bbq}9JsMRzt`YnNSbzsM;ZoxE{@u= z`dsF-xB}S2wZS~a!OmCAnvz&Q5gG)NY#g%0ZVebOH9IcPG83U7;{#+GIdTP`SG^EV1vePNQ!upq^7{P{KE;9Yu(?1>upQY> zoLtG5L82`Ak|WjDL&|(j2}HiJ3?g-lMN2U6E2{-{fgwR%U~uI4VND~C`ED|VaP)>* zM>k_*HXL-MaP;8*JP9_u0yr4A^j~z*%51w+JI6v#fLmfaIvUuU_W0jJ>D3#bj*+b7 z{wR`FrcXq7`8T@h#Y;|=silRIMmnaondPyro%w;EyAt))NI~!sD6X;^_RUYhK`h6m z;VeEvD_g_}pPnxQHkMBd?TH>$^k9s@$9tNe%Nm(T-Q?v+rN(IaGSUjjSq3-k!qJ`< zGlC-*Gj7NgNc zCkJv@)2k-@`IxO?zr-3xUWh$L$CbStMN1(DG=c=OQ0YXtm!hqecSC8^8Z?M!&i2ci zDzR?4pYQebs<#LZ7U_Ev#Mlim+Q4m~s(=0Zr#UUSndEmY>=D`}^E~3z@)Y!F(EloZ z2Qf?N>!&rpU>(&FYLIIR*I2(Q*Ty@c?w?~iuE*iW<7Oa9NU+5r`=sp{W#XZ2=A-8xOaE}jbhnPzlrY*D% zW;EtrYYAPjmDQR|jeQ$;w0xN5dn|F3#pyKy;!Vh0w(w>Y;6!B&a9* z(@=XywIzF+oxdfo^qPHy@&tbnJ8UTtP;6mYm?fP2_pA*f>m6-_STlz^2GY7P0YGN} zz8?_ua6O+)FGsJBc~v>!+_q$hno3OeEL5i+{u_af3HQfV+M85z!q6<9IB<>aOTsK1 zuCEKcoRB z-+@<5>$CVTKjV_{5JqJ|J;_7dKVJBr5fade zEqsR$59mk~Ys`l-#6|>bf)^jcjx_vFaBPiYnQR0|j{|rlA(3o&ad=|h7Yn>Px-UyW zpQN4zPM8zc_(AbcCGL1q$Q&7gJDWe5LnJk28ILpRnym`s%{cMnOh!L zFa%80R`yJg0OyFUg~Ny+WriQ#PYpG3mLFhmr{4Lop0wiquV$M9Hf%aRz`oMpsL*1| ze5FMGv|5_wbCF-~L;UTAJE)hjmR%m;7^hnkWb`v6U>#Kl<1r*P^evCNk;7QTQg-=&9mXPca)EDs4RxeiIFFFyhl?~q zD`v}CIbEf5VDR#`e`u1ewdoe>w5;XDb**JB<(c8_%Bl02n@wAqW>R%9R#pGw{{lag z%9Lr7J}9u%0MZZ_=2um@?yky%?A&a4GkznJOuoV-nEQtSI6?U3qz_C2&mVlDRU*r4 zOV0d$pdW)lcQ}240(#0iZwcH1k;A23wg7Zd?#9Zh)c?Sn1BEGt1Dc+l)WL<+1>yfq zd(;K}Dk*TafdWc)6H1^7CtVV07D0vVvEq(}pV3tpUkHDg>6y$>EnqpHK{XB0iCR3w~lnNJ`wgg7? zJyI;0HeCYgt4U;c#lxgWRrUEw+)1QuYZt->0phaK_RcT<*hZ_s`&b8=xJ)fZPoqUN zl|6h2AvPO0*PlYvAyM<#$}pI-Xtv@6iE)HNrk0V#D{l(rPa)G-2_vvgQf?;!6G5~n50~eUs1&IHmZ)nK z*fC-dI9YGs)YcPX;4$XooEGEDP&$|-!pmF+i2GwEqmCrq@@hh(ohFOK5`Z;0zjpru z`&?MI>n2I4?PFynSD-F~mKDS;P( zZrkUKO(JYCXZ0NyL$8JkY(EZVQ}WQ6B^GEh)frx15Fg1=9nVpfC#)$RT~q}&bFJvK zs7|Kb&G+wVJCg8#>o#fAu!yuwHVGcUaFtrinRMPMjbAsxD@DB12TadRbh{F|>hq7T z`NKttR9uwScFuXwz>V!qJ23hP6587Id#$7xDsMc$Ox=zkW^89+<77oZKl*eFYZ7pa z!81F0F?uVhrjkZOw04#Dv4ylq8^CBA;E3L8z#4j7>mLxhUGJgz&-E(_K5Gw9RQa1C zO2Vu1SYd+TVbw2Im_^CQ8*n4H<uN>FWxaoQ5I~* zc8;p$afB~=6yUf(c`{tD6g^E%XL^H1#95d>hncggYTn+>u5Q-a^*Ritsl{c*7HTf_ zdh`?q){Uv;(Atr5FU%=j6guPM3;vWb8zUM#0Up9&6rfeNAEX&dljBxp_H0ZsljoEP z;FCE}-(~z9qg;u9uWgAToFv@B{_;Z}6O!iwtYprg zVdWPCenW+6pj2vCYTUp966gyFXqc%9J>wVohZ~!DDFi3@x@={?;wph&bA3}Cmf7fw zd{|UCJ=IhRUW=1^BL_P`@#?x78L|(8?uyo<63MONlyXB7jDTB9N2gyM`ILv=?k}H& zq>g9g>6d#W7aNPK{_JKoqU4pWO@lSrS?WBG*2j$nLS2hrt}Ao%I^j6D5JNJtKV0q0 zlEsMkRR15kz5zJ0u4^~8C$?=(Y}=XG=wytxQ}oR4-dV{+FB+k z!G(^Ei(rzHm$-o{4@2lvd{TwsZg%)l6>!yJn@oz{*v5#f$u!N1SqHRC79kX6R)RpZhXZr za`XuNMg#5hb68Z28C6$16!X8p0 zzH8cknmns!=Z0V2u~vvis|BJ%mU?wT1Jo!>7Jjz1^OkUYu_I=w20?2C4Np5|1#eWr zC38euKlLe6Vc9CR!{9U{&S{KM71)Eam#eihbCF9JF zK~DF`;&l)$9!-ZWt+ZmtYW+Ea5O%$T_JUh)o`2etovj`6B5XN=D4=kIPBU4j9+Iu> zNukvinP_fNl<>3M(Ok}}1`j3oOk&`ujpT+~XaB8Hi^oE~gN#k~h(7(hTL~cMr^QLu zPoyM^6WvbtREv};nkLiCk=a(;g5z^-{w^?8O=_G-rINxq0Fml5eMUd3At(eFVGPE*FRZJSvo$?E&2bih`NADveJ zr=7Lq?_Ad{N@KSfu0%R9qr>L_OUq5KEC>K?IQh16U{3LM%=Y*4L>| za%@?1krcVFito&e=(7p1@91V&yTKUl=#;j+l8YVb=-YVOMdlebdEPo?2ix1~oixt8 zVrjKF;kFJnY6mPdyI-e_Ql<|Sy=uIGZ1{ERSIsLy#k98iC#D|Gc0pobdR6;=@g+Hv ziBE#2$E;t)$G$YvJ26=?&d0ws6o-_p_0oXGa6an>DcSk+T~i#+~Am~btS>e zxUj}`?8Nsa-ug1LqlAw0ta_L^@1zcdK3lb@$F_5mK=UU8Kv~(313ElhUDhMU2VVT;irBrV8yj_fefGfGc&JTr0IKA^;X} z08QbD17{CMIT0c{UOH=DULD?1h|@0~Vg50y6}@e~&N_)&%YadZN!O5nR-UNGR5=3I zq)2i*WRX#EJ7ka@R8Uuy;**GCAU0GvHHZKwh&j09)z4+at$L*oYiZPQh-%cRigMPD zR@GGeE0%FnzX|S~8t0X72~=SK^y97*bF;Xzw|WPu*7T#}94Y(lny~T~5pDmj=xN7y zU_mKT3anU2+Al64-!7(UO~UHfSR}W^iNI)dGKOHlstibxAg`pvn%i|~jl*nox`bfJ zMlWyXW6)VF#E|h1ru`l1Lc*@F^UW_6`aXF9yYzk@LZF|EL7GG#ENfo_mM_@{CdC2n z=;>;Zx!ad1R#w91BQw}&jU5)UdW9$H-ymY=K5e0 z!vo6uYJ!pUG_Z5=0ix~}rE}>!r3;a+U{h%AYB3fn6rF(R`FSOqMdB?dmedZ;D-%IPjx=<#Ex$_;`aloUDPQW+yHwLI#nrZc)Dg8nr16! z|FWX3%A_s2(x)_f$7EXh;$4R%8&u^O4PD(46)ZHfw5lKF4-l|(KQ|)eOHMM?s4P`3 z7K+x&rBhp_IV2L#AmrP=v5zXu?DGg`&x%!ZppL9>}X`TZmB|68gLSb(2qpm z&lj*`Feiej4g+Z86<4j_FVicQsSO@+4*<&wv1*+X?rXwxWa_obIRy{=Di62^i8h&b z0*01;*Flb=Bz}gd1@`<-fzI#@Z-2xz%o_`~K$qFdZR>J=BvnyiQk*d}3b)+zt)o%g zDpH&9tK^JI`WAv05m8uqdAL#yo?k?yDUP3CT~^uJ)SwatML~2YcV!LbZX67hGWuFx z)FnqZadYtG*d8{5#3V8E<^4i3!KcZ!>#&{8rHeJui*yU z7=tBu=H}iM1AXg^j!jBn=tk|46{6{~oSIMDS|e<|>bY`AhcHX=vmQl~4gD`y3z};( zrs5CqbB7?Rsv;3l5C9S)XwW!G-rzR}Bc;&mBpvCEty~cmesZFn z8dG09;Xl)o@E0RP_<&5A7cJ*^=)w&0Mf5e)qy#$WiUX7hITR#=?tO)1qCGG@_&j)k=ppONc$r3nUaX-VaP4 zDxoZRK?1OZc^5I5e%8}cIuazuQoS7%iPm{*XywbG!VNl)3Y0!(*N=C&0i(%RE9=GE(0t)< zC%zLipuoor$?bqzomV|Z2?_4i%iL>M} z5r82}e?Q&+LD`x0Jy>!a0l48Vs(!eu--QiLX~NQsKO{tZIly>o&f!W<=qUHs;xCfX zSpv=PIBi)6kweI9N0zPCk~0oEv3Zg>ZKrPK@}J=`HOo%>+s&#s;}Ho?+>_Q9kY0>U zyHDs8fDc`(jGX;iA5bE+NlL*ES1Wb(8yb+gHtzKgKjiRNsGm~ z7oLnN?dNbsZjINosrzDrtK4zH@U@4C$oE7x_0Q3CeWvE|S?*xhj_&HWP5ah@o63W} z%p&X3N7rSyaT22PKzoY_Wed1gBTfa9?-N5b`-t0I!5x3`O5?tJ?V&p*meYlM$nV4>~zcBSfmh3bjST(w?P5N0#gyJX3?h0|B_ zibdC@!%E|`#DjZt=AAHcd$o&T;;;N(7RX1tzN07rZQ=a0OSM;?K(>kiYK{Vp0Y@Cr z1jZ?>c1zsioH@yGKPiu0)4cfLC=Xwxs|Z}=%1LjwSjfC+1elH;KhT{deH1^{D*s|o z{&ksN-&{V+HP2@DOSKU7x5e0KLVaWOL3DH=;^K*Ol|=3uM{LX@j8dovL>V|2SdjbF zF~=8L5#E~p9F#u?7#&0k%>Hx+w%wkgxG;3)Z!rony092xo{(dR0@W}PJ@xneAei8T zYD0IxxLQ<2d@L9n?j)c@KV#L@@ii=v-#xAC)^N%RQ-AItPOSmV`*l!%{D`r3G1S|I z!xRH0YcjCPd3O%H&!LW5vu2T)VX-&an1UKob3`yTfVx$)>i5ui{z~%B*ntpzHaCk` ze(o=~lZdjPI5`?d52x2^zyCJfQSuQ4m+oD&(+@eMEjmzJasvU-qBr8hX=CyrLska` zXov{Y<>w_U&B#z&noU|mI#+0@_qJw4e{jN2q{;y$1u&1k*$ZGC6?m}=LjGf^nj#8n=b$Ze&=%864PUdo?Jy5_ zIWl9#iLT_!~_ z#bBWp^PyJ!#Y(x$!&GyKSCVdznjrc+NHwuXBkK|sGEsHZhcQ8Q)Fy!X*wXBLD&S#p zcI?^G%&U>rcA5_oSiSi&JI0m7G|qJDIz_j~hR&9wux=L5gE3yfW~Ypihan~#wicWn z+a_-4r$Azbl?rNwRRGj#&^00SrRpH(!oOvU%jr>Fme>tee~uCSna#p`;DDb@JP2*3 zA?Q+=Muj-!wVMDd1$b#2buX782G?n9-q)|yxB7bt2i>#keKhny^0(QPB;Z*ys^e2* zI*MCgjGrLb_#pUx_P^Af)t`=_Eokk8pkM-sM|Nfen*TBhepw@8ocyg5SHRG!w`rN0 zyi(092$_2?0-g~j?}K*i_zmP}4Sjk*ub8_TySvmlT5OtL+1rZ3&9>iELo9K)a3+sd zGP>ot+=={MjR(z#mH<{TIVNbF$$5Uka=rg9ail>4>Ye-!Jz>!@Fn0jYDydI#7m=gw zFV#&5E=FBOhHj2HPD*K|TY@u#1K{D7-szG4{)OJ@V`HG|-3e83dE=(I6s`BxB--#r zc|?QkhYwo)B1}qd&`*wl11gert>c2BhI_R_1&`O&q+RKx>+9{<{@PlGS}pIO7|~xOBN~2f37NexNwkN%*AZXB_Vm`kP&YY$&{B7b zu3NS+qvjaW&sG0VMTnBgc~cqf7p_U|v`p7Ow!-V5>cuzfsLrv%1I>d!tKVvwi zT2O5J8cs|q?+FSL`FedF+}%8wgm)fo#<;4RT17Wv#tJ_(|W-3Z7JLb7Z9sfTBu@0n>3PF9^q4jazLzA$sgP!n)=z8@^Fp@AX%1oj(h3Q#U$lCb8; zJLtrvy|O(ZQp_H(x`qx8x5rONA5-~`>7XCSGyKJbVG7oSny=NEM%__GE~s4BEJG+A zpSQOjg$pc!jrhISmy|iym$t^5jae(+jRcc=JXGs&%hLzX}~N2?3Q z!?6xdXCfyvTU^hHow2Np_Q+P&TEs1Az4S~$n9d6V`JV@ zGTv9^mD$+77yrL-qP5yeE}BMA6GG=HLG& z5g_MYt$W`<+Tnkj_!T#lFjF3-kf$hU4y9#@#;0YaDhDGob01_1Fqe(~O{OmO(k#fr z*AFLF*rx-U)i4FqU&>He`qV*g@Y z5c?y}6ukuxSLHK#3z4_HZI2hHV~~3trd;V0W1q8wd1}3hU!5=bGEpzpsfRb-6Tk9b z+x-WsQ03lxd(f&;<&rpv1Nh=I^Y%tifnjs3xui&&(M9nQ2j+6H>rjC^jQmHuxS0NlBT5)2de~nKyxVa6TR(i(+#m2^#EScI(EmSi z7zvRw$N-teh4Ch>XCJUYj1ho5Nxc@{_Op~_o6}?vf^e^oOM$sHWnn4@wxfgmJRf?|P-VlN87hLpfn5sm^g10fd18IY2LVnIt3jv6pUA!GR; zDvA<5DkP2}(BtP#R?ABK|B)Wd$c{0;{|cN1z;db5E+hoAX_=;ek4A=Dg26jV`xU#% zsf}<3`l-ZiBO5nE9o%&$#C&l~uPcA`oh$60UVf?#F`swfWp2#=5%1bg|K*K0@vUUU$`HwmoTbO2c2yZkp!` zfgp`TB1#piHWQbhDB7H+XQQ~Oo!gee=5pb9zIL?IR9$DSW3ucpRC5p|UCbiM6kDS( zTr^K%URX-u_srLQoiu-R@!AJZ-p!!LYJ^?`K|R^w`|0MW)Fv~bD7&223IK>5cBhtP z&3LN1r+^?DT6f829Tl?oIvf?SXCRI_KDCm&&h8z})vjhG4#@rl`TbB0A2yTZ@VU`` zRRn7iRFd>Iy*l}D?GACWq?<}SqUip9FWWkBYg@x^6JV;h?f%96`XDmTB~`$2v3Z2O zF#gvOX3XOq|KoPUmoy#C<>{n5QGo0Ds)$D0^yMu`d%P5n84ZTE1Jp)~7Dj)qs1f(4 zkaZ5CrA;xYjiZ&2&K{yA9*e&!SW_~`N!2bL4E=B5p=MS>*1m|qd2ry`U#osg?07S; z2Nhs@Gjygu|A-8k(wS;I2S-(w#oaJtu-aDAq(3A9Fa9~X;5JK{?R?IWeZpkLGlPhF z49yp+Kf?Zmed&Q<()^{U1%WT?c-2A90-=TA9aEDhsv3mv-}aT!X+HUy_|q7?=n};_$g_QjS4vNDO=$thNiZ%iaE)kgX-FgB#yR`y z4^+j_F;Z;&%<%TbYByZ>mPHKq(rq+6wL7 z`ni1B?WY9|{~Ea2b#@7gbyoy%p$}>)4Qtfu3*-YVXX7v)O0SY7>5UN^f5cVe64)U4Bn$G*vseMzb0x?a{kdyl-W4h!P?R@rUA zRlY2o#r~{-pL)5c<$igasEAkhe%@ix1f0xq65ap@_Eh395@Ix=J#$JQGy4mu5#ZuiQWkULQ6^;_0%HU%P?J) z87>R7i>Aj=kC%6Srxf_RF&|t})t^BcsvnV8)l0(++Nvy~XfL|Sgh94(P0 zdmyG{!nf30&-Y0c@iQoT_s>bu<{s?>k#7!I!D{1k6eJyda1h}1?(M+g^UpZ^!>7IH zhcx_?W&W1W1pGT~GD0F7H-AU2eyV=W@a(k7vBUXoR_Cz&wn@tw3%)0U9?t7vT08z5 z<8c1C{kcO@b|T}jcWj_>zz>2@!v3yd<|phDLeb^N65C=1J7B7%tE{}P(*c+??+(}8 zMCpO!w_muQpC*y^4W-hrJRIDGmqNN#xAfX#$Qr1zN<{MGkU__pXCXRWk-9QEIK>A> zp6i*q#E*(gV9_|9L9Mo)YE`WSzpJ6oddy@a6L=r*h16)G!qZm!5agMPfwS|#Bmr`c~2>+R!|RwS<$dd zQ75EX8nIy%0+B`p3{-8XbQt>Y;ilKGw>P7r!eUz_tg)jg+({J(8K^%()?w(uogq?4 z1i;4kLBPfv!NA6YAi$!*%O0&aB!cChREg(5&2qw?Mj7TW1_OX(v z8ew%6NXn z(o(~85;P(cOgO1?PZK_hA2@3@l$!Yw1#*b6pl3xC9osVu%mg{!Xt)*=`)v_ySljX4 z3YB3hi$_K&7iWlptgyw*6umhrYBwcbd4rWTLPtWvew_LgPWk614hIC6%3(11G+^?3^mjlXU__WWJGFb=+&WxL?)mUN^+s+JL-$ zVRNU4_dQ?ix;rpP7PdG@63_cQVFW>U80Y+a_;O5e+lX0kO5`+t!z)l|Xkvo^P(|Ha zEfiwBo}O&zk$mUM%Iru4Tk#BGS7EOP=D^EP#O-RGe<}puBaY&|47uoK)Dt#FTWmDSvZ9 znLofBaGHl?(esp`?y*OC;{X1{zb~En3$g<$WDUjHFU}|SWZ^{kb!SF0^m0Tr8?We! z;I%iOh@eeX|FjDwn}<=#b&Qwms+bsZF56*IwH$qO77x-O?sAl-yBX`1pK|=yOR%oO zPQt!}ekytDd?$Hp7Gw!~6mYB`cLodA#Y|vL$4r>3cqqSEnv7tMk!YuX6By5*R!Nb? zddV;wN3;nPa`jGmV;r}{;ACWKZtOzCik&gTd860Bn-n<@Sz4ZH@h>*0H0jpB+MYK% zSzg+WccRIp*h8!~pa(AAGtt6T!pmS_fLrivaOlXNl zAK|+V<|-Q1Tf$bGGTf*qJ}dUFYu$ayim%SEWZJ=7ZOF0!bE&iM8rs;~CMVyQ^0)Ux zyYxNJ5&_ux<10UJ0hXN){p{K&_V-IH1_mByX@IiDON|{wwhoTu|VyetbI?HJ{Oh&-;pC~cA)Pg5QnuN>~I*AYQv?iwz2H{N)x8aTdtGnI92y^hpP#fw$ zu~-ZkPd&Z76@6%N+kwM?1}=$b+>4tY$sKB)$l<(6u$M_bEEw`_6U*ybUOGGw2=BQ7 z?O(67mKxcGYbw8}UFmI%&R8M7=(YeiFc-+1g$uZUTm$oibYWB(nkc@fBidog?w}b|69Tf4GJLHMQ>wif3+w_UR!hFmF3o1M{{`RMTNq8m(Ow2b=&Y*ZHv& z02H^)?MTN}LKI684t{24kaxR{4d_zQ@H5skQ{QbIY!O92YgJPTGv>EIKjSX^F^x0m zF-5)PC}C1b-n=IFJ^S<%ckg?xS=@cb<*uq>gJ1VYOwSHcE1(W%L*4$Mv{WWFw}MvB z$GF1jCA@s@fT{%ONc&%ha zvTr-#KbDvHUrbU(Mw=!Yid(=#aIXtyos>Z+>B(p=k{yu_QZ8a{s6oQUTp5Rxrapq8 zN@p5Uj=|c$0GDoLrD{&p_hJ6Xq0p>tD7cqdYaUU_Kf*LH$;1=9M7Ur1a;P~qSv%*u z4EF32-443Gc$4QyyZEi3g>8!Za)d1+5cu}j@D#RG0%-+XMEmJ~DdRyj!zNA@I(FzL zKWDLB7ktxJpUS|*2K9il~ZOg`#VR290)UZPIpp`uo05YcO5CH`q ze}&7pKXxV$@;cS?z$a&1#A#21&J-*gY_mNqU6ML1-4m#_K;5I3+F@{$77KzRjKTUP zX1VjXCcAik3PD=}TyTKSij=+TW2Gi*bx5~BiPoa0rC`dBrI2!M_tTm{0jx@EEY_y4 z#*jV?wiw>H?cpmM(m46>2^v!%rMqyf| z9;0w!N~4wqa8yF(@&JxSQp*bz;XlEg5flN6kUHjCKh9*^NnDg2@Ms?<&j- zd&kv0#lOpjXKVMiDpWg-@$Bz4v{Z;U*tE4-*G%Hq8ByRF^e^h}&2SDvzKxKAW7S7K z)<>tb^sS(T zn3ufcg0K9S$EVx7z})*B&urf6`M?8Hty0fCm&2h$uDK^6ni%s82nW76FXLc+PZ{wS%LJ9&Zy&y(h_N zn!WM&3zez2w?lu{{K^~+k*yn=elig3a+*IafpPKnCYKk6{4FaEvaK26cUV!GIa}*0-YzX0WU#TMv@|X0YP*c(0uVA z#w$dITAka}1jR1Pff4~)pC~oKp#uapL5T{YyTJ~a$<#IDpD@e@7c@S%!rcnbC6gfc zXQp|e)*!FW^?p9t^mztU@W;!eV6V<1GS`{l`CW&rqisKurfN^T+&P90LDr#H^!$pM z#Iea1pYwk4ze(8)Km4(bBG8Mvthoa|%Wz7~j(s^TfyE`dTlB_Cx?-fyHIR1|=GYWp zbi!JM@Px*SoO{7FTi!A5l2~PFNvp-N-B9?ey8hVPYxBHc@p0?8{nywBQBk;!MrznZ z59{ur=A_P^a8z{tiaOSY@CDdCxkH>++9W3H&*`yZ=`7WhO z?aD&DV)@r7kh7xbKC0f5hDs^uBwQhrdstX^(E`!>Ud$*d&^niNAAiRCd9mQ{aS?Z( z_0K^N#(Mu2LQ9aP`oC4@wTXnk^Wbpx-1iC}rep4szZ=~Bl=h?Z z&cY~iGEX5c=8jBZCtx@+l=hUZcaYbpf$f+b;{ZLmz_XxR{#m@e{{8X-@98nxlkQ_H z#s1g9nojGZMonPync)G?k5(j&Fwu-DTPbA4>DnuzZC^hAn>OV@-JER!pS|)X3;m*; z-%s=X<8;3;dWAB&zk93Xjt&-*#AcY zldXR{%#KmX*E=9;)bx&V{Ah)uTedvFt=26}wr+HY)~J~s6E^^G&=8=$Z2SOgr;;z! z09b_cgDqyi(MqPM^`C0_7wXULl%L`5^$Z*y#@?f>KttcIXj;K^j!Jo*0F{91MSvRMDfwMq4c zvOSZ_Z^~bt+LQBd%h!s|_2=aQcXgt4HMRWnXNsMig`JoP@;n4;AH2602Ljpx1wTvJ z&JODTA?e1FqTm4G<>5yY%e5!Uo5k(!(F^Fo&e zb~Linq{R$JuJE!Kl-^yshk`t~{sBVAG>%(2+qJocLuv?=lMvGUObn$%d$wX@hK#sv zGh4OkOjU=Pwex4N%+}ZKEzC*BD9oHJRl#xbyz)IOC4R@fMDSNO;oRqErkS7)Us%d zS%HloH2A0S!eclPG!_wvh=?PS@g=|y%g>Fm#e8Y9D``uqRVhR&;tM-ts%ch&gwbe% z((B)l6*%L~v~(#Aq?M>tdTOMrzY{j6$a`(!qgJ@~S=jMPSGY25loG47ZL%gMiljO7 zqla2CXc7?RS65}kYr2$aSz9GOLV!;kmYTG`5gWjc?9%WT8p77KXKf%q6o+=;1%2I?YJW5xG-z@C8nhajfNK=o0j!Bjl=43BvMjK z7l&k)56KW0>9o%I2HH*_JUM3XqZ+31OZ8i*Qea-^BXA>g2!1r8aP`)1r{P(ftUlCdmtEh1sc;7 z5NZqhfTlo<=w)u9Taa7BMNry9@vX=Qs4^)Vwss!T97_hQG4PYc?HwIkJ-?F?aC*Gori@2cXX;5?26ai0HoPpEMZB3k=1MlcXE;613C)wdJ+A=Kar*nxjc3c!biuS4z77LhKJJ_AXQ$EJEDo7|yRWMWrlP~rfBNs+D={A`_yUgi-R%4yjLJI@NCtfc%J-g&7=wO zx^&l+IzCu%`?7cs1jAmFUKa3qQTZI!sG>IWIIExL8 z*4{V%ofLPcaWa|1rHF(@lVm!?mdAXyKPyJX zW+%$=zZTj$-0|-q>xB+XS^$UIAutec4KU4FSf&}Eq2~yM$8pi!2>Cdojx3%vat$af0RMIOr{(8TH0!$>b_)h6h6YeX>YHS;4{ zDwTpI$JGALu-c1={XJksJ*4T-rG#Tj4euK_E4X$LBTuG{xP}QQeU~5wW&Hac4Yu)d zM9saqVO?VGr&ydD!fYAjP0BGyv^L7GNTiuEjO(R;h=C&nm{4I_WHX2ROG%=HZc?)= zq@|KS0GC<@Ukz39PRvqCoa|}{ZYD3nEoO{iF7C0yBOH3m0WVKD9%{?w-y-8YY$op$ zdMo3sfL#YYUo=dDAt@9V6nAtY5!;rcSSyOyUQL=W7Bx?q;zmxwPXe-p=?fKC9c?<0 zTy1FejG9zJ7DJ>_jYMobOru~q)#&Bzx`)Nzx~m!Ui{Uf9;GOuo*H-hw}jD4>GIWd23Z zSLMs&3Z1Vx9++&-X2%cps(<3k4s3}&`GBkaqwUX(9Tul4c)}rhc%So~P^7M_9fF6b&f92$ zjzWE0S;^B2Q=-@dEFj5{hq2$j`szs1VuS4LY*_9^yt~NWK=L_Se|tD^>dN9XczV2Z z`8?sNUVoFU8jq>q<-nNMXK-WkuBGKok?3L^;Pu%N(9~2h(}uM3)=E2`*P6l$0RG%= zmC^Qs#leJnz0LuLk5ajPl-*=wut?5*PHPU#aED-N!~0)Ce6pFG)vYq2#- ztgd*3O@~%%7)P#e$spaKDe}EuxjTUgN9kt=xJ)8Q>gdniPR0=PdzKqUEK#=Cv>#~V zg~=otLo%%Fs$bs-E~@}l@}dm@t-**P9u4*_+X~B$P_gecLaZ`}68X}`?sMUfXXglJ zBb&XaNe2lvcacX8;|>kKRCz){*sj6MYc-|l6>?QHa<~ap`jRu($~la}8>f{e5(oQ_ zUiLn2psT%{>R9FCSGt#+%9UPCcAj658PO$5Q3x~^yy;So(WNqf=j(+fZol4K{Gc*N z`?|ROxO;!SGxH|rc)}*^mIr`CnC@6zI$S?7qh%6GVHEIs=vnlVLgi?MW4qZ>8dGbG z(asTlk~KEZki33w=@=@9yrD3Bg9W)@@Qy6nbvy=VPl%ZALZ10?I9ElCyC~9eTi5JG zORM~ARYQ$bUmi10IoyNpdjZ;mMwA#u!ABq}ZZh@8=)9i(x8zi?SD5HASz(_biG$(; zF+&V8-76SPi9U`byCw?VR9H1XJ8K;%QjlS z8zk+B{7oQ`P_ci2)hgcinm|B_8%>`D`iHDsy%N*;7pk*@!;P4Z90eN5XyigiprWjT ztmK=y+OKBoyX1yjBFUjn`qQ{DMf{6MG8um&*;$}&1d_kz$su@5TQ_U5tk zrQHY-sy-X;NMuw7pWCQT1s%fSKtRp8Jg!5{aW*56Fk4}E&~UrQ za765``tVr%Wec?+?b0#2HNn9W25tt9{PHFTUzP1Epdu`)kres^J%CJ^@JCWMMsd2= zTtU^Hf=bfx0mjB&RhK$sHP~Z9%JW&rL{S}@5s&_%c;nx8w>DDDZePw09E2^L&WlfV#EtpJc^&PhEUbJ5qB@GUUy z`bBx{jmAXX2+iY6&1i%|jX}^T4825RvSkpnT8PhdudinZj~^!w7W%0)bUXL2cAnkn zImZ}lcH|X^k_8kAfyX5pU5WFXtE}Ay1{KeL!r((03+noVhnsi6tavAg8x288T}K?s zcOTN@&RFC|2yiKj9QuQF8;wL_7MV$gtM=OVmsV<%g$_li9s^cXiyg;gUVk$UjnO2G=uojrqyO*OBS;X4J-8 z3G0+AEfq^ikl;+fv9#&p_^HXdTUnswm4(n%c*lv2zvg1de}D>By=fLXN)yU88-0UI z6e(Bw80d$}u?L@S^e?5KLv|Zm)>Fp*sY&y(d(p+Dm8C9Ay8}GO>+Rz2L2Beh74_Ml z237>BO-yIs`oo=jHN=W)v4S-ja<~F0qbz|kPZ-buDe)haAhJcqRtfkym)dQ7J+BDJ zzXkfitER6(&e-_Olo;K-;AIVm`-(vQOERDWd(Ov+RbrE1J!=`2kKAQMjm~s1{fKV2 zxU#PTnqREqVWvp^T}vDwToC#epdgK`0t1+vgvaU0#h(5gR_wWZc<-p+lo{k#w0C7y z9gOUc!WPCv9#;NJy{f{_KgaOx;!cZV1vs)9+9IxLOu8hI~-KG#qoa zxmJBiB3mnC7X(KQX=Gp>HR+G)ADjGS+t1gx(}Pi4pEv6>z4n6jyDdao!ZvTPKPwT} zK6fQ*jv}Mkt<#tA?1aYM-s_4y+dHyqnYx7vEpbx%{+@Pb`I2-ald^*ur2KKMrqU5| z>Y;2ekgjA2tVtQV+YiI;%=I{F6yO&Uo|t7H<*K%wLSrzXBd+MI6YHmetY`P*`~$Wo z;WAHcHl>*=S@3NFYdutsyFW!adKlVy5}r9-Aq;s2OIKgz7ifn{0O8cv)v)I#jtzQx zH4?|_8ntp}VvO5~Q~q)XpS@5Lv{}rrkBb8_LPQflEv(h-=s@FI@rQUGQaB`+a5X1t z5tc;J{+bFz8JQwz3bHf|eQq8K3~9}fOMlS;7jfFX-$f~`YrsI;Zw8t8FevNIi=VtU zkS=xYAiPj3Bt6$kO$$wtpa%16xsiyVe;T@Wbami@RKVw(#FSuHN!g>8M)|)@(+Mq}z#Iq2bZ2P~+`?KOW3AEMi`F%nTt2!WNi#ieAIw@RA{ zssuWkfetV{O7`rZX)gI);o(`;CxvOjlw0l#Atf5$vMgBQhMFR*qLw5t-%A8 z+O<@KiaFY`ufZVaJ2mTfI0({a$_>-dI&RM)r(rAqW}L808&3t}0(al1mE_oEROD(` zm2VmUHpQt9etCNw?%ltaq>Gn}^G;2u^J`H>C|VO`!41+mio9opN0>;Lc?KE_;l39V z+}o~K=)bhg{NX|EJLey*UcOi`TJed+JkD7J%O=Gi%HOUvMifNUCXjxATT|M!((3!HDQpIipj6`PR{?)lXBob!aoF<S}K^|A(`;4vr%T_B6%J%*+fUW@eTxmIanHVz$^8 zSsQlLG0{EIQJwW=W%#MOenDmhzKnMW zV~Pek98CrNpB4PERSBBFOH2DY#)IH~y2ht|%Ya5(#LXQvil`2dVsJ$q!s7cMqyb=) z3CYk}ILW}2bc_au^O7;TWy3cg`P2C;FNz-+$F_D*UtmjtQ5s!vn) zt45#ct(Q=W@%bL)$lSZv{(eJGy8C6$yqDC_4Hji-JAo!o5U?58Nrf~EX$UL8vlG#Z| zNIv!$D8xS3$Q#_n~DmO_FaoHW=TDKF>bj6uF;Uw8;mh)2>#T2rNadxeB48TO@KRsMK?SK;qe> zNvFjJ{M97(Xf=(&Y*J3*sXOS-x9JKq67dqhhN1b%QC=F{IGa+Fu5|zOH#9*9SioXI zO=3~Pq*&-9uza8eNbtv*(X%s#s)`ce++TUu6~n{5oMq+WCnbi8i;f|irN#pZLnbt8 zBBLQksBPh~wXhcb7YS(M&-Jp*PzI8EcTTdJ`Nlsa|8V|9QoF_RQ>Ob681;cFI5y|f zxaXAd?xihl1lVHzMB6Dd2?)~Ym&f+QB=VfUSgUi6c5Uh-&hK^*yBg#iMZ^{wVk6Iw~3v>XlyqI=dd3Wl>!==}@! z#?g6^N(HCzwvSww&IjZr3SjH29pao}safNM#&D~sC)x_R(Y0?L?-rCEJ;tsdJYVeb zJ+DpF1)etlt`Ca3i<3d|xo70H66Lo_kjuz9lkgLiBH9Ti%;6u@P#Ev4faw&Vmq_`J zcmMXj6J3JkiON)jj^^ifJnWnEOM1Ch8V7HluyWX!!sb3@b~I>TB5^06Io+=PZq-M> zQ9-3@)$W^n+f$z_m*ZQzEX_V)CNjC7`!(geX>IzEMl`iONG}ohh_=uB+={|#fd*3l z6uRK4xl(!9ib`EA2(fN8b6t6=dn~=3Z4zWkY{XG@nYhe$W`(g-rj z8CYpS#-R%>!1*Z>nEo&0KbJdS=od?G&bN?F zHz5yIost8hYmGR~8&xQ(Le}c`gjh={lo}vaBAli~IM|CK2?=m7Sl-vneCWhBRHXa9 z^*8_|Jqb}v+fYI{gh#G%23=7+Xu!TS&X6m!r=G$kBY1Mbr(gZ0Xriz02!1uL^?8m3 zu|aGltxB}ScHam}ToF5j4Q>%<6`ne?C-jUpKDFdA8K2w&Y@EG_n%ji0rAF5oACS)Q zC&=KF4x;5`{^t^N{&J${a=g|bU7{Flt?Dv+Eu; zPd8z zbHwAN^NT3xagG9kOZZ>$zLyKk%A`zSgm#zv@gM`=GBew81ZQlFcHz&>{!`Y>vEz8C zg?+C|of@EAhKZH9PJwicc+5Kh#Y@>akC<(Osha=}b!jFQ{hmGaE6)wfTqnDO$`)ibmM>q1a*5glzN%_lI6J0*;W*VA;lmk;bdb8fFYhgSz2lJn1 z*B_{(^LA+LG!@utzCoA%oov5z*cpYe?Xe-KO8>-_CW_8%nhocQCwFsJ;`>q=L-OUK z(s|OM{_3LBHZz}XP{2;BrNVwRipb+rH6eco5|o^dgdOq$1*4cLx7Rvdmq4g|wIHtC zr@(vr*8J+9^RFAfA_@|V)poa8SW|ALi7O;$bt!UKXK>uU(7PiLfPS3hLt*Uueux=b z72tZ|(VL#~Ev~rwT=Y`j6WZ=^%DSW++1p@_qbzSCJpE97%k?C(VoY|G^%Ln*N^JxD zlJX}7zn5|aNIw*dPlK~a90Nqji&!*@zaLZtDxrn)^Hm`_;(q1QO_`Odp9VzL-q)-uojlSj!WDEsjySZ zO6i9@nSzeggfec#N+}B=&f>Jiwve^!aJ-xV9&$%9$D>?!FVY{-b-k{J0av1M_=HAZ zUE)_|GE9q`hwICs{dwIXK>SCRb;uw~0#s0m2PDwE7B8E~2bSSCL`Q)orpn;Wz4RA9 z^~us2gQ$=5_0$ReT0)#go4LnHcp{GyLZXR#SOIFk4MiEv;Zhkg$h9UTe_nh1Jdgv@ z8j5vPigjiKIxlG+tm)sl{_E^$gJzSx&#b@NJ|XiuECuGLw>aSD)!85+RhIlSM7-Ae z0GvG2M6v-okzf^Xg#V(pSufzug^bl~c#R5(i+J<0x$VVmV$GiBOwMSnILZYciCnz! z(>M4rCI(n)^O19cY)2S1?nd!bYcnvEp@x@gf40m2wY3LEHn^|C;-W#$;0_7bB!@*j>5N9gCRW1(dv4|FZ)deb1LDr&e-!h zs3mKjJ)=UemOXy6ir(Ii6ja|#-#cIlp~ zFP1CUv$8p_3fEEDfL6h@K*cqNja$zZ1n>~W^vL&%8ybfoJ3+Tj4oCBZ*&=|uFh3n7 zdmS>$f5slVq;KW|R#uNY+d3cUixxO9Vjs#!qb21l+)bBW@sp2_fID9bsma7Qq_aV} zkjhQ8#WkiygP7dj#`>7Fq~qyYla=#(E%<4viGg9Sa14}GlJY&AJ~74{v{H(iNgUN7 z`;~wqevH@+V<^a-XJDpl6k_=VXTotj=5hpdwME*lfpzm0>}p0xL+S9b-nJ~pNz2Hk z%}D6;alSSJ$Y!{;M%y`y&yBO7PKWIp=9=SN7>=4xDB;JVgaL~sG@F$u{=mqAqv}(Z{$Yff4Ux|zPMlC(d!dTa#Td8 z^zZ(-)e3#;Dzk&3d$w5ol3RFWMg`JV)8$3mP+@NLiI$B^!}#++UW1?~I)*}?qc;yJ zC|Zt}w$_(6Id>FlV!$url~W&R;1Kg#xdn9eN)E8oCBuU{0WjSdAQ$d~Lmol_~0$lE4x!g%V%e}ar90%R^R zw3R?d@zG^1euj%1YM&biL}P02|J|iyv=ujb3#=h03D}9Dpz+6l@;}Rvy_DBpSA5Sg z+ee!R*@ZmOQB}f#0)+S|2#1S^lZV>E9Q8Dky7Q+(xQHU^Y{;DBxfUs=3{M79HEibX z0FefH&QZQTC3LGVFb-L2$_tJvep#kX?yWbL>TW>^uG|T@7(7{%fCN5ytsr4UR6>~KI6whSF^z_*Lz)ZcBt`86Lud7a2#y;-pKL5myD5d#7m>6$+u7x zz<*WLV;TL#@+R*oq(Tr&r*lP%>KOFwLpE}S>xLqf^LH8gv0F6~?RTt6A?t-k5htEo z{X%iNVxdM}lQyhkr+Qu!@_!hBGi-``3BvD#;toW^uq|?Y*660grN+U(ucXE#z)pp~ z8A4rwaQK`48L}V7nq+H{$?+$x4!UkSRLmRKznkMr?iheq8vV&5!vVqOdKY4%BTNWqq;zwAUxg5%qi?#H0xTQ*t z+{|UZoW7z8dk}lJ5R98fMxYtkT+|gWgN=RU#%1f`+IOYui`FvhUWF1?d+Xj}){EA~ z32@)}e;PZ+-(AI@55a?yuM?yLe904tj zhtrl7Be9WRis$_pOQ@(4fe3AiK*RG8m;H4y0P3o{A`Abt5%knKxj=f!COnEN94dcI}|UVlOMxQeD}ccpI=O=6(^eS z*CFeQu-psx;OEgYW*7>e~F5>)m9G9v4$kB znq)YJ<$QwY4!<@Hnr{eO5vYg=`t0s2l2*uld@fF|_sZQ(C}t(sEu7tAzOTfdV;G%4 zkdYvkmO`ykAcTA`&>Ntli+MD&|Uf)xls>v)R^@Wk> zhu<=-8TX^;xN~18FF&+qS!FfnFCJZ2f$C5c21NlC$S%YQR?$DDEG*>gY}yg>bPhn- z@bRLrA@}v4Q{gTukNnsaQ<@soFc;=m0d_UUMaD8U%-_zaob}Ibtsg%szhO+YZhC@d zh!}k3+9?eql0^M{&P(nUo<9Y(TIBt8yyBEtnZo!)+T7xgC}tDB6< zyZ9KwFp#H=d{+jIaX1!>!Eud$xXx@?ey0RAb)gCYBms-jaTOO55sEzpQeR&G^*3%l z#-EIA@}W2|CUFV)`cEctw*=jdjci2>I)W8lKuvagW@H(FkG^pEYGl09w{CT;0*hfJ z>B$_7u;mYi;iVJRezhjwB168kIc?DZ!AQ;diz3w;7mbNEhn!rsSvvLC8_RpkRE{-^ zm~V_lMr_up+7a&q%K2qViP!o@%A}O;fs2Hi_N(6nauS=BP76=u@QIs4f;Q-wP zgbi%#hN9Jkz?#4j97uxu2xxj#P+snpgFC{@a{wG7Cei&;6DAdwAc|2(rXrPv^A$m! zQ{l`XdtR5|CM?q&r%a6B>M*Tj{VIx7PE*pD{CGPaaZGQv`AC2D%LaP!Dz0mP+B~L) zVFI-5sL4==9cZxMakS1mskjd|>>!DFayHpI%5LtzUCH2WuJaJ^2yY%=()YyvR<}z1 zO0h`rQWjrALDdwjkAYfI)=A1!R&dGtD=%Um&Y%{nOj;wrt86#_W@huUW;;(_IG!|L z0`~4}yMakYOiYCIC>ogA`s*t!5iBbc(VBFZM<)*5d3Ko)%T(SfB*vt1rR`sqFi#Vm znPz1TmBeR!a~rc+hmQLJD8js8e zB#(s7YSW)W8x7VSea7HvxwuoVDXITjp*FP3It<;bGF zjHDap8yNZUyWefa{J6cS{V3ru2gP-kc3LDA@Mt*y+f@N9=0YOC$kljz>A0U-;%g4w zNvG))XkowSKXuSb%^g|||9d|DZobZ#l$uItO+n|UrOI=^S;LW>{%8@I*zO7Q$ej1>bbJ5%lI1y}Sk<(86dw zVFM$_>=$Yf_IXG@;$_&ksGUxNp2rHl{zXlx-=sNJZ9*Tq&K~~tyE{#A?0;i!oZ4n4 zd(3~6TGV*T{a6$V`B#gD{;O+$daCspKMTI(_lOL=o6gTF(VvL^@Buv;bO>@Npa1c6 zyxQM(UTb|kn>_Iq@KUwkNWWfWvo_y35pgZ|QI>2GvYnn1NuO@;AGlS< zkEsAySw^qdiwwLk^NYajH`Ha9wL#D4&xlf)D5zD^hqHt!mUqyt9UwV)BNWd<{Oz^) z7hQo;%Wr8{w0qG=Y!k1zV8)TP4Y)?AAtP{8V8WU0TX4{E&-2L@5i1#T)63%i%y8^q zb!~A64WB9WsQc?J&Ud@Zu70roP;I|xv9lep@S0JyLN#ltNNx8SvG|Cn&je_q> z3(XuK!vc=VpKT;4{gdj|ClVVKC__5#qg&)csv|Dc!U8v{mVy9-db;Jze8NQW)Kr!2 z7fyW-N8t9w_%2h-YP(OTymRJ3U)Kb6ZeEHE+6{{UC5+didQ)0HQf9fJUuOx2=4kC*n zi#P;_B?~)aab7Pf4XN7&Xn*bbB?okblp>6iYC;*K)Q8*0V1@qT|0ktVMpJ_vFF#&; zQB4-e;+jt957b7!u^HZMC@Yih2D`1oJp&~DWob{V$HEjB4ZxS0K^PFfY#R*JF4*iy zE9=79lLKlSN4!!4nAoeKq0WeR6y@72v1)39&p37>RN7jwBeZ2#RnXg?TJH`lOkcmh ze=z+oP}Te}9WJ5WMZOljgF3~6lpNiFRCE&A8ci{-VRZems!zTR^1|jO~^M{=yn;tI*deC%z0YOoiYv9S$a|gt~0m z)yX#!_XD$|!z~UGz))2RkT@WKHaN3baJ?$9pzi3QUtH?k!!ItmZ;mM)680P`M9^=4=^sAH7ubu+i?L?5}XM}jA zw50I^6GZ7vivaR3VxEU*&!d0UM}7RB^JY}KDRO}UW5a4GT>B;%_L8c=kOZ&DftBgW z8sAReKZhCD$%i2+f!!aT&w1e$p?9_DY6rrgu<|T;BF^MHaqw%1to4w#s!(*=#CQRw zmVhDnHN~{pYyxgOs6!P|SnSqnY=vi}QDM^8G7d1)<|&ozoH7odJ)gvF1k=_Q^rD)r z>2IpYS`E=0gC*~9wR3Y)&@~LjHqetxW^8N?Sp)(__`PxcX6yZ}=m|0RkFJtB);4ZU}c4VzlWG7mH~b%5FSCi-R5 z>m)4xHHq#up;A&0|BFRm@z}5UYLn>|`R2T8ZH6;Vn!-2~%bx^BH7Md0#lp?p2v%{vymH#O|Mq-!{>)nLuhg+})f$@mg{`HrplW?)f+Ne#VDWuCBWOX3r>q4l+=e-x zA&e;4`6cg?5(a?^*EAi1B|f5D!Ns$~_T=^-VD-Q7R!I`=+c&H%0i?)@a4;D*L?}>= z>_PG(nsn#g9n#rHjHo}W9rYl-j~M{ndyqE|D`lwoBVjhvCoq3;B4`og1ec>Cftf4T z#yAXCs}yACm5Lu=+6wmN{|9YVEAhXht+WK-g~kF_e(I7ImsXoiZA@kZb9=3I;a&;_J@eIL-(Mg&JVV{JZ;309xGah#baMa8K^kV zkw3077+j)&ik;!aqYOM<=Ezqt0e2*#YPBDZSybrcTjOPT9%&F~=&Ly842uUIgD@?r zq0|@p#xko>Q6$UtPM3WZ6WM=ffae(jfhU{Hde<3f%E#Iq%815Ze*%w!mz<{W)2uj; zB%@{Ju#yXjoKxwuHp=OKA0S#~RzP}q-4FHbI4jmf&!T+CiLGw6Vayw0MzHA$6qLm> z`I?=F&PH$?gbeqx9T*_mX7WFzcH@h81u^k@l}U~qWHQyEjd~^!u4#?FstnPS`71po zlO_BwL|b}df7V+QMRblZvS|VYa9Wp-C<)kp&>;)GcN|>iIG6fw-ajxIOy<%_O=v#q zm7n(L)P2tKkZ~u-Pi#pl8`1FmFrxR>E-3(ot3L`=n+P2Xo7y@tDI)M^)|3i7`#JJ~ zWB*Z3oI^1PP-peU_JV+(%ig@?(sOz7f;h^t^Dqddp-dI;Nz(2NtaB<$kyIjsIFn`Y z&{#{@uV={n=M-2H9*w-el3WH%67}9p8v9n8VXmCuF=UN^w346XVNSpMz?uT|Inc~5 zq|r<*sYV&X+H7j!x%E0lXxvX8h2z8!?s<3v*r7_@V>YLzbG)%vO8-d9S>@!Z+#AO|;?<5=HApFx?(cSP9#$ehgrhtKpM{Nc zjDLK)HO(>B8%5hxs;5SB)fq%;s>Z>A(j@9@MP25CPjpXzUgSOoPbxfwI!f+3yGVN ze}$rYr=e{|Q)^KWZ90{5)2D?jdoyE&b#IjMu7p{bd78TkLV`aiXv5Egu|iNDRL`!L=dQHuMDboFl15^)#KfivT4 z2LCaVge#f)EPBRe-{vUDE_q{p_wIj%uV$F*|8Mwe^8W+A%CLPazp|*~==_w>lO5?h+BOJYxv>N48FlQ0@(5v{I9^L)27agmIxJjndrEej zWFh$>#BvK+@CeciYBQBJWEHU4(Xt@-$G5pVp9DoFOUr4On$W$|RINZkkp7QvM1zi& ziZG4n-!s3Ru_E})#uOq?+{}=xvzd!cPC8V>YaRP0iq1T=Z0T7BFIHHZ5v*^ox zJZ0Zv;BLeXzz`5$(!08APF*$nTG**mQSUnASqwH9ZEpS z)8=UJt#2nn^x|B*NuuKs3P_&x$6ra6X)Jfz_S%KwF7snb2!fibMqATWkCOZ5-KI{SZM zSixuMx+HE5k31ptd&SsJ5zN61cKc?*5Trl1kB=Zpe-iCLIQI3Nqmar3zG>6vm-}ia zxC(#8u)C?7h7TfR+3-H6Js?i>t7AD9H_`J5?rY#c2(WO*gNihlF~NW-3L96NfJ{V( zgMIHLvpNX~_z)~Tggw{~h(*8U`epH^2bb$|eqv_sf8)8~Zt_W38T!!P&J1OSil-%1eK8E)Eb_n?^N(1kL~;C_hA` zK5^vUv!um7&3VEKNTMc%4{`i_iOl`l4@|Hy?#9ShS)ASz%vhVHM(cE^k=TX+KR_*; z5NB+m-eaVXJdV3&vQ~=bvos&0RpnJM9=whb=7N{0r9aisge2OMc~qCJ_U6YF@w^63 z1SsS_DX(kMLl4Nnu|j`3BsP-0zkmC1?iB9zdrN7~6;th+TZChG`gyOAv*BF>zb5f5 zR&?7Ag)m1xb3BEZls$9Y#-^;o>{C$L-zISOv;N)z>Ut(!LnJ7Ap1s8>X2wi28ruIE zTzZot|6-K=XblHq_wt?_&d6?KEjdK>W|`J8i!Ba@W1WPqd{oKmhX?W7JO98yZT-1C zIOV;|2X$x%%#~a-R2}UNukb1s=x+KEJ54XYCXWuFhv^VzhaRwCw5*q-X8n3O`f%NTu0fQS)_Hk^@CrmXTWo3OinF&qi!W;- z3%5CoX8_`{SFOJKXdqk1tpv zpKmIdQnM@opd)MT(^~+OyVtf=W~Kyj79Y-y!wOCQOnm5g*?K2K(*d0m_02|@f&8pe0%5=h$YfeY4=e^ zjp|(7C9CZ-B;f;Yb9dMph*{L*>)<*MF=2smYKLmWbA=9<9gM>yUNZL8VB*-by!=_5 ztN2>pH7iMj+h|y-bQJ7_aSqCKlm)u<-Mdo7h1I@b)c_9VV`0R>eY?g-^f&grm^U4c zSwEP(R4#9;9Mt$4bJ$`l4%VG}k6zilEA@v<$Du;f^|hGnIhgP|Ky~k%D3n2di5QGu z0Esl^-1dDsOnf=!F_AT7ya(rZBp#2!;EYaF$>|M_{6mK{byxZldGe?4v9`oaAAC7M zVS+M9(B~R_JUiqrWuHOwlV03)hCfDwTHR7)!aaD4@&V{SLZ#YYc>FJF1UO_0m#_=( zWQhS;jz!-}EJ}?_mh|Ji(W7fg%~lJTL-<_PzwTNu?qxmE6$87R4S6yhaGDkljm@|$Jk>0cCraN?OCNzk77?e3r2G2=7bHurR8H`T~GUY#?u zU;0q`_`D5Ba$dJM?hpybE)|FUxwn1Z;ofoTRi-1C;^4c``$+P+XmCvna)l=FCk4;3 z1oM~(@Jko#90Ehh4&*79@HEOdYBlqS5(is6+l>raal$ttw4uc-){E`U&rtNXT{uAa z)zJV@n}h^V8Hfr!$ae;rKSd0~k4mHz?J6oHXWwEyE@s18DI0%!C7} zuMR7bDrfRHx$Wd4cDvYY7n=@06jbXXZ^ieCc8j1EU zjxOHrXlp@^{$tGG>4j7LoXqxVtsN@byz(`W`pt`scnPXWgH;@C0N)+CJ0O7EAUGiR z9|)j%2pGHKhGF>4-%?j~#n?ZJ^;3^dzpsEstJb@!f z;n%Jxlb~0Giw(I3Q1F-RWCZTDMUh$K`lIt^XTWA&f&zDhh^?&qNA&dToKx`ZvWj8< zNsyv}6{5N>H|$RyEl{0I>kL+&X(ZVIo=7mOH-f=E#;Fa8dozHc?u#dBO-79!s?`Ok z1M|jG~B+Zq4wLCrWPJ&bsNgX~PN}5s;QX@=L9H#)x zTrt4@PYGtOFp3Kpq`}NpfQ`(Obj~vZjh-i+Bed3=ebJ*^a^62DE5o4Wg2Ld zYcNCfI~Xwh`keukoM&Uv5whh8la z+K(c_w!;~+f%}e_ zW1dDD=z&4)Q-EMO?&n!*c!a*DBj4-bdlDXbqE^cKnT_ehDcEaYNlTC9o zf0BeB34N`11^)PU##<)y1OTf<3^7f@^5!Vum#f+eoHf=zXp{_Ei2K`M{t|GTjhz+E z0v$)2ja8lGZ-c!_4;@n_6By+NN6&@4YR^3wX6O;r?4W zSlGOH%nM=idhC!Dpz5fu;&3*1Q6l4W)MT45_j_oxmR?N037a&DMHSL4LNqx;Emj9d zYakr`ctv$MEKZej#ZESqn_u`lTPI>Pp+#UCnM zE;kp*8DD>+2`JenY-jl$apBr_^vx9@f9CxX2}8m#Zlxlu7p}lG_)V z(ie?!;zCWk4cX>LrH^`)J~5g?7IWuH`n2y&clQ7^e!kqXNY%k2ecu8frHkLH`x0- zn%rs=r_fo1%NIfkwXWwwg6(O!?FTuK5Pxf3-s4)+_BSH8SIRD0%qW>G<_fw(Adc1b zba({20O7Qiw`mW*Y+@7*GzYV&?B;ybA2^T>N?vW6|L3RuZ%W*%p)s_4O!A?PXN!4X z2*i8);HwNWmQao>pX1z=8Z}EP`mw4P^L}0zqo-KjPv9%*X0RdxDWQRsG=(MnJ(Uf@Vm=t65LbhF-|V^3Rxcei z3rcaaZ}OtuzU6^Vdc_cPT*YW}TyyCEt=zh!7Fe*6<-vcJgGo)s$B4P_xAKNRru4iK zttr{^z*V4G4vAD0&Obre5l@GKpExHgCmDONBF{L-vmT|bQI#r>1Y87Zyl;h8(4^v} zkN!9+pNNJ(fL1~eq3UAM3Z}Q5_{zY0)UW>S+B+Ik6J9WLlrv^rJm0^@`x|^-=hF>uls$_%%=I$x&o;>l6G6>$Uff$uk=W z=SRn`QD!ooqIPKZz23u=+Pvgo9siqc!zw>ze3b7Kwir3f8^WzkrP1EWT4ZGtU{ME1Q@-xml)Dsm8gvj*?+mdYAY(IgisZ$=4jLTMz4SQ22W@WJc3|^SWFb@#@hH zhD7Z+liu;9RvrJ}Ci)6IRIkk5D=SehxV2eu;}tn_^xp*Mm6)XR(TYVD(ViCk)xj5D zH33h(s@R)`Me@O2pYWftoGf%%EG%?WtcEEs=52O3eRW|ztcJXdCj4%R^gV#DTUP0> zELyq6cBT({A)-nG?GvUu3Wca}Vs_SdgDJfK8-vF#WOvgbrOV8`@D7*Li7I?x6X<_b z7XVH*jCY;XI0=>G0;k`n72}QKIpJjsskTZIg`{A<3|41@a))_ z8d$in#LMX!T-B^_kZZbj6Ds&wx{k>zOl%W4vL!+mQP&}Caa2Ex9g-4Gi=vLLL~ux# zB9(ja%W74g-zhSNCJDriT2arFT4eQBaGf1CyK!A!{UHgos-`4(-AnocdP z%iJaVt;9XhT28?|;cQLfStfvRj*QYc+``(D(Olm>xrZ%5{S1eL^ll97WmTa*S8lbd z;-10%UM%t=d}qk3OdTgio751_~$P2b6g|j7(;66U3tc8 zkDGu!qh|6nbN!;X`HQ@zysUWB-{{`y6P;@ve3kuJR(SEzE;AkGh2J)c{^9&4Fb8dsyXTkJi~w36D=<8 zr~JZZXXizPuM>;)a6h<`EskFH$;0)zRSmJsfbb(ARquJ8!Dke6EZ0oR&LufCbXb8@ z?=ct+ni_Zq@-=8O`Jf*_^;seQ!0;M72C^% z&75ITlJ=&qP)Q2Tl(a4XF04`g98%yR#R)`^r9{LY?h=j?dg zH4Gb1uS~}2)%R6bd-L;|E3Z^rr+F(*pS@Fikd#?Ohpo?VHt za?hS|u|CiIlcNfvF}E7w_1LjNNj7nssG1cLiahe=aEwb7#RX(deo2}dvpH^Kv5&ha zs=}nh3lhQ?!wW1qbT@#AQVC(x&g=6<>jY~;z9MrU=ZyVrfWjtQ>{y+`ZHbq3h>D8{ zZ0J~N==w$L!l2Lz?aD9e%BnP3ZT#GwQY1@93li>iaZ<=41sBXUzIn4$14L4I zr(zqEY*I9*H7oQKd6dd68JAd!GhzV)`J+eVYUEcdU!KskOw_uh@Z$3|63pSgZmLF% zfwsM%F{uW-ynI;y)mOq)_y(pAIGqRd;kd*~xC}WF_P1K~2H%-@r`3ixpUazIzbEi= z%4ZT3sVu^QL&#MYM>7A_A-QD5#mgTwB8ufUUy{g*wVTP6Vl^w);7jBfKV66|6ed;t z={~t3`$V6+8Z-vdelmoX4na#%ldb!@i5fG;fz8f)Fvx%*#DeY^)$)~n`!IPm4$X&0 zKP#r8)R~+{$`q)LIHtPki$Fm&s3W+xi#?4&*po0TB>weDQM9)|;W-XbI;3{LkXe=v zeLM>NwB7S)<0v-u&ReG8YrEF0l2qE7l?!ot98_@--8qlxa61uR;Z?{Ai<9n=&#ZMYR4y^pLksSlHLs(1AVnXma#G+k04Y z_G|t}$uEWXQ@s^WYF;tE;oT!mzRu)cpeT04A$Pv^lOn|y1ENQU(_*k0b$Z?b#TF~# zuq>B^lA>P_4Nw7|mGMC&A&<6tISWyL-ex*IkCv3kRyBAegEXc@rmXd=t8@dktu8Bv`5Bex!xHcs!GUpc`I~FhKblM> znZLB3TE~c;M!qnyeNMsJ=f3m6(M@xW`S~HPiBw7pVxai-UQrtp429Dj|G>^ z>Y&kLXKd;U6e|P0@1=n+Z_>bmz9=nT#WDZ;An&;L0E-99bwHM-oCFImnM*RdpQA1 zg4oG6Iy?`xjns%EpY#V!V9e|Kr6_E*3STCIpaN;0MIaf|qC7wLy?VavLOKWrmx*c? zhSfUylv_ecUk(oZ->xowlm0Rc^6a2(`l#v0-hj~mv9cZR@PD!QmQisuUH>l5;BLV+xVr@l z5Zpa5cyK3paCdhNPS9Y%-5tUNC&48+1kGu3-;cb{dH(CXYn?CW!&$3(@2cI^)jd@` zvudvSP1j9T*RqdwywZ(p>iPuO|K~A!iTUBZM_e(qNadv@G9-PU6ij#$&d93>}zj< z|Eo1acVpMWY;wvZ*4@*7N8($Fdt;!(2|0#aNkVRJuH0MmA7_0 zJX7^vlFRzTJLzMLiL%E6qQ+OrvGIOot?$a#W*K$oW{1OU+fD4!lDN{>@@#ojmb3ZK)9U)y(l1M;wM(VLN~N1izpjSIr<2K#_d{N! zX#Ps3rt{MIetB*6E~#Q8=FRT!skJoo=9$A4C0a?9IyvWucRcQ&MTfp7Y;h}R{ARX? z1}9L|_Ke=PE#LNxQSs_y!u6Dd)6LW5hBP0w@2EMHE(V9Qm%R8nkZfx*Gni=qM)!8c zVL+i#(_2Nq5%IsdFfWVHIyLbw$h;yM%%B%oY=W(E__0J4n|r&$ z4I4fsXKF#^5EH%94HUK@7~E$~+H2g1zFDgm=BV>YlHY*fHtdV1O218+1YbOT>SyMQ z3RaS)mI{OFMI6V0x zq_Z0?K0$h^pHdlndPpMGrP*peS-4(du#}*qRB=U{J(OTkt3XT5fF6j}g23G^(lnp@QQLaKeM{iac_NyjmBa2_|G7K1ZDiQW7l}l(2=B zK4Ts}C!LA6K#@2sOA^$vpO(g5;^!vA5~lcg5UYotb++S5ouu49CrvUwzZ zWKd}#E1HBcD;irZD_TJXp<&s~Z1zUbL5+5SMqa!jPy>e>e&JG4yl-7lf4g?`1pKSg z4h5a~$$B}sFSKi^X|s0!Lr0v1amQ4zvHGhH<-TV-^~Wmr|H%Wks~$o;Pe<}tZCYc$ zG;?BNFcMv6ONh)!9J)KkTV|VXK`k9P8d{KFZ%qGp94KyD(-VjG0lv15_%m9cu_@m> zpgd?_rj`KMY3~l)uikAW)zaN_B}4*g$Qp@=Yqsmely$=at=&2mkJ)DI!I)hno zSrri{^K>g3iVy7-6{mcpiY<1?A5i9kmrG95co@gYH@Jbuu8gJ7fyONH8OM!Gp94=# zMbE9LQ2{lQ{{)1Kfxt`}pWwLfV({`q%Z;^;&o-}d6yG+j`iEPeGGK4U-57x=a5+=+ zd9NlM`t1BH%FbT?60{9{@xggLbopUi<%ja28w8c-OkfpgVq68sXb7mD*QIaUYxnd} zY=UEd@k1G3Grda_2>3m?{RzaCYe1r>%mytve{f}-WsLt)u<)Vd5=|n?8+i_w{tBu- z2roJW7wsvSk)a4e=mp7;1R=P>MAFKkGfBqWBCkz>#-6j6W`rVtNB=ELdgw-Kt23nc z7LoXC-S{F!R69DaZe8~wI0-Mx8_oIU9*}t-0K}XS=P53X5VNY%?*$=d1MM~979BuX zcaS)SBV!~3uNs{Pl=&>J$ur{JG$K&rAcYen14$%a~5jTv3nh=Sjxb>4F?jyP~8t^XBC+K&U`Nf{4xT(^oA=UL-heE(zjKX-VXCx!G~ z%n=tc&YMW$Fj6TUdQ~@}<71_Pv7C@nv#-0@vXhV-b;_Z`z*|J6&G z6ML&GGyE)xdc?7ogunM#(@dr@WB3SrXk0^%V{ zu3zAC$>n70(j{3+=E`Hg$Fsh5V-Is>m1F1s=%Nb46P8@-B)eM}oGd9wK)d4oJ!&#U z5fP8`N8=2`!;T&?88EMPEGqi-iHDR|Fn3+c2DrVXhc1?_1#Mj)z^=kwpS}WjSaj?z z-~5teR^H6nLz5n{{RJ0LSLN@zlCK4i2>6NZB6&1vLoSw_>zAGEZI z?JMoaG5nI`!(wiG92^^gcY&$jDOb3lEfBq~MQgq#x(LeSn>RL{y>JP&18(#5%Lm&6 zlhWBFZ0>hN?G2*5p>=BA*tSfAx;&drR2B(iaoYR!ODg(tg)jIu<_AgCDpG+LSF9ue zyx_?9=nQxhlsPDe*g!wWlO@0gAEr%(aiWl>-QTM0NDSQ~Vkr8FChP9CtmJp(VVENe zJTDqsTMlFozyd#$z!}uEIy^w7*Lwl++0?v{c_GepAN72hofk3NhRaCSMDjn}C8;Pg6UjaaeMydoc+@1c5u z&0I+NFGs>$*i%6Df`swEIN(smAeqhpo*p&eHnVtB%dHm}LW|9englj3g+pne)sZfT z8zbDISS_6CY0`2HlYYJ`v=^kdHm`3iT~Cc$N6&9n=#rwU*u5iHoXZ9xqu(I%7Eoo8 zFglMFP|cAro=+BV;`7gs_D?@2DN=uITk}G6L!>57Rm4s>{WqU3BH)(w7FuP27kwa1 z2b{zWJsIc*pesd4n|Ch?6m+(BB{PH#`BErCXD6|nQrUHT-yw})BgjMMy*LV5vA%xI z>YIqEPsJm#xBu8tImGPj!EHRCS00bFsILd~HZTYoNb;o&R_G>WSjKX?AZv|`OjfvM ze9l3=Ksy89G7Cz`;KGnSe&BKF9e7frcJ&k(zH4sn{c6(R}kdO*7G&(8cHCR>gu+ z2l{J09UN1)*D(d0zIw+KW?l`yX{@Ff_3#=T?960PWDA-ve9y&mP zrngRko~E-sC?yZs1a4G6+|a%fKcE3tV4`5X@B~~G4)0avY&H;e~CHHjWc&W_e@ni@(0o6H(hvK3tGp( z+0>*TTb+~*V`CFZ%pGx4rk*dLYKH_Fm=OM%@L`Ga_^PV>Jr7*)dEN6x`nL~#L+HOv zII&&HlGy@T*prBW=)d_zb!D~AVAN0TD+$L z<}P#h%zrHRA1e&ld*H~R|Ltr67=VT+EwwU>kHF~#bflBv#ypb1>Wx52@wwxgZhb|Q zzwP`6dM;}nxJ=rWots;g61c3Y=g~R50^)t6K;`8Jpj=h(CIoN>62O7HtvS%LO2bRWUN^&?iEFKAi5{Jx$E}nH@7!#jcMI3VzQ2^K}ft(R9LHAKb zT21FrsxgIMZYlUERC z0pTb?CIXfwp3L(XzZeYNM@M|wv+*7bPDI&kI(kcKs#MWXW?xj@yJ2QhOevCqZcJzf zm_blF6_^;h4dfB-UxS57pG~=i)MXJD;Q#W0RTKdx_jD8l3$5(&HW-MsGxmygP3aE&5q5{~6 zBjtRWc0UQI6aD9dZJ9z*aF@hZ;UXa4LGi<(C}H-L(Llf86QIJO87Fj$bu;6G;7-5e!@3Y*5S1-stgo+6 z-rO7twUN}d;oKN4mfr9GdUC%@Y$6j{ReBFC-W%8aZl$3))tdhTI#CU&sWtZ}TD_qSNLG@skLhkkjO;yiF+#vB}HSTb= zZ3I=uuM~1D#57wyxaVMGPxcVubP2L(N{qo8!_TAP@YFS6@D?6wbbDYxf;Kz*Idan> z7{ieE&Ea`^zv6nx_`g!<_m8>(l$W=Nk=#ku0HK!Y#|6S4*3lzTgh=_=9IrkY zRNpTP3*E716ue3+rIwq^SWUfkhQd2D(CQHKAa(xj=JESU7$a|)55v%#cS@5;zKLpS z^2MFwK_8Pe$sQV-!#(?F*R{2i-RAqbr>oEVeYM7Tp=g!twmKokeT=BYTAL}MA$q1i zj+@&W*V{=9(1$3o9}BgpKraknXxS3R@B+p*ca|L=Q+9i6#4C17AFq7Ge(X#r^Edb5 zbflq|v`70}aZ=}hAM1Ikz4Fxo+U2p(w#OhBi@j+4k)>h)-~IQMv)7lO>$`Q2t`Emo z1C28Tq^>{oJ#U{hY;c3}DZ|DN4-fA*$&HL8s!Mk>L~2f&z1j4;uaRL^wZ$p&(j2;q zGjb7K$PFD{#U?Yb%is#Ssj^cu;*OUf!;2KD%yYr}9tCu(bv|W&csten!GA!X9n3(0 zssn*v{`F*7>uGrRbXgmCqIG(VEc$8V2Xd~~sWE(*I_hI4;!9mzLvj?KP7WVI>HV7% z2bm_tPX<$`XN=Snr7OQX^8{&es^prm%UmT3n|t*yJvvC%1Fk%)E;wpM@dV*K{m}L> zpnVCZo1sagO*EOR0D<=Ud1Sk^1E#&^R^#@}byjdGcm9 z1`N*tRf`D+RjC2328W6T1>vyCTKs^1ve-v_MwEZD?z!*x#XCt%>w#efMRwCd1BRI0 z;OkAP;Ty3~{)OZH!4dG)2hm5D19Rk5XtNpNlPGZ<8aP{gGaTq{iV3K_M*}%HC>)ku zJZIlzmOQ}&(M~UFTZUz)-u2G15JC)@ zpEn(YAzVt+c=8M~?wJjZkB;n|p@}>QEIWxXN*ws<#nA&-;R)#kn~*F`9|J@%6nzZQ zIeW-?h6IB`e%MKLe#dgO{|cOVVis0+L^RY-q`>PGF6^Dq>l!YYI|pf{(vviYPBswb zOWs8z!}}@7?QKR^-f=*8U>QZN2xGX7U{D&h!u6f^@B4GtwjQ(j5&piHKB7qp{EEXS zu7Iy)nz>b|cGKbG9p;5v~!`TT9wVY(J#VBuqLb^vRFNzL+lso`Y z%W_!KR1tF`z=?+m2`CP$x*jDZv}Cu~8>3B^+bZE)GYHm9SoN;aHM|t-eR^XouxG$e z{kN5$X?2wDeJXGqBxKh>ZtBT~tmj(FZ}eNrxU&fSxHuQD8)fBk4KBxs^Che@ z<`%v*90*ZQ(K}8Vzb-p;c?{8R=&U8?tQaxkg|m6bt1<1rY@FIDrm{i z&v`S`er7y4c?nJH%A@u=)%mMqz(vgP(xzAY5 zm|uYrHSA3YU)jWNvqJ-V2Q_{BkI!O~s6CXrCwiV|87xzAD;%AsmZyGSR+Sy@DauL6 z5jO7Q4iid1MvFub*H2zj611fy*e;6Z-$bX54jCh_9CPN(`I1};OykkOWW z6{2lZ`E_Ta-zppMFp+F0Tjzc4q_tVt^vlRFJa2nOTvE1@#JkS|q2&v}-M#b>NKdz! z`i0au1BHnaG?oi;c)Gfn0p-$TZV0S4eNc-8Q9s`3#$L$o)#GFR2SJqxEI8bKn1VH( zFXUIJfdyo&CUZS17;J=zP4KYGfxzYg@4W}!sA>V9QF3+duR^Qt;$ynmLnnO3!+fI; z4gEfq;BO%}v*OrWG7w0fqUc3W7vXnVQF+%S54=fwtyE;T4{TG3i)Nwv% zwmk`wO~L=8Civ3-8#6_i1ir>x9|n6c#>1OL{-Vrqiul@B?n;q4gYu)*voYjh)# zEtGBjVjgkxevbCdPSaL}&9ozKJX$eSpS5X^KRZ4u=#R5KCr#q0r@E%}qK%rek+vW{ z!x!u7{24Yu_I~H-hk(lpO7EsePR|GUCfpw_e1wtk?-2S74Qq8@cYhSt3$!&)c4+7E zY@ckJNWf=+hPiI#@#k`a?mY;cf`x-39&8N|%Q1(ePl|?uPsU<@#|eY`R=Ua0buxTK^#=BS z+b@eCb6u(pDypIIoesoJ}D?yTL z^pUP;!PaGI`Sy7Gibl|yF0UtBc<8Q$B=0BK=A{w;cQjbOIZJl1qx8w*#TZIPa?^a; zeH8oROW)S64x={TB1Z_{@5}{WOS?nnX|-BqozZ9tuqhUI130&^&=}TrJcs1nYuEhB zbaYqZxd2u(!RZ_Y#4oA)VtibrD+FeO>A30W7VK68={;~z$ZAme6!8Dmc?6Sel5)!q ziTH{)LC-lU8@j&I%T|481)nx1G)-}9g1HA-<=Q&_9OGYt-Ro2tFF7n zATzlTgyf{X3(cAZs&@b60%mVtZoTTs!wcSId1D#Rr#UbPjj?C@M<6Dwi4l8kA}gWJ z8v@${FgU6l42G=+V{|gzCW#0n)h3QlAMfMS6t-35Q{RHfuWDBu!l5YAV4zyZ7vZR& z80-<^zgzt6Hn1EsaGC5djuMj3EJ7ff-BMPL{K&ZIT7zh!)fcENTpzd)ntR^Zi7XJnU@M`C=Bw^ z;@@iMEdVi+oaWoQxi`!0f{KnhU+)jmcEp|LG^dO?mByWV-9FttOxd`~j=z4`V3Kw> zPnwhV?&kXS=?J>-^SZNMr4r#$o#{b?sh;Vs*6gxp=B80s+~Z2KQ`QdXB9LzbF=+Mk zD^}H|2XO{p+Bn7%<>DT&9W|7I(TmP`boLS#*npWm1el<)Co;G+i4NQ?Ay6*qcxCpa z-CM(3Oft~9b1g=NDjQt)k$;XPxjCDi^y}?5#N4F2Ss40C((3&AZK{y_!Mn&cI-Smx z-Ww1u@_1wAm&2JiNEsJ?QA6M-Uirl94QL*0=hyvw8#*3Xu@wx|lcioC*5&60mItE~ zFtEHB<}k^ajV+Ou+4>S+0Y3~|6Pt6Y?yY_{#!@diW%_7G<5f4WmROXIJlEDxayo+@ z%9|8n$jP1YD^W3Pk4T@`oZaqwn9Z{65$%AAf}6CF;Qd<;C)=}2?3!Xou5k_X7re{R zPn3{qlXVt5T%-7!T!;BV$FOxBJL(zls#KFDffZ}a`;-#@*i+7tKok0=x6DFc z9PzhO!4)zmcSM2vaKOUYx{^Pw6n$|-T&x*Fc_PS^8*%5fBXZ3ear=@5PzG?diYD}fd-w9`F#=LD1O$^YvN^_9lmF5XzjhV@_Q|HmP(L$UJJ}9rO z3u0kZrh;ALiL<|p&#Nd{CI#vDwT-x4Zvjt5o8-k^M)+wb$-&8_B#$=0+lLh4vCV_K zjGP;z*F-$xJZf6_{wvG&4Ewk~Jx<56J%e=9%H{qJxU{5y(~fw6cUhN5DM4|PJ?p{j z;0j+zi#yqje={ZP*E|m{lM^Rzmh3ynCBa$sFR3QpQ7?5BiB@%pSEabVL|C}u#PF;0 z;*L=|M7N6Ky&Mbuj?lEwXq)~bl3_FpIjNca+X;A%pqt7hEI?7(R<|*7R*C!Q&9sU_ zS5k=0SmDjQ;$#z^t;GTTC4XCtNpYBrzItL7#$LfiXg75SEn!w{HVa{3IWbdUDp*<| zuCl2at%s<|HoYl<7x_<-_5_OM1PLN_{^KAA71amBhRrElGCR<3P!$QOdws>jbs@wmvV2j zWGL}Qu>7WW7He|bLuR!kJDIrs@_=PPYxvv82eqzZNOn#~4@OHfF4=78_aBhE+H|7G z1S5A$D~42arOk-6QC{rU>{?v?*Uh%(+S#clm3!9+WgaqhSDSX&l66;32>jkkjkx;c z%|zXzdin^Suz`Q43@DE7VIi1Y z!NamwA17DAgL~7gcU1IagPXoMnT}%1Ckig$2P!)pB!>M3f*ydk$Tk@bmUPq?4?k-@W={1ML{0)ze z6+3;s(tvG?EJcmcUjOg8{PBzJ*pc5;FvoSaoMy1cb!@e|B&JPON9~wq-7{698Q)Cu z%G%$vwH|kE9fkc#h)(+7q@Ax}g?@d;En0@G<`qLMYBQ|pn}{aS3m63>?LwOsAn!i{ zQU0;uM{PYI(4YwkD|rqa(h3~X*0B`=L#096TqZYJC_CvVYrm%Kx29|#k+{N1C$LQM z&s1kOtub74o~Tbk*X*S@$uIjK>8F7|LdK`hT7OYbeu$`YTO?$w8CDw-5Ej zkh<+jcnIz1m>&$Cu0rwIo&(jDECXMsi42bXzp0U-jm?KMBY;j;>d^V08@d~Wg`*wa^NCUMhTj+^hqDZW zkQj&5O@ra8e!x>nOXQ^qugb_$ys~YOk~IkOcj^xc7b$4aFeGgwV{^!_%!;McEG-MK zEI>Asgrh#1(hik2? zBd3J^;h~E~3GMCS1oKUj%ch&m@LEciLZm%AGTtAlofel83kM?b-oM`}(AmS2h!RWw znAx(bH_(`-PJ3>Mn^ zn=8QL3^U|av6t4+{rx*WTaiHlQMrDg#U?Bcpsi10z_D1wn6#T2AXsr9pRJHEigusRQY;p43I%p`QkY}T_{-l|*iKvqXc(KB??JaC zC@iSK41uCQ@F)-h#U~FHP~n2;*0@o^m>r^xDG2M^%?8Ed@uE-=0z+$M0dk6u0te&a z6ctc+0lh9H^uB?Z;M}K`zu_%9FRpaniZNTufU?l=O_T%xr}TCzkx37JL;K0~GqA zBKYKtS(wpUs{omGLcfG!kE^D#@6*$-DYK9T-DgH#Ma$B6FsOQ2vJBR~p#C&SsBNlX z*dM8nAl&ozR-EVhyJ_3j7k-IzE5U|kPNOe~pr3mw@C$80ix#3)Lj#t?K=YJD$q* zNqnk1yUudn#2)F{b|j&iVFOl>&+pM(3D=J>Ku=-|%W~+u(#}OW#^IFzciwnuky}e6 z*3F%PrE<2dkj!L%*zDixr`iN&odTr;tJ5&y=|g-yYj*|p8@oC>Rs2>8Iu~6+?9Ba0 z46UC*j}dn zQR29?Ad2UtPEfeI~fHY0AdQ^>Uo!k5vGaQW8o3sx2IMG!v_3Y@|y7U@q31JzKykS75C>gG9i9lrK04etZ;4UAd~ zQA#%gYTAHkbg#z0XyU%+s$mJ3NDP1CqLYwYT%y}TyjwH`>OW{AX{-BWaj+&j69Swr zR4pDAl+HW|(_U=G<|qG~maLE!IZGO5gjRwntM2bq)FMSl^37ndcio@OiBvr}-o-Wf zUraG@rO1-z9Y-3jV`N=7vpI9MOmu%cXo#%U0OJq}6{?s+lB`5URGmHq>C(~9k9aE; zD_-9ZpJbke+8>7qdS&dyC#45pGXNLX6XpQ^NDg3%Hl$zC6wipDlN6;T#7kZB zp(5t`w}V>?HZxpMmL(Zk0W2tfKu-;_Ad)jb7jp=sQs{K0I96}@OJY40_W9~ z{Y2Hh^QrNB8H)`s?6kezW^z9-4gPdtJmAee|GG!*bpcr7rR!M+j!Cx)0|ddv9@wM=&3Qp0mioRX{-`a;cMgC(3#WeFpjR?u$q&CS7Z|PIOOXhOZUo%@JtCr zkDh+s;*DF9hHKInvm!~alpGKRZ6d_^S@9hY0>B)4;_oz=DY#|uF`Hg_n@BvMKaju4 zq=exu!?18Wy|}!b+WztVMr4b3>hbRB+F79UH{4KeRMrg|cGHRSE+1CYTk^H*f55^` z_HuR-I=r=f-n{kB3Bk+Q{L|Pu7&H#JFtZMu?E%Xs;aAQ>zhaMXjlkUJUEScVl_2q> zpdiZ2{HM2`@TXqpskQI7D6S1j3G)9SLQ-#eOc|YUmZgk92ZOWTjSMwX(Qemz5sc93 z?XtI49u8Qzrrl=rn4i%iMVOg|@*yrk5(S*B^cvE)klAK~!B@B*0sh~|gj!uVYXd96 ze6%V}cyQKV0+V(bM_vZ`|A2>0mFV#gQLU{D3K%Kbi2%4@e>M!&4lX7~seg;a=X>9c zv~d&6zaSw=$7y?Km(jH{;uel;fIrn+ig{xBn<6qp_W8cowt|EQoA8peO<=HoEf`E( z1I8dl&Bh~O7B$0XE8qwhZ1yj6K?(o($zOH}8Pw8XJCk6vj|nTH$v&+PpVi*j1+FP2 zcjTGg=tIsm@gYNg@4RU9Ul8FpmNI2`;p10^tmHL(>HBB5mzU1*Z`Kxdrp+y`SF+Fg zZUWes8>0u-x(K6vp|E^zb4x`*N&4I)E2#!I-neI(E#2n1g}P#($h*rzt1C z2kOLL3bSYpQHEW=K9pu@U=y#88^!+)4&uH#2b0rMgY%fE)x-T)tD-+*5=p>~2RXx{ zTq|~D)0Th<5UneF_||xHnA~9erYz2=?pm?JJv?4eL zQlTS)My96*Pn*Hfe~Gqz+{?ylE&7RgJ=Wp-@kaj6|!IZ!Ux&!TiEqvWn7`-*!GXUo4LuzHyyb5DfxWJHB!f5MAy0s?_P& zPmEw+G6nA5h+eDNO(WoBuq$V~N!xC<&br)Q>x^F=FkVmAuD8p9*F(NWlFz_`vtyDlb}49zP4H%*=4x*k9WN1dj2C?k=dW z?SmquFn?pIKdU=6QP0I?aSQ3$@z{N|Q?Y^eS6t`ENBsXV3Cw-ldqe&IlfeH22_%-` zKGG?rC4A2pGbKVvSV~3hJz!l#h(Sf&7OU5v%&_M4b&td{W-?=8uf4JUrm)HJhwV`7 zStevtJ}ZLl+vky6#X|gaUQa}YqKg69CnvOlw4d$5v7H{fAFW)KGNpHYGfCwe;g&Tg zLmFL_c?7$Z=AC6YIs>Fn_N`oVbES9pt(;?>vE&u|#AQ4(Tv<1ycVS`=R`4eSSFjbX zAnUsF>^a?QliW6mH&4mgH>F$cuV{B483az0M?62sP2Q_JujM6PJV|M~;?DJrcmpAh z_kV@{XKf8inYzGD;fa{Zxs~DE9labAFGNuD#RqOdBl)6>A#TAu#iEN#Zb1i?q66zA_sH}nW4h0I6w7XlvU2Xew6Fo|b ziuS2aUN+8JG3sVXT;p`FGQ2vrg~OF>U3s!kM`O}|&})5fSD!&bQ_`2&)o^uIdi@e` zuBLXbI1_txnKO#qMM)`uP2w9g$VFXM+I?~%{US~SbYq^Zf%jJfhMwHJWxLy zdNNlXKs`ft2(@uvQ^nW(!5@55P0V`)JS{#1yk-l(d0*TXlAoM|X@LEl;pWOwaj_fQ zd4WZy_^T4>Rr={k>C_xSmdJNOLAXC9cf zuSmGk^p0)OO@(Q~-m}M#f_Xr$Kwl8mexJf;eh!tZo|bUAo5hd#7CW#GZAC6^l|%>O z^Ubm#J-;E_`{mTHR^Zle7C0&O?D;K2rS)hv!G#{Qa9sGr>-!SyrggfH$l`IY8Ja)I zE?Y@(RL}r0?wS2H{Z4Ek9~S5+BHU)HW>^NO9T*WHHa!{rz8dBT`#hw2olOOp7{4wj+90i0i z>+d)y|6!+aeB{~Hp_I>JVz$!UkL2dms$#Y#p&&V>#SodUfQ1HheAy!sVY$O|C4R?R$plNJCdUb4%nJGlz&eTrup81iHdJW0A${mLSu6TkmdqBkIdck#WU#J zw|WMB@1H@R3<+zm)R7a%GZ37527-Nt1ka@K{w->JIMNXqi&I>f8L06Fi>l!Mg7R9L z(Mc81n$9iDag}p6i*@9vwP6GzSlF@{Y$a4?my*T&s35houb5IERs@tznPNMC5TN=q z0<``Y0tCK1bHJ2<{>lC3ccobJMPFJ6h;5(3fanT7B|?EbB$ipApP`@hGkrV!gZebF z0Ms{}38LMkea@W*{?RWA{LwEGNW_^8EY4cNv;CGQ_QnG~ z1Zu4rC?!GkP}AsLLG1u<9>AhVz#HO~@s~<5{U`sk`osVJP(XnHE&hxDC5Jf{|AYTw zU~E=A^FR5&`5(_8{>P;FpZxFLf8l?g|KxucOpOQg9s&>*LSN^wjt%@*#}spiY%ofT zHW7J7t-7`4L3TnA^fN_6^eHm;zKAgaa~C=Ht`O=DZ+9HuTU_I zWQ-_i>u4pf1BCnkXb+2Sn3DfPdI)`fnSfP{i&m)7DxMt46RtLffaK{fjA~A^^=}Zc z(`$y28vkFS!@nsYq&i5%BZcPEm?<_Nsb}A)?6A+4+aCx>P!}Bx6P^ucPJ=7v3~yF5 z#}aobGr4zha{;s554S5IuINB(^A!n+)^wNRWa@|v?xi|_{mTB99ggn3gElV#WQVqT z8#8>NMrI6#9W4K*Hay3m#+GxCOJ38B;;0&wt>hS7AWR~t`<@D|?5ORh64UVu!rICj z8}E}jdDJwX_7gf#$je;(>gR?Vs0;N<_>a)r`wrpAcb@TYWmHPKNmTa$eiB`#!k)#5dl@aEI)!^#hX^B|VfZ=iR% zZ88c1^HHFk&j&WbSG@lxRbgp6qWM)__imPO9~}8sq0!MqkgYn?qV~BR#s5uLczyzz zwK+QJz7zg>OQ@5FOd2TMg>VUIR#5hq{n4fCD)0zPk>O1Z3Ldiq>{a)wqonQD;V#3Q zO~qs?n&`1=H9l=rBiegYWU3+aUw7Nv&Gf5?Q6yY)K6tlIZ>suojiMK9r<^^@3KNVp zON)QDgVRkZt}nO--8<9l;h1Eh{X;0Abc&IIugT$1-BmVMmgpr_hv%usSRD;)Oi#E! z;XgWZF$SO!vPSt5hLroGI#n#s#U%9{%vFBrQ0U?GXU^R9<#-nu>^~9c?~4rWFP;fK zeczf4f}+!#WZDvRKF*d$swB}u1=T}=nWUg<%djX`{0kRXoDv=RP+C&}&V}mCmOJM^ z@LJkS8Yk7hr~BIE&-Pug1Vp*JNB@dbioN$(k= z2p8B#KI+oBn=!t1g5VY9Fwdpyr4NG#LW>+A=5S<~<)Xlr60Y#KeHDw=;+zL&*_$|` zc_V->-HbyJ@Q{=}AG$@sD&oxVTrhN5(ZPXAyJFDTr4eIDE|Ps*yZB9E8V3Hsk3sXQ zfDDk*Eg0)#Iv4g=G$Po*{JxxQx-ELa>k(N4C4=T#ggp)3Qlxv_oW&h(V~3<~I26Xe zKh~1`L4JSP;y=Q|-_xzN+S==&Kv-hD;GI`co2gy8KGm0Ar| z`t)g}w>~?;|9d6j>E@yLUR+rGQUB2Uxa+4m@g6xd=?}TadXQ7 zlX@nYu~G2ZUKBdfT9_~JP(Tw2@WIDZx#^f)u)k#z|DTkD-*GDEE>LV(oTzj}nbA z4E7w`sUS*M0c-6SYx-~lgzQAfaCSi^7${@t^w%r-DrM0q$SJC#O_wZbXBhB?6#2i- zm40TUR&6js6p@nc-~%$NH?~^k&POOVJbL_#RoKDCxVA@NaDBPw-{db`0RCAlSdDq? zNB)bpfe?@fQdFxT$gm05oSdXR&OzV8y`{*PB>-Iw&OVlf5ujc*n z!LEzc9M!*LCK}2j9K{15fBxv$P_FS%>Tu7x!0wC|C}X#tU1%78v>z*C`IDS5$#10n zVMalO+(giktkn9i1TCe0mfZ(DIy;b;|NP$FU+OK|qa{JMmcL2_-JW?h98j~`8X`n_ z;xM(F7I!%J_<+t!i9W@qmNaACByfEEaDDEDP0Eur1y;&6^)-l9eqY;|#71%!8j!Wm zMaa%ybaQyS9ikwoe=zoF?peJ==iA!MX7=6*!nd7bt8W>Q8tB`rW&sCH9F?B|e}RjK zMx1Z@vssAPB_7=sZ!W;zRB$>3;DAZ{Vv7I=v?vL>4^%)*f|=_D>+((%}c26D-dmYA?pv2Y`C}XJdLmg18R&UnCoxWgqcrb-> z?SiU}UOpt+FS2ooof8ijZTZ?qU1^Ba`%(!7hA~6ilW+r%3`U0<4CPqHe8digAgeq3 zE!Fj_OT*E4w63Uot-zY+2jY1>DDWI#>_7m7_m?~T`lk(WkeuUf3q`*_gaEbbBMO4n zEPR$AA;g4{5aQ1S2|AH`GE<(UsiNU>_5K1Mu~*HwCo9@3Bf@+`Oa(Pu3?;^T!4m)y zi_C)l*h2KT^MEs;8<1Ou^`;cJo;gD5v|a z>g>%8`P6}h0YO-ms)qz0F}kO}W!mW@s826=foiZ3G^ZDQtQWkh7mV0nO50ntN0Enz zep}^8ABc=7Os}7_`e|06m%hzG_0H+d3AN9z-L~_}K6SfcXP4;jix;HWE?BRAMJBxc zw)J_2>=f0H$-A5VxHoAbD0S#1>b?wkBZpA}6V2#xu`iNR6e(J;lZN zctt&CvIeJdMK#(Xf5zMEMNVnyjHg#-c9B89+MMGwmLXjZO3O^Ef01BwNCQPPoVv*M z`>)svFKe5*CNj4={ww+W8}q5+kq%rso&zw@WjyDq(RnZOlow5^bZ&()m4uFA+a_-Ce&`JHbwSLS<*e_C^593YlcsB3W!Tm^H)c#~z-H zc*eP)*L!X?!p(h4I{btFguI<|L|P|vvt@QhJlV6dck4!OF|)EUo*gyc6>DrMMC;Uc zzJ66URh=y9qDi3c?2q~{$z?=9VCuyF&ef$>T|FtwJbNuOTk{9*w=L9$5#Dxjhw^Umvfay4Rz zM~o=jM6J%zPWueIadM?8a8iz7uvH;D%X?w;2|q=6E!DJvHSQJ&rt#hb)==d(v``u# z*v35d8awS^05xX)6%@7zHog~e^x}53Y1LM-H}-tI?M|-lyZ?>>Gc$e<#s2Xck)lH& zr8Ssdm9i%p`@29t$jjmurS49#gn6J&%GXqnuKQCp;>>+Y zMeYF}P*?PaVDMU0&zUnJi(*`HUN^EbzFzvN$WOMGhtE5R$kBlYV93U&*8rsWFxf;| zuE!Bc83yYnX!x&GfW(_Y&WafjVxw(a;BG$$?jzn@$lOA~>do*;{aFOmAF9a$F~CgF zv%*HRcrM~)vfLsqZAXQi6~k{caDU$PkL^jQ&8Q&K#6A}$<*4TM*6La%f+f-3t^1HD zJJ@zAzbI1jXE?GNnGwydv{e!#RRD3n`1IM}HCR^J&n)mj=`#y7_d_6}Bbb+| z*EOgif|0FNIZ8zg(&Yt`)9n4xwqJnQU(}XFSMVnXIBUiQoeFyX)`SQfyEMMhROE)M zW#6)Rv)j`nL)p7qYE*aC}1_8GAMGr%;e%@pB_(wMMb zK-(&=?u;q!d@t&J<9B`I=PaANm&!7_0`;F$gk4avh+j(D`XA=j1)G0`eE+3A1Y;ku zEy{L^&-!Ot=C-6(*lpI4qn6m^(vgGtkM;&)3v~j$N7cuK?5T*LeYOD|7#OpGxF1^4 zxP!Ub@VJXv)40pH*|hd%gN7f@bE10I0zWyQxnGsRKe^vzO}jRb8FtsTIP>nzuaPvB zy>cRqrhXAv9KfrkOvg}d_8lioW*CfR@L=pw$hX5+yeeAzu{S~IZeT~#6bjfj#+pdI zw$v(?Fl7<4tT>ye;#ieMt7aq>^CdHmrxBZnc7;+V+Q1PL_--2CvKV(~5Mnn)DK+p{ z0hs53@%@YZyZ(p#n*$<&%l&^bfg9qu^@1aocmE;(a{nR!IzP!j!uxh05{S0kOKKYU zT?@EJ5~-L7^pWUU47aN}U`-YUm4yVjfTx3ky1?shJ`8Xo*)`@m1m|mmiw`f#x49*8 zjrlrIHDkiGc?QKTL^@PUwha=oC!+`2FLrkQ5BTS>1yqv9Hhoe0PD#Qq|GWHeTQ}hP z(W6H#Cz_1>8*L^w3Th2w$R@Mu(YKTqWEN`I)G?bKm+Ax+3fmASM^1?^-Wt4*&ZN z@DJLK_QZ+HKaix`18+Gfe~OI9oc8{=Jrq6SN0k9naT$z zUMBt%`w9OO`{Dm1E5nlnG?GFERxF@=c;HGA9;%`iL8J`hg6Y3}T zXD0?cq7ff5@K^(|V$$CkKLfwj3D|(VE`CuX;@{O1iaZG^p`WBjYzE-Q#MpZsvjalRmVe~y9Y?N*u%QA;#-q$X^U#)W z#Fj8o5Kv&|wL-)JY0cQ!d_^)6i9gyjk`X+a1fGgKbSaQ0Voh^(FOq}#5Y_ci%J zMTng4!0hkGpk=RnxWl#c_xl<=N?)Pem{cUk+O%(;ui5-MK|ZJDd&;bj+TR~}pTTG% z7;x&4_DB>8=ZmcII}P*ae+nm`0Nvv>DCMywVk3n3lDKHS6?y%42=Q~O`7;xq*)q-1qPP7q+giZ`g)zHt5aExa7 z?}6>tLDpx3!|tPQPQiO~uI9~VU9L#T>oat4WggJgqK{_34?Q2(yF8h@t0L?OJ$o2% zT^Iga(Kv;W?6~*8GmW|S`zR8F#&@{I3Jm9EAcTX;TTq&Tc>GU2M6RvS(`tUKRVvd| zwJF7y)2D!;$;@2Gqe*@v>41NW#xuZ((xYZZhf^ub!RIlveR;R|^g*`unca0M9&}X-P zq0E!)AOPo8X%vqLD6)q15O7=rpGj8fsfBj8iJ}Yz1B>{TwzD0XhUDg~BWb@@=v4nGIU?|40A+KZ^dr zE}Fy2>!Z`Fqr+0VieTmPkU+tw1lloE-8UbupmJZWBau1ua)MUTz?c1?4ZLmG<-n!@2r(y^1 z8iSS)!eAC+1WOEpiMW`88okt0Q4U=6d?ftBA^jHg{7nN|P*L$i?<=Fi{}=x(aXE5ADYn`Pt?X(#ckeCP=lW`4?|VMB|GECs3Ke zG+Cvs+GYr+;E?5lY?{X*3?}#-nuQ&3IjXgrZ$LeGH6`=`Q*u)Y8~ zycRYj9v}8kTkD*EhkpMygz3cEx1jw->7krLSi|X(duQOLPG4TkK3s*q3Ih*23n|iB z>niw({0Zm{6bI!4DL`^NIH)E_;CuypKi%7-?bQ26)cgt+H|P`m1M+`B@b8oV3*Z~a zBzQ_eWC4PIK>n|0RQCn$-s>4u6CB}d#Wu~*VZI}kN2fcd?&aF)9MX4rXC49J^48Pp z-Gq(6=l=sgSnKR9s&^S0xi{^?^CXD5RXl0JZd83KZ-<%~PX;t};XlDYApf_#$46=M zV|Y5+VD!%o@W~kx9gs?Hw3asgn$WDnoy7RDK#^PXn=DJLcTm1ofI0KoK4hjkgxpvm z#fXN)(~xeG~ZmbK@9!_MhFc3{-p-@po4D9>47*>G74$wUwQ_BeCy}tLjGMJ4Pusvk{7@V1|=^M zP%u8S7ze;f;5+gA*1f(aS=P?Ye07|oSd$VT#%1Xt0a9%);Jj_)VZWm=^0IdGw7>i8 zD%c$)AKrfxHE-IGVW;5D*WKBXBPKby$M!SnP>U#ScaFgJd=E~t!)V+586FBAaP;Qo z=Sh+@oBHhRuborgByITj9BxG)|6bmcVr@}7ujpHDO)`#f&k(7lc`v`Xct6z9&zEz+ z5dJT4{Xy5fHJ}nqGWX*zPzi1XMu6$Z+g-$Sy}x=~nS-R;d*CVmTksDE1@@5b!Cd4C ze};Z_2tQ-JpGE2e2`H|OW_IL%%|%siCt%G#s+E1qvw1uv>wucT`@ja-U;?4vz4wxM zK3dJ%bydn^t-8A$DbozRjF<}ykU3B%g!4C@i)4T4V##|Iw(s*>v3M3H^BPS3|? zk{U-~8|$&T1=WZNvV0q3;zZnp(52t&LC3wEhYI{cfng!OhXkiP4*Zeg3e-5DntsAU zac;xjZ?`83t|YO2p&NzY$gvkDZ7YZ6O^mx#MjC$$g#mG<0f`^?ktYWGe-z+P6POS* zO}cjWzK2j6$3Y`pA5tzEDy&dw=bPC&uto@?WJ2E#waPycpo#{kjnC}caD5xj(jbWq zdd~D@s3_s~3WbkgUk#>FW4IGTUjwF5JEl=H<__qURRl8~?}$Z+=vO-Y$hx!M%ih#b5b~Io$0jI z&vViI9saGC0Q_KfEHUw+ucLwVppR$LCPWDbGvHn;$oj@m#xyN<1Kqp$( zI?W2s$SY#jy3^m{a_N5vfZ!jH|I5;j0qD|sGg-rN0o=3jsv`)1;KHW@oV7)6{lhBB zqX$UQMeh+UDft`&#&?gRJU+ocApf^nvQn!iTcf|Pr^WZ6R(NwN#^8azi)VTJFYph@ z|4|-NW7W>HmaU# zAXJ9|j>fgq5n7bGfjCd}D-6AL4=j7?Py-q4!(gg$^4M=GAf2m#%=ZQ6@0PtaCPj5H4x&90M1M+{8T#FK1 zGtu@1I6(cESNpT|G?}=g;i>gN=c=i!a?62{EGg?KW%hhY$j;{S1*IoF{O~azFH=u; zFK|Mfkvzmsq1V&JZ;O6{Q(rmhk0;h7~U)pcyO%sGFmj(nw zZ?rR^rkw*`Ae-$9v&|RXex0osGFj!!v3;A2-Hx?bfIWWp2KT=cz+%d>+QR=?`&IkY ze$R#`RDjwq*1xo0Zw}d71-T956#dc05YLp6*mL?p%mX#j_KkY7z2}CT0!Nst_38m=Qx*#dpT6z~)#SXQm zgse0R_jD_orbv4ZfIS~D5}G-uhPRKHFpvfAKa8_|#CSb@1UjAwQ7XM@YCVs|%JoCU9ur7TwxYsV~-dP{IarP_~>kPV2r2@UJsDC>Z z5qPU)l9>#MSR$?7SA)kWGnRD3e%^khu0P3)FvkUVdcF@kJ`X|H-P0 z**k;2_p+jqXs#Vr1=4-r*yfag5g&mF5VT!`cSx^!0RH7B9aFz}*Zjl$mDga&e-13l zIxor^8;aSH1I1r^<&-S(gsivt^^V-vj@)%ridHi@=O*F*oQ&70ZZpoW zZ+Qs}*da?HmJ37&1H}UWudcgTUY6(FgYYT?U5B39N>wJ(OZexa)9Q$Oo*u-+CksXa zj2B^ot~2^r8}2iuQL*}JOmzah+%rWEf=`|{Qn_GWut&=8c=Jrd50Y~4k)udI*AH(g zf6riAV5+f)3mCB`i+Gu1C0c+RTg(r6DnbS2d(QHiSxODxAaT~|zPUA-!K+DZ@Fbk< zQNeBMR>xt^rNJ{4S1ZC%65)1frnF;=U|KLwX!$D{*GlUPRe%xik5Y5mVp%FY2?#P@ zJnnPznF4eKMAGQ?;f(bJ(lJHk44v$ly9%R7-nnT5`{388^5NNskQc>W797$_Y<6F0 z3Wu}U{UtDZpY-AR5(sk));t8&!mcIfpW#TN>^`ART>Z5 z`A9DjLE8uWZ8GL=%bUE_+t(1#)biauGdL+h<#6)s7W@p^R}HR+tXJp!2b!eO=KRkk z#JD|7l&srWXgHTq5%7}tNXo9vBz)orDkOhU} z7mx%WT~_*f!HlDOYhn8_=PlHee0Uf@Ou!`Z$U-bN9F=!ZLoC15cIBDu@st1Vuyh0M zwtdcTjqr@2;7zhy^7w(pPTSA_zT28>@uKtEDJ6foZn`#&0gfPdWzyM|X23qCaGb(D zYJ)&*^bJe&%It}olc*aZuIa=sdnUEJvR;sP+XYs%)-3-9Q8$&3OZu+{3OA2yWdIS= zvX36!UKE^Y?e(q>X!qY5vsRWz!~2CFcus|QDc3Xs`M|qy5smrFO@&DgYwoUr_D|7_ zV9P#__`q*M-|6F$so}-p+=jLUI?3)(;~OV3uGTfX2#21O6-52lmG=@xuv&M!K1_!j zveJ4^eLjxiJVO|aVJ|;zE#llV?$ev7Dlj*dC*GzZ1$cMs~pMGvG;;jPdg&VtAW4Bz?4I;1Wj6712x)=1@syI=2E6Ugg>W_5>l%HNe zu~a*i3>Y+d2-K_rss(DMHvFbsy;I;v5yxI`Nmf&UcO#DTI(7;2Z@8%)4jY}Mo|KbY z)ooZf-KNlozw1R^x-spX5D>g^x5jLli4 zn9R{GmE5Ul*zi_1x$EpNH;mm`bsjEy`>O{I0rzK9#7e>#;Lb6~_4`oI3hNHPxnugn zUo}j!SviFBPO9)6#l34dkp4~zxM%wF3Ylw_Tro)E+l70`G*-}R#sM&F$UbOY;P#)v zGTo{eN;flEX^jE?yyR0G;l7c;n7zQ5yTDijp_yJ>;$k8Esq(w=UP7^9%ng=`Xg$C> zt2-Vy15|VORlMDj(*QV%8%rMqJQ@q{sEjsn)rT_53iukA=@reu(O!!>a?Rb#Ri4PC zd3oA^>k~#XPc!U-jSRA;|f)j7A^H>OMv#$N0Ww z7%h@C;hvd4#C51J#I=Sf#5JWjL|G*-()ExO#C56+M4139l6z4E{*3!{l~}y!D&lGi zjC1&ga677SY%QNL(FJbi-%)e}VEp?OOFSxNd_Q9ro`KE*qwtwToN zpop>hV8vX+nc?jz!ahUWdSjtrt@B?>w9nkIw2jAAH66F0{#x(T-3}t~l1}qc61O9& z`ZBKJJJ!}TLJ+q9S-;`Sr6|$nXD*cUe7PK^N<{d~Y7yh|>zYLAt+1!Y6-1>G%vPDU2!3uxsXO^8NYt4Q@+{zE?2qLhw;=V>H{C zT6qzqpmj0H;kJwM9A}t#>H=lt#v20BunX4x`-cBYa?is{n$h++i|pf61#yI~|G^dP zXrnShdh6-vI!5d(OsOGBN(ew%TZkaVVSt;q+*^`>ghTiQq!h1Be^II1U(kn&y8Q`` zxv7!(zJsb;s2#JTqbuhzOG7ECA&=S+Qsa19{=0yHzz49FUNWrd3w96?Hw9baw4}Q| zcYkw94_hG-Y(Xxtbql7}r6(C%R0sli?I1l-{wja?S}E~jEDgs0iu{f8=9^H2tJNofox50U^e*KOPG&tFgM@ zm{M2h3g7r=NvQIXLum~&#~tyB&YzE9!-7zYxIU!9iaG@XLSycAm)wvR0$BLL%QFQEfAfpa_O5H+J8#SNJ9!``V^Se5$*bm8&Sc+MV4CsBd=Leqk}Qcc&yY3cLfs+>x_ zEn&dTgpcZHHrPx$=MrM_VY@vhG7of|FEv*kdvKVWOlvj;=!0j-JLnd6HzjmdK0k0e z^U;fd-#Ez4GSeBpbT>Eno_`d&KW_c(tNfGD`{se^5N|Y|G}PoCYP}@!SF3{rg7xLb zKP3kKxIKVSyD5QU9ap7H-_C-TczNy7-zsQ8_qDtn%u*Pd6V)FY9_1+G6{*?`F5Ypg9zXF{v@*pQqPXfc8Fa z*SbGShUlcZe~hc2Cg1Mi!%Z5=LgyO~J?0u*$lr@JT4=;F=k2`D&Z{Qm{=#*JKd=B7 zprqE16KTyYs*GSkUACk5^gEPI4T&9ww>!zYuxsm;2cUu+Ac`a>;D}yxdj}7Q+?H!p zM$P-}=3uB=K4D`mz&1Va)sZgoZC_nP zZrtZbvAXG#l&7Zc^mDRnQ!6z|gA~X^5wrJ>Bc1CfP+qL6rgl$u+h8W;g&TUmef1M~ z`z0bTuG@ zcq}=O~j$ubD zf1v|)bKN7JFT_xcP>!%H+$=TP_S+M;t+Uo#0i-&3Y=NY7j~}{xofaG>H8u_&40pD> zWNi0>4lpL*czC8h~-FDx=!RHf&=)X;P$*z>&4UR~dR-b!A@v{f$n$V%;zro9! zS$ccxZdF2e08VET7}M&Q2_F1x+)@x@qW^rjo9HctS~F0(DdCwFfM-4kIgFeFz)JL1u9r@t6Z;2UmZ;qk8Zv^%YF|;;eLhv5~Hoxe>&HW_ZznO*xQC4{|f z`}gq!$oB5we7`4=)iw^e6|Rh44VnL8mzbI6-t~*=NI`IYICd25qj#8+b4Ac0dP; zL&X^d&dZA@-M)6GV_N9+FUZ-nWLP0+vQ=6+6>~5E8j1(gHP)_VoF5N&-<^EPM9GuG zL14_NliQROL`Nlze+hztJ6YO)(=CvLY}V@3GAlUkAv zHKe>InXcjK#!-e7DN0ZIlQ2S;JV$B5bGfwa!$RyAnX?po0t}h5Bx#uC2byvCJUc2A zxs24Fk`8&_2M^1{DX@C#bGE(4-aa|xjad}_&hYzlT-(p9-KXcOX#OrM2FJ|o zT{AnI>aVP}&xX9+#h-U}AB*FV-k53)!&06RKKsK#Pb{KmL+fq;|LN`=Y?sD6tiM%B zSCqr+VLR@Hf9c!mhe~GEd`(v3?3C`3Bv(;h zIu{{}eWW9PZ4fV|T=a!7J6@qf29STvz*AFwN=YH4RoQAIA+KozFHi819H`H->)&Gvb2k7FzyXbvL;z zkKMq2Ei(ZQoh--Hj;VT9H{zM3l>GW}UI=X$J=_Sa!Ar_tA--HGzFZ~#bCERK24z+! z*oZ-deKl}~|EkqO7K;p7$+LY?$(u=|h5s^>09YN^Z?vC7L!g`_=3Elw%$n?yv55}b z)ig7@xpfU@K)&Y-DR>u3dULA)&-AJ3zOYwb+-OO*(JzE;PeI~r+?sa|b@eRF|PuzN8V>F%)VU2U}Z*`-2I z^-Wq4=^!_g3F+z3l{{%==y1 zZA!58?-SL6z{jt)!(6q8*QD!7j#B<~TE*hAq|$5Cvj12-;9Dfbwn2(+Y^Y7;T$~-! zS=Exov#~N^u&XF4($OjV-!uiY=5Eb3Odr2so~S6s1!?MElOjh1OSfN>%98vOe|2QL zzs$kiwZD>T#~YFpHLH$w`@LsfSEJ2ILG5D|r5Gk__$@SuB^jbC`YEqAFOhsCX3^xl z7JR=hA2QLAH?lk)H+jE1jFCxY7fUfrf39yhS$zM)`>tnA+1=6fG);%B9fX&4cjqhy68b&XE9T^3K33U@pTjtDq^^U;0hAp*Wt9ng$lDC_8a{ zHnDlu`?`EqOawMg2C1VHBiY{x_MM`x?zZq1Ra^U6*H3y&Dsq^KYLM$`@(I0bl!>u= zvF-Nft8uHRZVPeuuh71@R&)j|xqTJbkA1AArpwdHc?mzK(MHZ!**(wFpjTra2DcPL zb;6=|Sp@&o*FCI~k^Qd+hJAR_WaqkL-fy*sJSJ4#;R6o|7L8qR*Q|8g`~KpbE~dQ7 zRf3^Rb7@Ns4FX?3$WBg?a>klogJ6D*9(t00EHetakH>}WL+kVWAyT7wXPG``_ZJt< z-1Ya%IVx@_&dSjl)5JQoiLGZyHrh=TXfZ{iPLF8-M^CdABA4c>G1oV+vknx+le2TQ z4N}EhZ|c>@^T{K2(#+?O$`*ENJ$!`TSl%a^r+W>0j__A1xkfrsdl$|g6O<`&Znu7? z9GR>Twl_y`7PXGg)DB{I>+B%-c;z=#yqO{j3wre-9(3{IGdE-Z7?Yu!vk$o+YIvrj zD?Gp|mr_W8R!j<<(H%|qSI?kcXj-qpzNuCf-q$ROKuw-C2URU+!mUf~124+IyhE1v zY=FSpEvJA_ji=w~MRiZDX$&4k4bK#W``Fji2%LbPtJ`}__}R{rJ;*<}&%L&tdcB}b zh;MdCtt*+1Uo=KKu6k^fzkh5j{G^$~h{;29G$n$T{G{a<@yi)NABAD|L~pf^HJ<%6 zSk#%C^@NpX?zeAME!}ID+;ANwOZR(k_?($W>spqNTySTBac&PwR(4-~=h)VV;m+H} z-$`Ev(43h2nyAdp(fz9#Cgp2^p`PkH#dX_2l3~iw+t8JmVT0L-REc4MW@^^HrX6(J zP&aMmV!HDCU|LgIYOY<1plx*;E!^DC9qsu82=&bO)`YdIBCeL9^qYF;1a$w+k=^UW zxox<0;QM@|p7_Hf>t)3$-9lfw9x4y5;{&_Jx#IqPFR``#eSNu|Q$5&N>Y0Z+Na94C zdg*ZruYHx!SyOeerP5Bnh&M4H^KV&>4=H71?f3WO-uuH;=f>{?Db~_#MYC~(u*7h7 zPx74RwTSZ$1pX@Bp2o>6DyyX66wPKM8AT99NX{voW9U{QiHQ_SS_V<;rCY8jPET*@ zxOy(t(IjLEmx}=(7=eoZ);MG+d zZ}RU&=NU&;Ijq;?>GVzp991cWISCofnEH;?SGhUr| zwNxmb(sosJ-bOa>r7anppIB?VFnrI+$T0L=-Tr?5-d{&o`#Ls9mS%ZReiGR|^xEv9 zb7CN~VOZT4YOomza$QkK= zXVHF|RR7j_^}IfGI~obAlCwm+yc?GE=*nzirvO^U{jr!s!AIuP)(=4VBT=b84`kwp zn}zX8siiv!b(nV21n9FL|7di>)aiSK>yE zDdtudB~|v`7WGFsQmfheG^K9+AFfGeH|BB6!34X_@ZURQBp7zAGn5#1zxQUu@YEaB zMn9rD9mbvYh8weF5PQ8IQF8sLNqyt`?-MZ6sa~iK1fwv8RLD6&cooz)*4)Z($TXoI ziYZV*gpq&!!YpePZ)EJJkxm>ZiBpj$s;LmdC7)^)o6Y{vO}p>$aIA;XaPJwT$L0xb zaF_QVG(yv+qq{JCA+(@rrf&UAz78Oc(&UCnpRb+*|Mipzpc)1CYJ}0{m+$ zmR%T$tAR!Jh!5eN{fH02uG<6;!GKE^geTfRI##FXuCm~27Wc#fJtNffnlGLhxtjMH zgd~S}&cFuK*`Q!Vq6b$n`oj^U@o2A#0jw?&nx`>-4#8?87k7+H)&}@#=R$?H+R42I zL>-)2iUNc=nwA&_*ExPNd7j(B~;H;UjRd-WI~bSmlo z=tc3i+jx87>GLw!18&A>%fWhj!{6y<1RJh;_ltGxCFp+UyY~BdNq9I|+xOv{P&LJh zC7ueIdRV*T-x!)I7x|l{#-tPv(`NJ zH7RMoiG!q+9$LKffV?I_O#hLbCnjE2gy_q}5tZdxX1|;ozIDpO0{Xh6R+J(O=Z(S&T$^d;|VJp(CNLZ9QhGuc7YJ8Pdsl1BjEEKYEdb46J(+!RWcD}5g z<XF&rRlX4+Y`*p-4<>?p)X%4vI4ed?Em1=@ z7;FW=RT+;L`&Jp6twY}kB4r>D8I3cIA%lCr0f(gcIJO3G~+wVlTh2xl{^ zyYmIHyZ92cj<_fIX32Gv@$mRj|J`)|)igx#ivlC(k1pGBL6qwIO;ruuGQp4d9&F7fwg56GXm_iad8yh0&XF8A`v>Y4l;ulIe;oNQ2my(RiKZHA zJ7LTs1`l1ePU5IXEK}u~z}rzcCYY7Wr^xfH-^VKH^8h6p5(x{1EKuaSjN!hsUc-HY z4C1V9C9K__<#jU1Duabs1e-S<4gcCcZreG#ZSA^tUS&xVrD9klG#dftM^3-{O?*&1zA^RZO(? z0%sVbw%z{rqDF)LT{xsyi{JvdkycfqU2)DjwL|Mc?oRf%55Al|VwA!ShthOmztZ5EtsD?SrTe{whA#I5zMIy-zk*Iu1|cruun|=(HL?D+yI8-=nCoZ*okLrpB~G6_qy3=EY(G) z&Yh#A^b5;G2D5md-X^vS4^O+Z{Vy$%$rw;ILH+R+XZ49xEp@!uNRsKIs_(R55W($Z zeJKT|9K`6(w}boF71VDD9C;%tMWB#alrvIJDSzvFmK{d#p>G*Q!^ua{MZ%X0iM3~U z!aDqXJ7ZqY50$b755$1Kf(Ry?R=oH;TtRg|lEFykac-qskvIm9PSLIT^SrzAiC8HH zMUF(PSUYJ0HDgry9`WC;hd86xEc@JB64SO*+Lf|`*}G#aVI425qGD<%$_i=@v#RRC z*G#t{wv8TZbQD){rx-JwQrywhq|?y7GU_Os#w;=9{URoE2V+qiEDOzegxou)j0Arf ztb-1dF2()_6thv$c2P!^WQr-P@@sdg@!a~vfpamNtG^Y4i3Zj;0Q<$q)Aun38X1b= zC2iXvmrU`}J?>qNO_4-dm+x@ei~C(nI>vR>9Kb5-=0_Dzr#B&Oe~yzqlPK^IaGNJs z>qOv-lp=TfixTN35@emy_VIlgO18$C8pFyUJ>iI~;@XlP%^BW2r4Dlaa2}|;ySvy+ zklEA5Li@Q-wR6SO8yUtDE$C3qMuQBGd-J@pi3Fl^35N`kcB{ic=ldVeje>8dw-8t7 z@`?7us;S4H&+5drulXUww6BS`5ck%8`0&L$z`fZC6EfUZ$L#XcJN5xgl@q%|yql++ zFjqGNZFQ0f#t2=35;fnnFLcvP?YXJLa5G(0d7ai{ff72sM-e?NW)|l-Ggj|$LC8(a zTmic+!NsB##84^!dzMTGPUITGCLldzmCxe7E3LDCkh4O`g=nB7z24v2-Y}y**IeG7 zF7O~UuC=*;Qj?ExUqa8sw#?V;W@3v~_MzM%Fa^)RI(J~*eCKIUF>4rxQ+&z)@5!i7 zTDcwAJSUQMCZdTJ2N!D<9gpCx@rhaW{Bg0edIA1+m1&hBjoB@#5iL|-dMGZG=*`ZP ze`fR7v)TQ<<_<5`3jr_CJn>OdSYGZX^@QV^p#dM6uTtam7_>qmgN|wJ`_fZyQJErKS`f-LImV{iZLM0I@ZsCGHKR-upa54fY)=-HdRF_uL zn9WErwe+E-Rkeaip-cbSlOo~ClmEncTpq4!OED|K*+o7HV%YQ(#IRxNdpc&__8=w& zz5*^?OQX?YD(S=?F_lz|O_3a$NR+zS@0s}Qvo8wW;VnFL=(h#5C(*IK$^haN3?2yh zf|Atfspv*;gB3{Y7W zY=_J^8Fd_S;>P{#bCXo4Z%ePqSqs77XpY3Rw$RNcI*qCjlFS@E zJJnLn^mK+BG=CoUskDAuxK=@508>HVXt-ta_c@*>i< zd=wX!IvL1_+VQM?8JB5}2`o2@v(_%rX@Z?KyS6O*YJpRY_CpXaM2K>Pf3`Hv_oOg? zT*Xh=mGkW5snpVv#2=!l!lnFxRQwNJRyo?eiurayiW}+zDwf#pK zy#cqYO%5J9PR92hWah4%T+93}pW|C2*W*&@;qUL_^K;Pnsu?n7_0wDoo=j3;D~AWh zWYlYD2XO`39V4`C_*ca7{N3oZfg9x5X!@HdN<#Bb1ah4rqKUl=OuVv*VoGnWySeQl zztA6+q;gZR_Vx(YNlRSN3aZc*Vf$0&acF(*%E&SYd|w~NhDfgm=S$I%@8C+72Rn*j z9`%}&ERvf?Gx6aHGGtZL<${^0)a~>r<~x&WHb+-VB0Q1honn2hT!TdCl0k9{#KaJ| z<<51&o^Ep>@koW-4{mKnXIvTA6t&vaC?>U~V>MLDWXT(q{K_x`$q=l{eW^|EY;|XE2R5*(P=0#j6DiT=*U8rj%`e!Hd8>(Bi!yNg6Q-v=v&_*tTKgTDX4Y=*rWU>t*1d<9mU z%Ap;+7IYnJST0VYpy9in`8fNcHqS63VfXUq*mxbvTzi1)D7X>Btft#-%?vfR-b&j# zu~FstL4!CzLLR%e+v5#*s{P`NtHHGG(J7Y8JyKv%f|e?b!!imRGa69|SKxHMVXKV-OEpO}Uy4waYHiNeZ}n z-49Q(AE7TU2VEvBZeKMK)pP8{LSqDNxB$xyY)u0dc0DTUjryWCg$!rII{*W_!)om4 zalj5%)7!xiTszYP-3N!R*Ma>`_rlj)b_hAdq3jZ7su2iUdn|}sK{KigBE^r?hZ@d@ zoQs&(O%S#4RkxQDC}P*U-1VdW6*P$%6;-?Gw~t0pEqhjSF`Sz3$>cn=jWNo$e-{+V zA#~+u>#yJMDw!R2|N4(Ad~3B+Ui(&lp5&?4^kQrpvQ~DEMYDXV6f5-JDlqixuDexm zIWl9AKik0L?&COTL$C|&@GN4-vI;)IK*v%m^0ht=u9u<^57}!14Tqg`DiE^ax5in0 z$bE9AbpSnMUEPd@?GA&V&e>b<1-tR9++W~zQYi|Bc0C(cp~!9W&B2g)PU9N|TE@p8 z->)`QWOZf|7rC2DQJg4LqJYt#*>9SE?}Y82A2toCKQ=lAmG@T7oLX5BzDNfW{I2ZT zFY)&`scAX!pWifO6kNmT(`Rce4{f)vAsA_9iVB2G`f)C_Odnh+W`oDtEP4*p+jwVA zl)Arv$s>f`zqS6_KRIlX`J?42JTu6e?K*dz9zN68aBZTV3oNQBfA@XX+_02Zo8aNZ zkqPPH*^voZ%;drwkgb3Hv893DSyVlbZ$Ec$S3pnv5{u$L7DSpioZPK zn=c^EVlsE8V&BDH*Bo#z+F!M>uh%+(;-naLIq-%(bbK@XzFY0x-zp96Ky8(GwaTDz zujqw$z@8FVtq^3qD!Kc5jfAkqg>Wof{)ADOK~P#9FE37l_mR;-e%qR|CFfziuioi9 z7;3DU>!Qo*(v@ycr?R5)tM0CdbuE6p(GAdTD9?x<^pdsppkH)R0etZ62gmu=rbEfi zspocfKdPV)Kkxw&JNs3+963eyp&YGw&RCwhDAHjI4^ z-r9QOespcqu_CLY%pGs_lX;#Q#~70Ns2`LJqF6g)86esB}iz!{d%PYZphc-VYs6Jr`IwEcGyV;j-|lA3miwd85ZT} zXxxcGfWyi$+Ua9kUvaeQH(ngMj7+Ti;s@`LyYmI*hn^L}&-k@p(0CTX6!Vr+*o4AV z&ms*&Uf^h-6+}CB=t(xqQ>Jx9$B43z>bq7@;JN%f^%=k3 zA|=Zm=2%+pV^=$}zrbY=q3t6ihwT0w@2j0KBl*~Uc)R1(3w|Y|#9w~p+vz!Ay?#v< zY6-1L3jZ>UWe%qv_P~fblz@>-iwu)Xg9>x;17-ks%&5CJu+m8!$IDII$c3b#p+WK` zh(M#0GZpJsS=-z*AVx$dM9Uzl>SAN*dNEjcGuzRIllZkL>mI9vZDvsn4{brErsF8# zp?PmAj82@0d!8^zNhV+(+c%iWSjtk|n+lBgZ}sjab~06r?uS;|&#T+abO$ zLZ{>hCb1bUbEPgcicjk%A)`vGg?8l{rf>{ku}MiO#_lFOY@@pKCjAm?r5o;}8S)Mo zk-C+0Rx8|>vr$1ybvo6K;#bq%(K1(@n7Pr7pjrcO(gC*gTmBm(Cf zSmG*n+P0>(DdH&TStGAw1$vfQgUP;svNfmk^&+zd&xSDu^oGNKe#QR5ISM(U&G-#a z6Tp*1(sMVjCTfo#AB(t0PVOb~+nBDf5$qU81Fd@^b}!OrOi9hP>JfMf>wOee%9A?` zA~Lpe#VsoJv^LGm6|STzIuIlKVEIAxFz5Ft$-UsuShBncgR}WEPH$6yK$;OlGr%X7 z(R+IL{MqFG#90ht`n?gOezN9#eH9R@zK0R(NwIgEq-cAxRe2n&64<>pbn_8``R<8x zAl*Z`lrXV0MLw14sj?j-pipjJQL!E>du#{;PUknXV%IhdbqW{3Lu;&1eNk%?^2<3^ z<)>}}NTX3Let!FX15zQ&LR-##A-lQ$RBL0+Eqr$}7{e+PCim4C?r!C`kQIi!ysg0O z)i#@CRrc~#{q{BeLX4QMI!tam1%glNYB*tRQF6HYpM^uvBWC=X{1GL zM{fmW{4k{85L15-{@mmG5yl+> z6YO|XeJab7t2`ZmZfJBb28E{?{kH6QQQKiA&Vlo-Fk zl_`euyEyQwJ)!N_dE;&`{8DG%^N!w`qxsAHXmzwnYj@m(hLQ)TGwKYT=~>FoC}BYi zS&8=cCm-wVh?=gOt?KtFW6cZeolh71)4g2|FV-8=IDF8?Chk`}lLzO@-VHs)9#fme zi-L_8WmO7i-X|fqm3EQHWBVR)>pTAyyEk_{a<+E3uYCcgB#y(9FjY8QtkF43G5}iw|a+(VW zoLQiHKvcwbNRz}P^{U!-2ObZ$KP8P#`vFmsRSF>=pvlIyGa83C@!ZMYfOiAbC$Hi%}}32k2! zO(_-@{r_X`E43sCL`cSy|DItS=+a+65f|&yI|ZTT|4&L}HO+9i9z}DgFV1sE2CkLP z?tB+zI$0}yK=hy3LV!)ek8^JzZ$42J#Xngi#u8bCbJYM!RO?uEQuMzxmJB?WF3^H# z$$02!DoiFBB7+`@6P}=|bkiNUL8EdzXRC1!ke!BAuouX#UtTS})>-@rc6MMnfP*!= zyhAu0k1w8TC|RuCc^FqEmN&dEX#`Z|Rs7>(5X9aEWf51?v$99;czchSDbNLVh&0=j z{-Ci5JelYj9VU&zN$McHmokV#42dpl@ z+Ejh-g$+)N4tHLsSBm{Y3C0a!)*P0qqp>zF=h$@Ge`X(^O?A=bacMN?tbTzvEZol+fTc=kv?w z|GCZMXWZd*4p9ELf5~~nlUqi%Hl#!K$x#lB*m-d7hf3LvEd|}42+lV&uXl-H5bdx9 z%p_M)&x# z5b>E*yL}va;A(YMok`_Z(FjazD8JI5NUI|`QPQp;Dpc1#2r@AiUlA|| zv$EzBVMf{A(XwyurzE07|VZ>IFJ<+cNxMB%9?p?r6K8 z1Is4CcwcmZ^C4mEy>>m+(L5gB9)h}OFp*3fXV5O@lrv}aytNz{we}6wWKGX(_=6qR z7mxtkY*WJwqO!!kRM&61m~V`kv|n^t%;Knuf3S{#>~_UhplqK}+0EReXsP3OcD}=~ zjq?N`yL=+)&%_*IIs7*;&|XNO8w{#aDYlg}1UJ1ewyiJ$-$Kgs)FUg!CIJ=&0bq_P z@92#tYcURp{)HuiKrTE|YaEz7s|PaR5+Xayeaj3QsWow)RVwfdmr`s~gmc43P=Vcf zU4h*JksbS?Wd@LcoM+X1a)!<A@YNyKk`ZFB^2g|7wG9KZv)g?=snkJ68nVl-aiKu8(1JY+`*gc}(Qg6jP|~r797Bdvx$+ zMJ$!}%Qm}OYwjF!e&!ISSq7a-tYE7NmqhfOM}8&~rdbUh4MkHw?I)ECSyYz@yZZna zet4JIH%609sKmidA44vVRhsK6JyRr1BQn1*03qGH<^Rx_JuT8nJpseFa(QoYz0 z&*l0K_6N(YGF9Y~(GN-$tm^*d(^wkquv(2UrEjPzUn|Z~p)J~HdRE*LpoHV<7(ayg z5u54QBmU+upA}tmo|C?%0Vp?@>HDuts3tmE@~yySVa5vlp{FSc-9!hU^`DG+FMAcI z7i5Pnzw&QcwSc?@=VX`ZYS$Ib8t}fIC$%CVr}LHAOfG>|=T?4&0BFw{yVZMlo;4xZ z$yYdFci5WVkPO{I3@j7-Y-jW77)EBz77h#A6s| zT3r~4%59GsnD|_N_eRSbk;JazxwKip5MWh*pOHfQJ8aBQl~C+bZA_JJzD4=%br{=qjQ} z@nJ6hfx~8Y7e%{9AB?klxu2t!uKAF3w%9jeooPorz9KmYvWr}g2gANYz%=6vyC*;i ztNp3!X(Ht{6DGBCCRc+E7%`|>IeC8YqO+G-q|^#|Q%qiggi?={dm3%~nEZ;KIryAS z#VsYRu6-P|v5&_11QM8d)85n{)U%))_AV24GP@euLg6aFg-B$T-j$~$YnQ^t;jN1@ECQ0@fx%@}XF# z@QWuCq<}3~i>O#y;76)vZ`pnIf&}c->V_Frl8{y>49jOdp7iBc9!cJ<&Izz~Ni5GH zvL-F6VHrPZ7Tdlb1D%PKed>sJsG zPi$tBLaWm*zS6gyBC%pz@_r?fdPtq0wP`n^wiAZ6DTQQ+3&;3beQiiI+Jd2)UYhwM zC(WmjwM!~6@yCH>QOY5lazAf@Y)^MQozlNCo%@2BZJs(YzO({?2S@;F&IN_oEUxDo zD+Fl1c-k*8Y-Vx7#r#pfp6OY$B|Ls7;Z2cs7^dUtpmy*#@{NHQ$%=m<)v45S4tCdZ z4uW3jyK?QYpK#Z=D+qM!;wiZLe>w*pfBbo2`Le!kk(%txRxcOp{GBE?$4lA+K zToxn8d2A#OtbM*^LAW#lwnm*uC00>0b#fxS-XVE2>P)F=rKs8Q3&`$8e#In|_DdnF zSvz*Itqmo($yR+*$DPGd;EHdG`XGEF&Ot|bBF;KdrxUbD-vgSjKi z_2m6WZi0by?O?dxTrEt(Iwsp$;v^Iw-Smq&51|c9WKKCx{ugauuFT>G>ZMah&+$#R zJGtGeAa~SFK99wKfe)x%pB;bex*pvEKBOmBqKBX)sAKHcc^2?~2g)Ra@ZAk@al<|> z+4g>>nC%UF26uPE4LPBGu1F0mE~51{Yo@N;o4&|<%#UQc0Vs#~L_@WfDqnaE{@l0B zX>{Y7a-#YjRMfs3U=D~fVj=d6rWRd-+OJWIiv0O>aVDPwW>+N2g4APVL}uf&eAG6I?JA33#KV)@Dt7# zEv)_r*S(sh!(DZp2fpjpk*z%D^x8nSwpbkSJJ8IUeWC-dZ?sZoHQoS1kVvLB-hfQF z$ScAJiDcT~i&GP6I|LjBf2hXX{9ZaSa3O2+!4!-<$zVfmiue^iR31{|BP`b8wEynW zTbkJ4{`uAT5_;_6ZRTj7CrD1xMdmq!N^RhjmP(8~y8Yf9+v~Mj!0mT^{@%s8Ppk;~ zBCK={Dbe}{>lN@7&;ToQ1B>&lW~u;^x%a@v7UN48Vu$7M2iUF1^s5DvL$WA|NdSW?K6Z+FtM<+zARI+pyFAmE*_?{ zjJlnnx`0z>c*K(=@*A0xG&rYdN~;Jt$yz%3a3N#SkLZ{u(W#1G1{9H#TR@sB1t`c= zWYhaCSBJ>q`sTQZS%0~Q#!#bOw=cK2r6kwguZZ z_OW<)ob2FRB@MD1;)elJwd*x(Zb}=y=CuW^tB4!bbv-fV_JdC8F!g8a~Dh=kzdW z$TeSggHy`!{lshkh<8WXft<0FnVofiz@-PcUEO|d_O`>Oq$BFMmoE6{t3yX>n_)GA zNvxAZ=IMe;)!>vWkn`2?#jDuDwNa{?h3BnLts6f#q&tF8_~32fgO*L&+EKgtu`IgJ z=;oXlxMGkEyw&QZ$l84%B{8U~^N~{0faGw~`S{l&*3v)`#08Gj4{)Q&QoN6bk7q-@ z8Y?*|z=BQQgY9;e_M^6%P>f1{(TlNH8FJ*6=79lPMGU0FnB7tZEN+-d(gdi-nI_W) zB!f&3=mO070BwiXN$~=CT9h2Hm9(wDD7*Z&`O0Sc(5VMq)39i3fHTrQDlmg|Npa}O zF0Ui#GKyhN7~9P9J&J--=xqWs79e`6y^4M~uc8+q&SauX-Rt@aY<9cDU&B|TFOz&@ z43Jx9iObGB_gaqInwkUm5J20!-ZlIcrVKS&?8!@&_-jmG8W@t27`W<|!N$~4oeb4x zPUKOJTUiW&OrwwyY)9F;T3S?o)ZpANmznvJ3c|4k9=0JA_mIy;jjp2 zTP1IZqe6H+mU$BJv|u`#xaU<>l>PVnM>hiBX{YSC;Zy#Px}gb^v&h3%+&-tfabVfu zHEC*h=PVB{ig}*R>N$^gZ^b01maU;>Tcb|UOI4$Q4?M&Tjg&b&wBQ4Zb3S@>w>0Op9zP4N3l_hBlDkWiwOdi*jZCHxJ?s7&gM&+Z-~Zd| z(Npl_*3^=WwzZPgAJ&a;#+k9XyL-Qh|JY`0q{XmmTD9VM1ZgfgCtV~1`00)VjLrVaH2%W-0mxbuJh(x6PCblin z^fGx$A^fe1LKmTw;7r3?@hVA{J~ioc(cFX4mpeD1siwod9~wfT!&n{eMVr64P~)T= zw?mlePGb(2*6v+|baorBC5G3Yo_?GY*T-NaWcg8ShH?Lp6T)u2VpJYv#B7Xiz9Ls0 zHDOif@#f#W%X8RyY#lP<&)E!JE*9Lo$|Z96(B{nA0LK6J>-dwN;FNbAeY(atMlD_O znAyg6?IC16yci{B{Yta}T`TU`;fIpKOj~($A>a40e&fi|Mg)BRKd>(I^=8V0hlV{0 z#U5cf-U;g835i!ha1vN^&Rdl(O=}Cg7(5tyg4Q~s1@*zi1_$^1HQ#V?#W+Rv;|@gBbBH;_fWNZP3L7sFv({S2rD`@x$yct*yp&wHF=z0s zjhX$iX`29rN}=FVU$c9^cbGf#ASY*R=X7p1UL5nc;xy@vL22d!#^@aSZ3s7)e=UZ( zbJ^Y{Iz!z`Uvktdt&D;_)YtD*5hRVDU_^JcZ}xX#2I?W( zRy&S*`!v@7g6o)BDpj@D|VFuWOAZo`+ zAarDj6`+=tFP$-Zk`pbyLNtLo(qO(7eeb34XQZs546x-#99QJb!@L*eYsQ zt(ZYSe*liPjeL;qaWhpJmx5{{&oCCG+c6fz`1WoE>ng$j?7k{B4#xJb?q6u)Eeyl* zIprCe=C=*GRpxJo?I{gk413*}t(bf9`aI3)X873=B2q20(_}fO68 zr#!cKdiD7h0378Q6PL4oz%VCZ`H@Wdy9;=3U#!$sSZimf)s{`5;T@0dt;A2b2fa!)U zTC(nIqmiMa*|Pscfe3Uz+<=RqiMU8dK4Ol7xM)K~1L4(RK`Pm)W9&)L0VQRP zI|Sjx8?_$Z>!(BKuU0<4wSc9_e~F7+LZ#E^EA=?@zgUIbYlI%Mc@w>aY6IiA;L@Wu z1*str1_!xd!n=e3f1CQjA3|wK$v6Bi8$xMS-8a0s6X4k|inaB>e|yM%J+oRCB8Il3 zQ+T?J)>bkrbM#W*xv%Wo(F%EC6B$DsYarZiFkLcq2*`qM!bv^6gBlO8fphWCsLcx4 z7iKr%A(_xbjb%%QUXR`v<~HFmWFoLL-2J}%wD!c2?Hk)bhAowNMAOSkbkoxP*7b2$ zJDa?vdoF4zROoJ4Xn+T5=*?Uk?S(D>W zv1L^4!0EsQi}y!=8hpgxtPoKNO+}4cYaP9zrFMB~3B6($g^ln=p4)TIXnC7*^~A$W zZ`S$rw7#v(9!OZcrk`Ca-p21m-SV>6_NRbfQstYN;P>5iukbMS%<8w^i2JS0JOVY& z-unCB7zE0|wzJP|+`*S@ZUL9Z?g5vH9s!qqo&lGaUI7FsRp2>6&#+70j*WwxoeufE z&r)|q+Gi=&oCf8MbPL%wGX`@lIT|%f&B|x3Lst6v#3fpf9>Sc{!*6*FIR(!99hEIK zKPO>lSD>gR&(%3W^tZ11N18O2<^NE1HQ4L%AuA;6HH+EnePDcPCF1^S7sJ{0r!d)( z{{BbS50^@gXU_JiIsOAby|~G&BjmL+Fm-Im6e=9ei$~9QZ>&n?Nh!#$S4yuk3(tF4 z`Tq{bkKR-vp%*0O^+o$)A!J75ztCWa;wG%beUpV+m{)Xo&r)V{T%RvIx~D}ff}_o`hq??0 zb4OAy){NuL#uY!ZTC$s$7CS=Wv#Y9bC%x`vrs`0%?{vNjP`@Q)1xvyE20ZoDnYi73 z2Vn0-qFh6Ooq_G{yA(~B@JAh@qhNlAneUgB;({dR~ z$8U6HK2F08258w|v=tXGv-RfZ^=!G4ITG8gvS8nP)(5Uwm;-SM$ODih6$iJOSNDW@%E}3vu#Mt$^aP=RiG;bgA$Lhs~E*TPQ`PZ;MaDDr6!=8+-V-HIm zCL9MdjxH+iL_(xOCZ|fey({31p0jk;AQ~P^CS>QB1^v|&@TWyq`kH2N72*t;6+Y^DjN*#C5nb>nbMm7XQ+@V z{g-f51wJxyN{x4>A_8$2zE9YtBVv3RWg=3U#FY?so(+>K#6&Z2J|r`l0jt%?piE} zPZWXSe1g?uZo9}?#7nywEa;Z#mS~!g!ewX(-#cgF{~0UMkI;LPmARyW{tlQP!9P&} z=<_HO$N^9`Tn6X~o!TN8%2^FELE6$*y-@ly7BK|ESO-;B#1vF>#kQ?-pIGme0-%X2 zc6F88+Gek`3L&q`Grft5)N3zWVUc3~?=Vj>|L=k-kpkC0Vb1RlsG8c-m~IX2Yih=v>2x1VIs$tRIB}}39^B}{aVSpo z<4eDY>km`V2dMRNQIHuU?_i5jpsz5eM>)*9t;Y@1{xCFpImtPEwEBX z+EY{HuU#zUuYAo(tPbSF&+s~wbg0gXnP{x9ZFS?~TAK87Im@gMM=G3x%)H_8uNQpd zxOfOL$-~zo%oCQnR-UKk=^C2bUt@(=z0~nQak1Fzk}SQudp~wrjJ1kA^_C+gnj5Ur zp*85aH_O0E=)T#)F*Z3Q;fJJq-Q4ra#y=qu#|C|OKPE2F@#~(${7x`lHUu@W{o+A5 zh}3Q_-AQV}tv9B^r7AJrw?@}qR(DFbrm<2DZc=M1tE(W8Lst#iP+KXlqzNzorlzHY zr)E@9moHr2r*0;Z2b!ubuhUjDYb*y_t0gUz(^aUcsaKR&s;g;L0g9ag?K)=eTEsf8 z+VXh)_yvQ-VpHr{q&hCj3II0JYK2?}R(>3%D`b{IK9)ljdra()@A}SC$jtK(cuGf9 zY$JY1zh0h6W^wNOMgGUk)qMZagHt}XUSl$U@YJdXc(`ypHF zD&sAcN9|7-=G(0833SX39^=3dQu4nJ!Vxx!SbHsso|F_Ef19&LsE;=Zl z0_=dyt4QI=NC}d|39@*08}t$UD){f28Zg3%mq|mI9kU*R8UzZzWcLvCt@wNCW2ilf zE?{anvbO*O1l;buX^!M@WSOMKXxu#8_fjxf@vK}-D~k8d0?b`L<^=|e^GKR0>xFLfx4 zTlLI`;n#qg+k3TvUv3qXNbvR>eP1SvR{|fU++#-J)~yMhzbyU{$bW>Nmv-^vROn;s2U zL@VrB8dJ6N^7F9x+}t0}PkVN^-+Z!gbehubPhC|?>I<@tgl|n+EGgV+NLL~huB{vI z;eJAS2~3h6HAHI!R=ax<(HL054XkBJ`o4Ww`%Kp@&pGx#Qf|!AzL#xf~hf z$3z@VcZ_GumX8AR{d~>MDsQUo$XWE=`4hekD|7 z9U{$N;JMe4wjznTB8jmAzMzsUx=vfGF8^n4J9)*uG_X9ON5q!-2jO}uuIYPe7Z@i~ z{P$L|B(V&88ZX%tA z5l%@PIllo<+=?}P))=j5kVH001f*`sFH4`x-2@eB@-K|n!uIp#^2v`{Y$GBaban8z zA$0>n9dYf&M8^imTMA3Y-cC&tu6U6K9Ju;MtSMS^8`>UQM%dJ2*- zl|s10A-*!eBdrimJ$-_17e3e->HcWR8AK0lgIvk^ZL-G;T8D6XsHgJw3|W4JuTg7+ zFF6>vbkm=ps<zz&$Fxq?4`s@J*oK;Q~ z0!~nWQ^qNhSD9EwAro-l&td`xlW;wN(BP$-sgNrZeQUj6*|H&gfhaX4Y_uq(HJz=@LKfdAA@G2U3c%(u_ z5EMj>Gl)~nS%g5HYnMM{s~Y2As;7%nOCFDs`oV8}icT1(`9=Jb8}y8)_$hjf)c1u= z(O^4k=n483jERa)j0yUF3_E#_xJampMRorbCL|UeM@VxW<{o}JM3%T5s2`W^ynRTT z$qPpz1|!$L*}GD(vs^PTmrj22d*A#@-Y&k~v%=f_xs@{GDQKM*0`RE>4WYxzVRZ>Ezib6W;fK z?y)!JzE+;-Nn6nwSPZYJA))&wE8t2!jaQUJ@2jZ~U0O4kv`*oVRkTOrcb!Ox@uApp zHp~ED3v`C^0{$F~662%e%ACFXdY<$5dkRjde9Ha5UEB42BMy_GICZh54OS){up+mQ zsXIM?3>x_9}|w4)o2_29}IXm zBPB7$qNf`_k}|n2V0|QgV?7{`n@*kzHD3D(vKpNAM=49%_^-!}SIFNMS{#Pu8YR>9 z$9Ql^tA%Fjm(c%<`L%cdS)UsonG=o3J2vUq)HiUr&cDHU>i|tio%w&VfDQoF+;tK!7J+<@RUNl7Ba|GxW`RGe@ zd|s_iWTa$R;c{E#>1*j&*VH<+vwM*%Z2bL^yV|-LtKjfgImATzvM`*}%NR+uAO64% zb5P4~Gqj~{I&l{Y?R*|<#u=iWWSVm&+bn^|s%EL$#yc9a z=tT^pqG(G-r*9j~c8_S=l&J4gz%#-5m!rB8dEtFc_>t_nZhBZ2!b+JoQkgainKn9^ z=#oy7c!RA?a9hP(_u&n227OM_cBReS_jnvO#?bf!y~8A^f^bg1Si^(jM2NhRcehQ@ z-WXW&qjvf4ZX?lX%L&f_lM5Cx6dRIbOc)8LwDYg@7;WO`JPuPBL4%Sw68o2)iLH3h z#t62r16-21Qn_Ne61gI|mKM625-x)8Xxj3N^nZ^6pJlyKgnH20e6~`0Ooi}?+HT`F zV&oy9a=0Et%*gqg#Nby=8pqdL#7zEJ=&ANdviXyQ}acjTChchJyV^P<)P1EHe z&12%FCG{mPhqFUBS%F~U``ICXbHhHw zUj4H}_@0?H4kr&TO zMC6uQhMGig@^=Yl+$J(Y`X}st?+W~YWmg;LLtRVKU=~9cLmD0v&Kpy){~97ZY?8R+ zNumAuRZi&2J?OCO%?4AblkcDViYFqW<4}0BE3Mp)V7b3KOrv8R!QajFMK9TyNj=k2 z;ycFW!e>rCiFr0KD1O=n;tdet85KVfGR9+x{PBDM8Iu(%NfSpPBy5mVP@~?PGe6pA zwGonD+6RxcqX+hVZ-)$&ZKWC1135E;A(%`lL@jZfD1xUqnO5nTwYzbvwxSk)N}S&1 z-+Y;Q?6i!Clie+tWqupID;g}EgP-VQE`6Iux?&M(`agiaUw#rg*^_*D1Dj|eAXP=9 zS(yb()02y9e(*RonxE@kNdh8@a9iWb4e3u0m^ZtL+9mHr&S84`aII143mbh(sc z%K$Gz40pw0co7oxKw&bNJaN1reb(Yijng#N1^Ob3K-C)1UzK@L0hPTbR^(RfId*CN3 z!HcmW8cDmcBjA#*Oi*YCn@)ZGhVF%4FZfwnl5|}nWQ2x-4jv6ZMEnMVtRTLWS=bFR z;kK7iJR(kemt3v`m@2OenSc^r&qz`SIix!lZwn!&ypJrC#7?1>oe3kVygw>I@+yX+ z(J*l?GhqeN4Hvws&4lVR#rI?5!wbDn<8%XkGHI4q{YEzDm zoTsA_V+g;IZVe+=>ek@Ygc6dwIx~bI(pV6K8E7p7K-F+r9@oQ@Rk*4rxl&V zU`V(tI=~wr`hgAZ(hS*j6mKMv)k>Ou2pXG|^27SRsq|<}n7QXzQeL@aMI=cJrHG?k z;C3?1sL>FbWgN*gu}H2!pfE2?fM{!(b&q8TTQOX;I*o~Sk8U(%LDG0C0gK2eqKdX+ zj%ole70tv@G05Rj1@Q2ayRDv5-%6l4w$l_MNaAO>c~q}X4ptAQ#oLXHk)<*aT%vKTKf zkqmd+i4L&GQz55qIVB^DN>*{@!bcTV-W|0?OTx`UEm&Ft{V%rQgj95bmsoBheVapC z#v59Af(K@#R;rUzl}?rsEtHpDRc<1}rxOP!qxrm})e$YUMM$Wlgn0uABoN`qHX-kP zQ>-(C4+}|T6ys(9ELrpkXoj zD+A%EI`)24)1JnBXCjMal&+XME1F7!RosA9j2JR%PXS9t@su+k7Ekoi)iaaOkpn%@ z1v-{W)X~0YMjU<;g*zR-w-vJFvpwk~iiipP&N|w42pS;jz~>DFRilYU#0_~U8IjOS zidlD*vc!{c>Z_Vc#}hEqu|>-`2Y-LZhj_dH4j<~2%Wc0Uy@OIr*$}xG?W4{qB6Pyw z4}MXWT;+2;BbM!$AADO2{@-dTN6^cM+<#)MZ;e4$!Hpdp}G!;G1yb=MSVr-Tk}zh3Ij4*9*RCf ztx}+8d=;(HE{XN5r0@z`#T%=)B1VfhAfAF-e5h_|G|O}n$G~~6<0E{iy-2FSB`5@R z80c_cc(EW7Je74B6p`jVUmQ^uyc^3MZQ1$NW4x!)XB9Lu5yO0|?lx5~FE0@zv~*`& zP@t0dvkG(|{#Rd`jIqY~>yX=iwDCJvMoaoT%vFLZnKCA=4VYS1xV=Eu@Q?V=%>DVQ zUl%MZAa@rUGKyK^h1V5SJ_dMx1(q!2-bXk6wh{_3n+oam4iFD8;n zKEjkRCo_gJ6ury3trk4w{nEF=J&kF~C~g4@Jqn4xdg~?DB;ote1HWPSo}l$f1}B80 zdFTczhFe=U4H=8`@rG{4hR$(_iUh7Y{~Z3te9J%}IzeYv|J_AC(K-NHW|XNER-b-w zPEjsS@t6NIAGQ#_`+)a*(kf9$hV-_8mE^%Un@P3awKVR~N~9KeLWn9G>fI<8{M__= z(=_c6r%fy+MdYO?O>~c)+lA;Sz224+2GPAf_(4u$^`Rg~M^tA}@JG&XtA>atDMyt& zZZI$t=qjDT!qK9A{@bA>jm2z!@PgSS8!(wGN4v)Y?+;JK|AbzA_9GHxX5aL)ao>XI z>dcDEX^uO-@U&W^_Pz=>Sa{GH|Ll7gyfv#s=9As+axnKy%a(KSHtm7K4{oC5f!tcK zvwALr_oZ;Fo%colWUAp-{B#1dB27R%%g;FJil+1`gLmtoIdxQ)!}tJ_eaZTg9=uLh zvA>Y5pOjL<>84;~o3psgUsda?UErr(@I|}8N4vmNo4mVdvSLO^LqEqrMn%h)`ZH~qYe@&gQK4tgzRMn+!+lI?=)0D%!po9HW$K09AOyd;xw692v0ZZs1 z)dD}R-jy!ogv&A4RM8rLiv5*t+dqI{iv5#r+nLL;Z|~LJ6yJsruHGMA$d}5YmLF^t znW1C9aXIfji*D(Y(rZ-HvC$2jziT|oW*s1XUS*y??ourIBbs%Cdt%$AXz>)n+s-`i z`4GrP)8nCkOm9uuebq6mAs^#CbtQXRqq^!{Gz-+@W>9vG9Wl4;2V_Ahx%dNQ36{B- zyplCgVj1M0qW2j*qB-|`EuXi1=vh=FooO6SMsE8h@t z3rLHcQR05+z^HNAkZn+{oOw{$qskIewVzcup8!tl0cVrK6~S7GK{*Gdq_yjB={A|A zqD8?3?U&t#M}=dSAm6gOcDnYeI$&y;!IM?3PtsYr?dwD_9SswM4|!eo{yrPtPi+@> zpUIC-spgmL3Ty7#D+v8G-t+3|Spz|V=#xf@_P++56zzWrtNg8#RbS=Pl%Du)v(=Ub zE53bE52&|lL?k8GsOrTpwMLmVRvghgDeyW4%m$J?re(*pJCYdjpS@rp zw7=%mzth+Qvp>435-3AMd#Lxur&k(Uep2^Xm3Hv6Mt)Kuyc@=XQ`5|D)k`iQiYq#* z>T?F|)#-S3HVqMM-D#iAF3O;<-h&aXPjGgU%O~?NeUfp9; zsq$9`xz^4Ky1GYX@+tN*`XU|w9O>Zr0wNaY61`)%YDJHTdKFX{aGp*ru$Qxz==i@< z3{Ec~k}g-Oc`QmJx}9QYBSZ$Cd4-gKy~@um;KU{?^>@-rM~fEdfvh}DULh8Vg`;&q z8iiGPwT1_k3?kMEcD78S`sEGc6pTd0gICB&lfE>zdUK6v(g~Gjsq%&_l3KY1VGH<* zU}598V@3&Qc?oKZY6G6*(&Cl;SR6VOZ9+_N9 zFW2Kw+Q;)`KYwLcEwfJwrCUH*QY>m4BPs{(I3J4-s-BzckCXPLm%6SuDhzpG7tTe6 z+F-h=kLAEm7=cRsA8C^n_gh_0sij1d4iPQ89SaU8s&a@B)VfI8m}$0K2~NZ80S%R! z5Cm5FFR$q`QS=CfOM-cFPn45{g)jeR_q5^ zrhAt;wj(xIIc6X>S3CNIoYLv)?4%=Vs9+7+3Tm;_M=p5RnS&c*uSe6JrXOdhZC(mL z7bq;kK~~}V)KTS?XXJ9`1LK|IHexxIGU3TOOe+A86Zi|H32J>sV|1c_(_rAj|^eM&9B{#`jsp;2(*e%|_Fy)*ubTA=2HHEXPa zX|5%D2`V|L--FSRmitux3ZXBzX?QwR_mf?_Kp%e~6%P7BtMz@!sB&gPu|FT+xQm`Om*UABo*_V+Ar;G#D@6pal6+_?IMEU#tyLVw4?yai(fw3g+zxgGBQ?fK44xEw`c5l_b zqD=GP4-YM%x#(ZJBw@x@1MzHeBiKIaFVCrA`)i-M@dcykJOpj_9~h_@at4F<>r=mZ z<6tIF8TVak$!iKmA&gm6Sqs`=`y^w$^Sn}al?d58U1I^}XZUs)bOF;MS#UD$K817) z9;uEnOXVVtX`Emu8&t;Ec03*FK3lw7Xn*2oI8c7h)%`=*WBx{Q5EN|G@Z=5L#gNsgV3 zNe@JJ>@L4P7iXiLusPTJ&s##oAZQxD8T0&X`LHh!x>a^oj`AH_^Z`bPO2dOqKwEp!C6qN(1$)q3As%m9nEOnX1PgXZ z)XIJC%7X-(^tIKRtCRAi^re*rSExETY0cv8M29qBcdCY>^pMHSbG$qB z45l33@A>j>eNQ)`5<0(%3oVKZ)@M31aa(>G?nulHE92mdr=}|B^XyD z)SSgHDjULcyO#Q$#ZaEK8l%Z6dSE$2cPcO+BI5qWn~>^>A2uEiS;HJmb8WylK<8+% zMv`ar29)s+oM87JFW?4NfZJj0Cf;l8`3lMEhSV2TAuweBDuvhTplveQuxBG1U-lVw z;CQfwj}iq%;FE;a9wPi+^cSGMu$cOh#^8-UQS#$BlGY;eblree?ICI7K6%s9?n5?h z`-Ob#grmjLuVNRuU#uL?cxyD_wK0LO>_U4x&Fnrna(J0B*WF^;)quRn~j`b#QWE74lFam*X-WlwZpOs9kbk$Aa0ep_N)yaMO7&Lt@>31{Z3kU5Vm%f`rfc~UkQ+5!Jj zEaRTbv1WFvJ5Q+Bn7oCO&D!dK_3c^tEP7v~+5#A4u(=L$R!Z(MHXbY1CA@u`Jy>Jk z3YZy()M4zT{^fqkgL|SO;xQ#Os=bG38n`gv#J9H5KhxP5nP?y#-7h-xsirv$(svv$(sv+v4tCXmMTK-Cas?cPQ?~wYWoZmjZqH{lDZ( z-sDZr+{|WXZg!K|$(h`9o@et=0zp>A%SWrXu-KgwI}J^d(SBdkpy2n*VvOQkz;{_I z|FlI5q4@76t;iO&VUG?IJY@m}OMbetQked4r`ah&&*eTt zg_Q6}g(`S@Ul7|V&r6GHTAjpXduw~^`+`%g%YIF$@5(q)`L1`Csk4supP;D81i_(T z+vU{lJOC1$RCoB}e;q|}p)MqT$2%%@s@IFj@mXtE) z5BPzD?p>D%B`LMVWGRl(&tw6V_`v-<{A^?_c96E8;Rze!gc{{0bv?0qlz7OHl(;Dl zGYZ?vUuuv3d2){A7VQ7#74Q~2=#l6|!1$c3PaLLhstKN$6hA-;ZH4qI-Z3?DFOR*7o zsr`>};m$40Xk%;&ZABxd&LPbCUZoi(v+qzC_u{sa6ie{{A7WxrGdhSQ(hEz`*!>Hc zQO<-mx7>e35&Nzm?76+38hIUiXT+5KrSlT79cRs`UrwSs5;8wTZ=P;Os0)o-LGuaUrtLnOKmD4MShc4# z_Fy)mjcX<}N5KDydoyGwyv$hGy%=>(RpX&fgn0-7GuPF!n$Wv;^FD9f==rZZ# zu`J4ibd1yCd)%g1#N<2k4R$rP?-ZWixnC`c4aNW5w&z;_SjkWw8U7n>8RV>gG*(z) z((ZHEX=Y}IPL`?_S-@vR{fM0`)f{2bETTgj3#=eRH{(uqtqUlDCmi)1C}uN{T80Mb z)5^%sdGvk$f!&mT$oep^l`Nu4lrQ#5mM=O>moJjamM>1rl`jS;lxtD)Xa;1Qs((qi zEfZHA@=gV!+y;{#Du%ul%C`7?^-ik!IP-gHpJtzUz%?c@$UKmeKOX(8#`fHU@#&OY zZLnj^1un;JpTyM-{1<_BEp-F`f9x62MBi%5sc!gwPMd8hJdq(&_sBA`upFt>YENc4 zvKTNP`@=tt;J-#uwn34$xL^YH`H_>+|2yD?8H+v(b?@b1%z-in#<8v4_)gyJzi-W( z4~K0hW0r6w%Rfs#{)-Z_|7RGy^xQlzri57PVUfXWqQ9{bf5S?g4jH{z=ZHlU7wRcd zDru%}RBK`-ab#C}*^rkw{6dG2Hj_uK6)+)8Dy5saROJtiVXjn24pU?$SCqOd8_Nut z6s#P}^xw!w$bg|l78aWL`D|d%%=lx25&N{j^5huo{tu5{b_|Jn|KuJ$eD?%L(y&cnOUZ40Hs)f{(H3u1Y#=AwS*Hrvj`p^g zC0yUzC#zVk&GLfB#kfpIlxnxvTql1Sk^~BE-|s*WZ;a)#*AmJ!wp3mAI`zgK69w8PqIIb4-o5h} zJUYE~Gg;<`>TUzt=hP?D=zldjwR>zAK!I>`T!4lGWFp3sKl$;?22S5zvumNp+zaG0 zvOC}n^*(kQrg=XKpBe*DR|>x(9O}~zan=ikST=-uu556^W&}MSTfFkk`l(XOKXW<@*D}}dKHW|KPbD!TA%L4}DHL&b zlTbP_`}oF7Bw1fQGy3u>^8`o3NP|=Yp^2%Z`{#g30mx<8`t>wsL08hSicX`Bp7W2% zIsmQVt}S2Zb@A8T@x|u~%B4wav6RGV-dJP%YwKZ5qR6}n6XMs?IzJAdd~?TU_Srnp zH=AXD_Oo7U0>sox``PwC-2kpyff5~~-s=Bs6HU$z%NJ9fc}twV)o>YA`7d|G_m5{Y zH|+j7$C@%Wc<=nAx@yja8xkEz`w_AB<_^U*7~T9xYN0ZsM4HQSMk}eF789N9R-2iP z&PpI&W{0px>&>m|E}pa6U!6ovm$YZTrE^{a|DJ`6WsDst#)`1e#Mv?EV|mS>!}Env zckei=PnC^sppGBooOx9DH)ez6fi8tYF>KKRTO<5*pQZ0HW)J*5q}EZyPk5%;A@WYl z$EtCMy^(8rW-&}Txcq94L61{9CE_Kt>#=Zg*^ca=){Mp;+u4foUfoa`<;U*-K5s9P zD`xgNW?14O8o-GK<487%f%3T&6%1_t6`Fm8?H6w75iQSxIrtm)*{-aV^3x#z=(H3;3s%^)V zmcWABW+&mWgV-gVz&rbe-bVOK7Vh8T?htF9#VJb*`R_4t;-MAW0q|bNm{58$q3r?e zJ{u0)^Sw48Ek+=ZxhwxsbX+#giGiz=)($|QVY3+#WcbJZTbwIYRqAclGQ10(k9>P& zb=Tl0dHlHQ&&&#TsTwV}6ja)zX?rykvGM zm^&{6!8SO|<-95JW)<7d}G;$hn1pHFMPq&jFAI0mPods4iTa-*Khx-HV$tc2_foG8APF z{Dd_)L&b+MzWE9p1-OBWcZpwE**Y*eqUQm}ts_csaZ7h;xt1WqD9Vnp#xZeSdiR;o zb;(%&FVXi@U$b-M^3uuQxTZ;iPA6-sCu>gJ)j7tq^5r>dP;B@S`6k_hQ;4M>()@1# z`@>YRcqS}(--M;V21oxVqd>s>a|^#It-pWs>7+RO)+|Ff?rLSXbpX-qT}OOJYnc5M z{mYfJi-TK|s^uPTfK&FJITgM}S|(#R%>I;E#HM-IQk7 zR?Y2YyS>h7rf0(-xLOpp*icFay?qR)pGq5G?rsq&wouT~y$5#KkZj#*U`J}TxA+U6 z5>uwGPgl4(5_TJv^zLs)O27$jUOlgzMta#q+8OCKg>7AjrMo^xG5 z$oND_1?7Zh@i{?sWv$RgasfN7)TIjgnBU0iIwd95 zGSZ;9=te>uD{jUK`~MxOQdifJRM$boaAudHk3kP@=pka)8$jDzk>(m0mXWbNmF1AI zNG$;jM4Q2>(Ernqw_ZTt=BCq1oIs#a;iu4`5hw}@#)`Hkci~${YzO2P;reAw;=yP~ zDhA20U=bQC$c3>upxPC73m~9>pEY)A`oOKrD6)c0EgCkmwgt%RIDKHQ150U6S0s*5VE zh`x1x5ox1mxyV+`9cEUTyujUrN$yOka<|jI{0Sy0EuLzTUUNRnTF++snp=#0Sk^-= zP0yn2vuVPgXPBBUFSDuE;yT769=js+~G-Q zk1d#7Mr$({qv4%aTw-WHg*)Pqyn&Lgd|Nk@fs^NTzvhVkcB&7uv`VdBBz7UdwrZ7B zPZwG*rdP~g*eGW9?hc&O*WsMAQYVDG!ia1<@IH_F9JVDs4IYfxR^xZZ(e#R>rAw0U zq<@~sFGvH?<}by63(IxEdq1$L`0|7oBmX`TC-VE-Nbia8`$UY0#I^+5$)hk1wRtX> zM_^V4ejbIW`x5|+#}o7ATjr;Rga&_yA+@br^ztcTGE}pjG6?za?)^xYS6J+{XB|<1 z<19JhKIwOd-qAfmBo~g1_9|&R?bPTWp^7xRN+gDi{5<)eVEK7FJk&gqZ!E*aDmb5C zx*sr@6~X+ie6m=J5+B6Zq@LP8Ht>>Aj4XpYos4b8)>xlnoH{pV3W(_O{rvTEbh)_n z6KvqY@zCPfFIWzr8Tvp}NwL;4bMs`Gbm$j(-$CNKGq z%nDpvLLuu1?VkE~bVk^NSFZtYYxI^NS=Pvwv&hJf7Qk3?BM3dfUQo}_@xAdWHm7FV z4bFF6aNWD{*UyF)AlAHe$~|15LWgYWhA$3(kvpf*2Tb#!^{I67z{V!HS$d1{-6zE4 zsvwOZp`I)zyYiBt#<9J@jHd%)lqg>gA9MGD&0+hKxgSa*y!w#>HB`Fu?|PZZQ0EHy zY}2A=a7tBRa4Cb@AebdCa_^t+xm&*xpFdL(Wmg9I1WYK2?$`PcqOX(Jmlx(VGWx9y ztv^azdz=`trjLN88_=46e>2u}K-8b9BfIk|qjiyLFtTc|c~vUSuWLZdrM}aetW#puz`tHW`ws0W&pHJ1I%+6imaGaqSfOMzCs$!)2OYhu<(2AdgDJnt(&)mt>L}*3>d4P6qT8QhFjbviPbtM*A7`OHv-NQK zc=|NAk(nyNThZC?TE%gBl1D*3BHK`PJfr1@WO@tG08ZLy>QiBWEfB+C(k!lYYL3{x z%6j`19=B&)7^$NRa+v_$2Ed?6$*41ojmrf(v}+LI?LbAR7d6reoSsstd+QQGvp&w8l9kgN_{@s3*9h*itta3biwgeDVwroXbH-UtTy5`p zvk7KB&WP??(cOPMY9`9uDq8%y`riFPqw1Z-Ftx2}38^1Q$;4F!Hb6R0o-rxEQ#+Oy zSn>;>m}Fq6L``-QNp8t#JR56tajt9_LJ)UYn-G!V;yA!K3G z)y)6(2*^nb? z&)UsYkJvm}j>@f-tL!Tt%jmr$kDa23==!`AzJq!!TRe>&xFKDZP7|tX+=h>4BTaMb zW7vZoso#xVFk;>u#blbCn3bAi_Fz3uWkC(oStc0=eEf+&4LiE^+tZ^%C$8SF^h+2G z{C=1A6PG|#CEIT>)#KqHH%)n?k@(BX=*?i$9wt0Ov;=vA+xQ9XQLSMV1G}wTfNp=o zT;)Ml@%bYyTD6Me3!I)~6x`p23k$hk7jbdk?COhtwoe_stRJh zE{smkGr20+FzWy+2j6JC9|;fmef7$&>3ll>+_tvnau17n+$^pE)d9TjW6sgLk+0v| z>&Zd2db1NPv@CrP-c5{oqO$b6D=muXj$`us!;5DA`EM1{VA#yevp?tgpS=icmbM+O z2$BuJ^@C))Lyl3ERLfruP(9mk<}TmkVT}TRF(JKRZkZ~@S!{h%&eeD-PAcoi@#kzd+XN-$jKA+ns6Dtd$|L|z4dF8 zHYACzPEhgn7Jo{9nLP6at?$UAfR9tOXpLjrm52L>E}OUHv2Qhoq^U_iTPCSAIHYz{ zn%7F7=)4&LwZ6W;R7!vQ7V;tB3=+pJ33Y+lxzg(|56zux~F;w+*5$tJW~ zk2jq*)}VryPZE~vlohT@05<_uqBJKwZoR8QU}VM45o>R@qm@~Dl{N1aXHs4!HYTka zP~nZO{ejCuhmbGKDpkzc?He~L zZT{Yhq_NVe)_P!2A|9kAE;C!SH%KXUbBQlA>IBz#sp6i@ZEA8wR5>^OeH#FNfk$+g z&&w{cjoWztdG(CikL3k$~mW1g9)sfY7OI6cq z?s!nC+w44FJ%eP}xM>Y?8THAeIjw{u=9_#Fjvow`=V*)r|JLN{{_uTl!(T;Y%ZU<> zc)S*~c&!qtw+pdE9g0^A;^|_JF}YUtCUv!6TIX@#bH6!!!l>vhz?^&qZCsUnt3?PX zUKxiV_(;w*Rt@c{VafaXwXaSgVr~!-b~Nf1{VVCjDDRLP=x9G_yl5EDp@`4;it&1c ztZ~w%|N9=nZNg?8Q1m6>JrhYp#dX$;^}}~|Aui#r(H%QDQ5HhU=uiB5-+MtgH_e)K zGAwr)Bwcj%gz1RM^1}fe!2w5nSXOlA_{7K{OL@3~-;(H}1N$#oIVD+DW!&Stu(9fc zp^|%IiqIND3Q1B}wRlp+Gk^3#eiHHhw2@}LG!;!k)))_?Z|voiVf#KG60cQ+)=6=8 zgNE2K&xOe{pClN{{Kn+#-yC^gDPt`bdC`8$iER7;qzt}XVaW2u<0&QVE57iZm?LiX z-WDJ&(U8(z(w`9t5fvTkP3v(8px*d1B*}FMy>kHnzO!14Yi-B|Buzw`b09$OV3y5vdfdi~FH zR$j*=LxeoBI5=uA9~CqX@XDqlrovK~jo`7`Mfv)YM z#JXIJ`=-VGt3swWKF{G&Q}KIE^V8nsQgAv8294fVTm=z!2WG_HnX;sC$z<-mOSy|*%qJti zVM8X$0uG9t?50VRUOs@wjz*-+$)gMhZ28DM>?MaSb{~p}!sF0l64TR`=F5B;@hO8G z7T^-}wC+ICz2qCSaYT%gMtfYRZS~qAc&CYgHE!tR ziL@fSoJJPiKW1yXOr9ofPU~}sxbMZ4orenBz=#0Tnx#*EoR`(wGxz=Y#zk@MWbLWv zrIjuZzBj0Cu~{Ekk-4;-A*Yow!((HtCDR?$qC5z4Hd%@k7?2Pkl#RUPcfCPrG zBt{D!T=np?YQXH_kcb4jVNNuou9Nlt8AFuAdI-zCMah-L>yA=QG%jvces)h2*2*wS z`JaZZ4;;BD$~w9}JREI1$iF}tG5I@K{oAZvomZcx>|<60;2(<}X=UH!g^`VzUNX3> zIJX8y9Pz(aEyMyvaqeYj6IN@cNqpJXw&_MQHh}7ioahX^hGJU1Wk;&tR@%+gfAA;m zJ1c=yIvy}ou=0I~BRV6NAa>>1g#ddaIna~Q(pL#BuK{Hy)%upx-#(Ctsw+#govGgs zWS6)Gi(_^ey}yUlKpH~GZ?AAK0PX4*O`dS>xeku#iNd+rs3uqy;@z;Lhd5>!DZ>3MhsY3`%W+zOWMK8m}^9R{Z^8 zuBW!^7A!Z&oObyeIl6fXb~Zg@iitvRR=q{ax_KiUHA*d}9jYB#El`~`nRcm>Vy-b# zIr~t|6vnnCs?hyhs}7l^zy99eK2|l?2{L^C3CyOgDo5-9O@~Cqfd!!1oUcm_8qW(C zFk#?@bf0InNQn;utj?e0k!h|72Zd@jkhzoRcS{^j7R`a?LUv$1AO}LjZ3{d1IY)!I z4CJ|RkEuLt9b`IZ3s76I_^y%t7cyurfUV?&6knUUV#tk`SjE>G!)-KfMPYgA9jY$nG)4Lk zeN5rHP;Pr&L3~mAu6o8`oEDUwwQpbLtAW?dzlDQg>V8W~!1duW{WM+t3Vk!s$L)2= zbKr3q>0$jTIu!ibT+zQ@xk~N&J}U(-lSOpivno@Xj5R9bYsV-M1)n#Z_);ioNc&7~ za5Pfa4`2%6-z&o}iXF30n)Nb(Ba~AJL)IFVfy~}3isnKSE8yK6`?4Q=A-kkgu>Fqv zZ|HKZfr+wiu=oue=+GSBCQIelnoarLum|c8{W!QVmT|wqnb>lQoh7T7O2Com^SwzZ zo2c_Uh$5FsuVLn&^@p~w;Tz}-2hA|xXFch$Ap+IdiX&EPC7g7V>@f=apdk=jOt3hC zXt1I*b#_^Asrjd)9ST%SHaS zJXu|W?5z1+Dz3i2#6BT0m$-xx)l@`vy!tKn#@drwNxi0ZNhr#M7+MrDNKQiHb!?;)_I`7#g?=Bm|An^hy{nu`?7_;gLhW`{BiHG7; zm|<({%qJjQ&2B+ik*|1bj@>Hnsze!|tPDoZXd!{fW#mhLd+@WQ4{8bMt3X6Dk3vhO zQ_TY3-N`+?MAEDh`N=hO#C!H8sjKz^&9EhmR2YsmGpL#>z`01)7{Of_l9Fs)DCtdR zcF0H=vjY#At*L6T88}AI^>6N)C-*RU)(7jRCom$P>vbZ1vqk?}(t_hPvPGGXG!*R2 zr7(_ob@(xhddJc^P5Gzdb=+Gmi?Cv4Lc4#ttHV=BWlD45;D-WmpsU36t8+PK7E3HX zM8`P=O_TMP3Ctx+SxiL`&3zM6$$8ktMYaG;v+gz?$~0EmQ-wFYaC+*8Z52{B@JDGS zn19n!?;-&j(^MXkO5Iduw#$Rz(dr9}YDo`$`nE;-LxV_1Ax5f5i=_Rs%UG^aE1w$< z{lFI`LI#wIZ6-$X2u<0r?_9_PZV0L6oj;7GA+9STRWh(h(DhVY#Z0-CI~@PaOO6up zNZFeEAl`auY9zr&42!5r=Z_CoLgr%VQV&rt(il2|7#IwezVa_vE2(QqN??~X{Giz{ zgZUD+rGPMlJHMo*_e;;#j61W?CV{q&_LCyve_t5yj~CA_w$psN=w>f~&E zCSlc&ulzLWoR8uq%*n#(SecaRDQwap6%?xJXkVA1araeYO`)Tu*i3Yt1xPm;A$2Xm zB@vhe?Z4L^un%H1oqD$D6;Vhsu=saU^Rwbo!PUj5rWp$md{mIMUc@l?Kso@MF{&hC z8KbInSbemOso?pY9{K|EB^O3T5LsF(6Fjizbo#h8!&xkVJ9td7#5Bm7GKO}C5!CRc zaWCZNl&qra)ug57y&3ALZoyq~jZNwB1H)Okx#xJcrQUa-u9Nz5uVxH#t0I4i=~Oim ziD^`jN}<4_*W`}k;d+t+&(m!jVI5He8~v$zG6eig%1(}!Jh2L8+L*L(k3oH|t(|xR z$pWo+Af(41=92lPf1N;eMo^Glzk`GMq?t&kCjH!(xT1x_8y(XmmRVZLa|=$>?J|zl zWuNCd<4k!(jOo@{k~zijTAWqL4k{1 z{ak2FPCeU#ZV!({(Vj#FevK!WQmi4EM2AAC9F+DnTIa@x?AmB?BHUD%d;=h}%426+S!!AiBxdaoY&PP>;= zs}bsNi318$sLH7cViB-*fruCbrh6bHG}AsYWd-1@es-=))9P?1wfG-kfFQr_V_{T) z!HO`SCLg2Pza12V>Kt;kbk&M@PoOPGiJmKlm};G+ozaX6i4r`=j>+7};0Q}HT7Lmy zkhVqe&mt;T8PFeHr?ev}s}N0{h2T2CiI%c9r-)B3bnlKZjGTziutRc^RR+}zsrs+| zPAeY%H9;)s_n2%{mE3M14g=@beMv(U2A8Wd{2$z58SO>L3`l5q95>`Fyp4K&`bu-$ zskED)a+_ncix)53nVr5tnx0J@KBD-!(Ur(5(%M^rV%nx=VoMdV^#lVVG~p~IDVIQT zx*KywfhY$e+X>d_K9Z#`dxsG?DpMq(t`fpcMTB2;ht?2MLo@5!$+10P5Sg{C%smVt zT7BkbI(Cw5uC=KXRyq1Rk)Pp$RB@a_% z2)5>55P;?jnvB`j49WajlpHH6=4e7e!X}VQoq{lvv3UvC)|NRr%X&qluR7LAM$-=u zTy?5@MYXPnlN#AduQtt3HnJTNjpb1S2F4NwEu}1pS@+sWGYD?-tNEMph_2Gih;AU5 zOjKsfc1qU9MqNwqsOcI-d%c1OH;>d$JS=#JJ{gJera>xv(AnAI4Q44D6m3XIUllRe z(Oe#p-GW8rVx`RJIv+dRAlyyIwo0oM~tgrT0)o!S-F~S;;n1r4wPW zrUu#snK03jzCGrdIu8An7&Mn_t7|OcdN7!if^U7yJV$;JE~WM--e!*7N(^5^VNI*g2M9x>^khif4;8zlMS zTzs^HqeWegh=p=9`Y;m`46`8*dkWW<3Y6=y@JKVDqLd_!8Ss42P;0A{Le1;ht9D3( zA>3asHjNi#4vpK&80m8+2Z8TTFI~;kV1z#mqg*I}l#Nf=7=$lucGeK98;bLrO?eZH zkH^^pV`gU0bCEXYdC6|`BOOZOVF6~EQpUr>Ts$gPh1qrvKx1|-W>tQp|jV>Y3$L5Qg*nPbvHv5K5yoO@kJ4rl3+}uvf%*DMSu)Qs8KA z87`#Wr{clJ>{!L)CuHK>`?Ae|jJZGpgQJvo#b{+nJ8{$mI`-I*Z0` z>T@iED|4_%3~q`2G-TVVE^_SBX~8jsChk^Cf$byRuU8=Wkc_N$$v!ZR^L29im9o}R zWknQxb#s1ARqWZorVLyFg&h4B0MAMY6OOv+`WJjeP4wz_GSsG{>du#b6lgcvlu)_7 z0|mypQW&+^pJX2rWc5Tv1ChiM>aQo#ScUZ&YpofD5`k;ssDxVdBw{hp&Vyd3=wwj3 z0kw|1Iu@r^r)XL?%Y`T&)M>D>Z9ZQH`pi;Hi?pWW-g>4EgrfQOb3p7}!m;34?ux7g zIiU#g8=AP7crzsb*CXn*n*Fx;X7q5JHO8nL`6%NUD{=+?pw^AILJV_2@3j zxOWmk7q^tm7>0zzJp6XY-v~n-Q$jNe9S*O}wa0}fBHl`4u{;IN#Moxm zu%`w})_p1%**N!=(bB>)NGo;OOoxfW1%Dx%5zBNI0N^4bks~5O=FO1IQp;3jh=3}A zQr+O4W$o}-Zd*3(*6=c;P(sqREVxf26Bl3Ai;{9*z%ZObnl0R((O5@K(26Q!^gez! zWK#W2kX*O+9-SIHmDjtG%g6!~lf$CSlr1%1T2@WtUX1}#|HD*;UR@OnP`Ae!(F8BR zWuk&3h_QE~8jym$4_M&vTB{Dd?mM*TmNFTc9POX!^xdy4X}c`RQskQ{5pW)owWB1{ zBhgirTpZn9)V_VHRZuqix56t`}MxSCGLuN0; zqhH5Jp+Jd|QUzUrdPiA1f_@%!h(bxyOraun$Wv_k+`f8)vG@4NwW!>2p>1hxNV#WE z52>S?*F#hr6NANpR#Ld0MsW&ZA8{tIAe-qDr^vvp;uPOygA3gz5mL((Yj&*E<$=8Ji= znoxOXV_D$o56=bKyo}Dy*X4aaJPDF6xew&!7>r&P=70 zsmdJ#`hY#?#AbpLlqZL8ONZAD&C2(qx<8butWZC*DnsA+S9CjdKH`H3$0PW}id?ri z3gP1KF;2-HWQIL-28RMGj55GD)yosb7-mRHXw+9~K;$M0N@oalXaBl>Pd(%qxt>yD z7f&d*=XOGaVl)K1$ni#^4GoRS91E2QWhME~Wz#AJUPrK(n<`T)t_=v1gL$D4x+0@T zHR>i>t$U&!qA>Pa@oW`5=q}YT2xhm=ZNzl43Cl}xd8!GXxGsypLQ*vzWk!4I>+iwY zH|_pO&F9#6TRaF?#9U2OAp=WG?zeLNcuzrihoBQ(J(n#4TS^<{9z?6oZ|cjbj{5Ak zAnWT7sKPmcAD=0u&|LIRD-+t>d9;+T)QO*n>TWJ)K7 zu4|OYU5x2;5`aZ=_+DIjb{fqqBcJymwTjX0f0D^?W@YdTO8A=PNNbkQucFwM(FGWt z%$N|r$apPyDGxotLOSP}zQu^YPKn7~W(>7j)34YU(PvX}uBoELkNCwe(2}cBsneUr zG?u>aD={U*xAPAjHN!f0Ci6+iob_SW%_K;vZ7 zVab&k9U=F*6+W-qFdgj2x_d$HAAIuJ*8xCnwh~RWg$m7tB4jH}clIgF5D!^DA~*>z z1gyi#OygZlkP@&*DjWk#nKXVd&9$%a`_3(En(r_7HOLMjpiK+8;g_I{1&%mZ!s3mX z`)R!1{4h2_)h+G@v3*||hb(WVt$N+B2o;e`RbMOK3rfS8alTj_!A&?UHmH}O`AyY`X6CdEzc8wMh{9PPZ@YU^N^+4aEFE!?M@we-i)j(Z zNoP!$_X@;x(x56@{@|~cN>_jvqkw6UJ``w0pRN<4jQ(auz}y^?WMTAco^aLt@|Lm{ z&^4-E867xtS(FEVn0Iw6=_+`S)iHsGtGr%Efd zaBz#QX|*|!v|Qfud~rlL4t%n7PD$-Z9Kz_B>)GOiFCe6fKNJ^dTU_|qa$u{lVm}Ch)|VKAMYbkWx%v_2k_6pi+m|$bwT~HeW`QVk?~Em(9`_G5 z0@IlHw#chTCChmqgR3^A!awoB9EY|u)lNr=#X67~Mz7~)n)hgT0-X|;nvq?z(A2O# zn62$aDwu1x3-=3Ctu9M={F<c>T;SJTt~pE-D4*n=Y|ui-{L6p3 zDztVz2eZX@l8yOYiWgaX5ky%Bpk<2b9 z5=j-?(L87B*v>9eIYHyfv6W`UJ?0DZSR1qka-?!Ys+yRhL8X+YyODdj5@8eYHblQ) zw58uBNeA|&t(uO16P+!NR~;D=FIOKal6M`9)&Tq+=`xeHQ4+Q%#;@r(A>DDjEzSLf zHN-lL z%AQJ-6ed@ew{Y(vCojZmg_eQX4pU@2MQGphDDRJ3O4 zH9AZ~C7+R^%khjZh{Jnj!K$R7gu=pSA)$GM)N?}V(0cpy_xcpPbiP)x>AAjir`Uct zrovGsKJGSzb-fI!(34W`pv9*VC>z}4k&&30xWTpCi|9;w`jvGY7xUmnEUd}Spcm6D z5&Q)oRY4(kuZWGjDj^E|J*+f|mPu0q84hYlqb#6}cs!9%(hHVQQbm^Sx>TN%qZ2nV zhstY)=$}}thzP-{Mt?qtFX!PcNMp|E@MP*y9u(pX)ueNmhB(L^1pVW~7 zmj^^qP66|J>IEM_x}_*4(Tlhw^w_f`Ub;?=+#zl(_Q)is3?dKbLFwwt@(msY79KZv`kgB^VZ5( z&+3a|^D~?luJ%PQj?LyT1B>&Xs2-L9_-YBvZMM>m1aO!)@=f5kJL>-hS>V;quG<8q z1M4@k$xf*p>W>JoSg%ST@$nVpeiTep$96NKc-`T(ACX4n>_;2;!sgg!ji5 zi`z`@$IiXVO$pMW2j-&4(kibBiJ`_rvs*Gqju4%}1Ki2Ofds9$k<%xo0RC)!V z{&eQ_~)M}^@wi=6_Qeu{HY|EvZ2Qv2B5I2&}gmn#puf>+WtadXuzS2s63NWPKZ;J%u_G z$_x8BE`eMUYNH<0Cnvu{HIj-q>Y{hu$<-}X<|83;C6dU?-2OH8mFJ3=0JlxjKEz84eJ&Ay-JM1v}CST~--|M4c{2sGKhzKC0;V`;R}_G@roPg+md*acM> zKSU8CkaiA{#N5u3s-8ii9U2}K<)R2_W&2n!^S)iuS#nLo$e!HtCuJv za^3XRRst+hg0rO!GvK$mbjm*IAFTxA7te2(0s-Q=NgMGqQl{pTo4l{pjQx>G#2_Nw2=o zgU$i6ttfSKTSCu9!#o^~gzp2L7h`%_;Iw*YK}O*3zKOHW=hbGFJs03=15k(-lH|V9 z5p)A5wK#zd3#yqyWsav%lE3WKqCq#v*sQO{^fJhZxSNW+8MO6++s`Dp@sN?{@(pi_ z1(fX7Jt3Kx0Y;d=!C6w}oD$uG`t5aC*PC3NJZD=?~$<-9n8 zIT468;>1{!U8KXT_GH3U2H>aX*x~sp>V8ZnpjTeJnzw4D)Sd8s4jy|HVxg1;W(}Hk8WY?}aWlgfY1mQ}9 z*THCZgZcL!#C%`Va$Jeh`{1JFP{;#tGJotqjavcKLTzJcWW#T0hY`TP;3!8QXt;tn zXxz~GMhxWh)JfP}Pv9Q;%ysH*8lJfDsaJjVz-<11#j(s@VL)UI<1B>l%*E}Mw%_wK zpcnm7lzH4@0nHOS16wYF&X<+DxTQwB>}s}{djj2)P+o-)dj_~VU{BqhpuWomIPHv(sK7Q>qTu}u($Z%e(SU&>^FQS0A3mt2N zM8}V{NbilLf~N1?bAvyy6jvjyy2r;%ySZnhJZW4J{s0b=tG)PG?kJ{1*MSRUWC5lK zeVgOA4}pdN9^m#bYP>lT_VPZaOsbgeTz@Dj2u#I3|J{Pd>g0BZ2%y$b@7`rb7un42 z>OV?oSAhO3B72|O3Qu=~1Jom>u_}Z_09FzFr|t~zx3Vq zjiV89yMSJmG>gK)I7#kbwA@$#>((Tsx5RY42|8)RMD1joh%dcmi@$p7eLzMeU*xQf zDqCaqOxBBh615#pU2;&XSFoXZfVaiLI}hW_S~dss?`@sm81@^D`>*1iTBU2RXr7aR z#rbV|Us_c#R$Zz$%K13wfI0cSLkW2zj}>%5p15T9wZcpYu%u=-xDK8o(wZ1GP>u^v z!t2Gkt+jvHqx-$`X16VvN=F&%4HlIODgrj2ozrEW_U$yVi{ZsDR|3u^)Zr(2J38Ie zh^a-{K;(*;s;am23d+Y!Ot7BbUE{U!4y3Cd!FKSS2_6C;kaszF>(>H*!abOSSQr;> zY&>l-l0pcpVI?Eq*8bVa>0hj^nC=Z`KEiKI6gNSvf`pHcLdie@QtQ23Ze5OfvRw`N zDVgayfW*fdQ)BFm{6CuB@!WIKL;k@aB$k3hTP9x!ZKK__w2J}`k{iFXH#>ZKtoQoZ z?VOYkh6RWeG`zqgvyv-SDxDh{C_o`K6KbwlV{( zh-?pqxC`P+?Zb~;_w<)yQTJqLht+$1bZOLkxk=D_*&mXLj1U=r;>q9rJ0JVA(|4Zy zuGzWsGt$4T>DuB@R=7CqE-jvz71oDcRXjc`Y!ADpxIQcF54)~7m=z9(U0+<66_$tH zqBxutR)<|#JRvJ=4!gQ|+`Z@b*gg5Dq3~%qw^e+0cJciDq(%5scJ8F&^RwgiFWpf5 z?ChfXc}a`i8xjQV4i`T^yJUXRs5`#-cXXS-yW(yte)HH<;L}KMS^V7eDE!$Q8ReP9 zZyb9R#HhQc6rY~t;T&o*TDMtH8}U&;`erX;An09CYyWt ztoXvtw6pnYntM+1XFKmTzq{=$kNrd_y=PYZ;dH%pf7Pt`gXwyH0p{L1EB=-iH&M@z zOWg~LznkvPA2)H|JS+Zqx}M(@xVO!US1MxY=Hdsa+#6=at1`fuFGsm&7k`>VCVtb& zy`cE>46NqkOYV)c;??}y>9-zk$cOmc*U*@=4VTN45cN4e^Bx1of9?0 zFdkg|M1IaZrhw{Qv*NF(Iui4FNb#wu8e%06Ek3!kn4gu^P{sXu*Yn$%$3M6++66~8sP8-D5S-aac{zU#dC8B(opvfZ0ME!L?VF9Tu(U{>5h|ClvI1_pJCUWw=r; z;*A;1?%{fV^;j{iM7&{k1c+23d|%u9#H($4!qcVD$Dq1zD*j~WIkqKX!%K=^+j++PWDRL^yNZV; zO{m))#c!k&5M{h(R{ZMDQ|3FVpF6R*cT!CodDE=;&9o1J@b$Cem(xO8{VQh0uhXJV zPh#ra3B@pKzW1hBN6!&%Wd5pqwrxXv3 z4QOp=6c37dg21`O{bQb%d5_|fn5P52sJKte6HcC1Jowc42|R(D7b~%jz;b?ZzY|aX z)EC&8eIvBc>?nn)mSl>3dUpQYi3xerk(+M0?Rlst1?XY-oEN)u%Srk|QCr?`ey^lD zSdiOCZ@&Ew9{fHvW?rR?%wtz z^|hO<7BiLf;9;_w!d_Bf9y=bB1$9YE^x9-ULOfDUu9&Q& z11A-8-)Kyc-zAC5M~~`6Z75w`Y>ete7c0rWT^-kX6uNium`RWk`nIsu|v=kB_PmW7bIpGnhDh^Ke8<@jA ze$=n=P?lHypP1SU8 zq<-&24e?!#S(PSEWT6Mo~)xH-SorR zTwY9Iyz7hSr@g#6@2)A{n~x{vtb0cBU1?2#(s{)jCTf5Umlm(38g`55Ji_csvb%53 zRv<0|GE3eyF&fDnvK7f1AJveaL2CVr$6bJ0mlv;}dB04$fZknOyeEf`Q~^FuEnYO) zt#;2TK9T{*+bZt4#kWo^oUbj z-O}A&d|+Y?BwxtVerb-BA_Xwhx$bZVOP0l7vGWh;i|^n zP~0)K?8-gAc*pbscJ9vNgE^{-^TZu2-Zu$_nhfdpPe1|oUsJqwq6Q4|qTQ(FwtuXxBtr~mQBMA z#oH#AFu11_-#!iOg?m=36JDi?Uf+z468s&fd;XYi@h?k*7ZV;vILp+ucr`pR{@7k(-X(e22UK~ zA1XxR%4-j~wNvINthRfuJ>VXUREN}q&~W|wg}VX`H?~SNy#A#5&QbdaF3?mYnbNVRE-3xSE+i9r+FY!Jk0~p5Ys#s4gEBSXqq+ZT+%da z()Vgq`)RI1ANW3I7>4KjMk-pzd@Ixr3AEdw>UEy){z&nB_oE@#SNHF~zHtvZbAGBM zw^z};H~B;R_PaXf*HAy0JnEa6Uq}7Yzyj zHYM5fXuRD$AKJq?$>;Mh>b-kF>Y?Y%&jiVCY+bXuwr6u2dpRj9yJmBbJDq^AwHLI# zx!3JDZ+_O+-UFNaue9Ax9+jfw88p=vFZ2{j?c>yGv=Z6}jplaJzOP!{a3|2R=~uyg zCV}&+{hI<&_eg@$RoCv><4&Yi>|ecdtsFK>=gytG{hOD`nd%vyMdL`}8nWl2%{2$8 z&#L^O@B*~q9Je{gx7oam+iYHj!n1vw&C9q=LF>6@KbD4_UKDZw;bYF4pN?H`@4Ieu z|NgCwO}C47D*HHMJFvBR`POBZFWiM$N$D=~C2OJ0#aT(|?&$|63c}CA-7769-HP_{1q=6} ztfX`g&PpoxkgTM34^0QI+`ZF++TABDXxt@fLF?|D7If}@X+iJqpB4=60cpX~JrFpv z<$jTI=aBmjAk!Kl)5l0=?1G$ezl5r_E%)=YIZ2T#*Xxq-6h(*J_oMYXwf@oB>BJ_5 zXD{48nGst19I*TcO&$#WhtPd}(|w44x7^S1Fk5@ww{gK0?!#QL=L+}TGjLYr+wNh+ z1w(Fo&Ar`M3m10}muksT^}DcQNq!F}dGWu%lN@s2$G_LRAD9ujZMbj2oEx;4A4d7c zmirtR5CA?QiOPL)2L8N7LVh8v8p+Zvz?nI@aLbS`-6KM}a*qt@+I>SvH||j(-MVko zAuAT>oUB=e;AG=!O}4JlWanB<_O8?9;Cf9i-N4B;toqTKEZt*xW+X+hO1fnILedqh zG?K2}o{(HBB~dU6ZZb&}8Q}HQBq}njG9^nq0ceiQB6HbUQgi zB4X>Rh1(Z1rMpTpYg}`6%#?0Fo%jZy_~-fD5LLHuM>ScxXRt&?a^Y?d>C)X1(v`b2 zq-*!=kZ#;_Lb`R&)gdeQOikAAMol*ECQY{PW=(eP7ESiS(;qB+c>#~RXJ21d&MkdO83f`sobk#rgpE6nZ~^)W?J`Jfy^4#{sK+b?uD9c+>12Xx)*D* zb1ym7CwuqOnWhK#vXEZ7mxCp2?1NaRlX;!VmG1REx5jmEFuBsbk;(6n`(7IBB(K`I zYtERT;?qRTZ-oj^=*Ywz}|`%bXKEzIy;NHJRdETr{5w}WOP=zJ??B+z^iGm<9b zPV&mliNs#6b|1x@&`ma1`Ty=(f+nZd+;uc>qRY60=g!YeN@(IYVb@-}affKvK225M zJi`c9({a~h1Y1JQ-pM0~?&BVZ5hP7jZ_yEg9^@YHM+ha!b9LbkOQLj7ltksWB~iO45l|0qt{vQ5xF>6}bWhP_%K{ooqL)ld-rr&3?$c&5b>OR&CxrK9C+TXN8Ab4zS`QiR@ZKa)lqlS0N3o$# zmnN@tCyP zNO`>9NO`>fNO{b3tA%@DT2PKu#l=WfEJvzh<=Z%euw&FI}EJi~tl@}q!||Bryk>^A}O{|a~M zMEPVNiXi_hf&7vugPDHS!M!4NynRam9P&-7IYdd&vxZPhG zg?^pXlTRi2k~qE1SH$pbz9zmO=Yb1|=J{JQ4-9Lb=Pyh0$p52E94#*F%z%Wd1nMs=g(z`j@;qbuevTPQ0TeiH}{yqx%nh zKwIwRJG?o=h6BX;CsQ+~J8$knZfoy#+#C9mv1NK`BROsJ3Z60M{}pr*_No1APND4I z@G7o$f6BjyNVH<<>Z;gS06+`IXp(ABK!@m_tV*J)3h&LJ%#Q1GV{UP{y z_ZF|_KeP5HsQYKE(<$oyDtGC~(4+OU?@Lhk)j(az6YBmt_tE3|pWHT%{_lB=E%%BY zP(#HC6Uzo1$QWJ=V|bOu@T!dARU5;rao-ryt+$X?Va_~8leM>OR$WvsO)XtH#Nv0ZPiJu#$9w;j@zds0Z(?#UtDxTl14 z%Nn4!)}R4;YYiHpx7MHmdTR|DptshZuE{={kb`@MCYRpyTf?fw^n-=vZsM7dT)3M< zx^%aMbmeXh>DoOjq#JizNVo2G9kOzFXtH*9YO-<9)@19Rqsh*jrfXPrFd+x`d`&Lh z3vdK#q`fd^O7|kktZ~hYW2SU30U=)P{+tQ&koz1AN;TA8Iy+~6Ms!Fsim+j-=Gx1o z0cW(qt3c}Fr{?@`OFoFD-vS$Fk2Pn14|dm&Eq&4=ZekEt2Xy5VZaI{i;*}z`@*@^nP<%B z``91O*;DCo#24<)f**WC`<_EmDR{-X>F+{hTy?EBIM<~X<{QC}y@~lI$h6ePeDmyr z`MF7vo(KC2)Y5zl4$Nn?!E1Q5#NPa79?ceME3-9B*J$Jyu3G&-g@euqfc2sa^sC`s-7B5RZ8sM*uUvc zqyz^uleV&pByh=XC{ekSB~iPRB+;;?ONrKO9KQH000080AP%UPPopSyGlm@06<3o01E&B07Fn!Ibvyab1ryp z00=<$zgTQ|Rxvn5LLeX@AZB4OFd!fxAT%*%Fm@+N1Qo<3@{-KY&oe4p{Sff%UaXSJ zr|=YFu}oa!gSLyfQaQ5olHvnPF;|E>LMYIb!|BLhs9UX9V_e4&O>4{B(-1KCh)NN=nJvrFlxWVlJ<km8n54+|QqB2%(c1j)TG+*0eiZbi;FiLeYhh}sl6pu}7j5!-mn@$5$Thok47A4gjitp~u zlb0Wa3FpGIzAbX!9=LExo*m-F$~Wj6{1bptLdCiJH^)sl*YN2F(hUOKu5~VOh+l{5 z3L?Ph>7oY8HfB`S1QaNGSR-*qj_K=ipFRvYW**(ZmPsgMK{^t;uN5nztIG&QYr}kn zc+97=Y>e$oNDb9xZ=9;Kw&wEdPZI2R9KO92<~=+oLwuG|Ae=kxP&pr6?3B@b2T)j7 zX9v&yT^St}c z+D_d~Ey~R>ekKP_p3#kzXkekDlQQEd8C!Cp@XGu^=QbRd{VyV~g^cqbVo&dPkaOvv z753&B%d9Tb&`!tJ8L#TPiDRC#QMPo#tw`WVv**Xs@!;DF-ge5mkUx4lm#vzxKI)Ua zvnnM-oDmTZimsW}A1|>yRK}!cK|T1ZWqK^S?*h4%X@&(N+5(gOcW;Z_QvGpiY>wy$ z!gNgoNEjv|3SK)zFaVV>0&v98%RnT52Krbpd`HB@2G!?}Yzzttq5CGXz#cZynZcTO zUwVG_B}B#>xD2spLpk~Mv)KI74D2q>@P`usIM?=sNBi@Hl~TOnjS8D<)*G{|nFGZv z$@N_Hc{st;jQgfm@xVp;DWob+@xC=DTh<0_%ktHN&K6lOwKB}}CQTUr4@wn|c)*_@ z?1*6IC4Fl1c0!rikk1qBVsnFvjA@cxY=C^~ZlBGOF)JWl@wT|nG{EaV?GxV1c+gp&(K3&KycUM)#`xQDBv{}<_7$~|62 z;NI^gXTA&$Va6LEISb8*a8>EqOS=8hb`Rw|v8~Bo_*jSoD?)4v#78H(+)se_ zn!lj+xZkU{HLejp{{5k#tN+OdOC6Z0rmNsHBTXMG ztmTY?wia3Djs+Iigupfe@Cg#7qeaAy^&E`PJ-JosfrC=$sFoy+i$X0gPYa)#4qhY; z?zKCVKk|X`&CP;G7MwDRam4l62EqWk4%mG&!%^-|Jh(fiXwT?jw!vtbJ+)8K`bDJIJoYJf2;o= zx4BzMNMq+Y(rh*~d3bp*6oV~BXD1(?$qSz%bLAD@mvCAIb?bS^-f{S;7Zwc5zpv{; zbpdQO&Vf-b=qe9|LPd+RkSGX5KOLTZFti4^_3^RSxS8vP!z z>aVZ$mMR^aFiasmZQ)BSh%N7JH$84Is>pR2x#tnXUMCvT8YshYpOm)?whG=PEzQpf zq01kEWFO_~MPx7HX-7#nGfKNynpIgheFgyZg;otTi@J_LKCz!QOEGiw2F}|PN%R{j zD4De)M4h}cBZ=Ot2|SqBMyT39JyNbWV*NcVG=PphTcj2uyADJT?19 z^0+a1G8ch6XueM^WLRS*@0B?}fy-6tP(u}V{n(b3#_07bZ+NqvJ2Y4& zDq(yc_1jM`oSr}Z=&5Z=dpQOZ8j_cBFJtMiw?ZLw$*n*C*0th*eq+|%mNKGpx(L>Z zAZG+wfbw3uTfwxhJJK9U>$qI5#tY4)9dR@o?r8_FB4Z(wagkUj@xu!K*qx-dfVOHW zZOQS~unjtmbe=ez;F#%SvmSDT&wbKQv?4zNA5bopP&6Gmwu@GUQr6-M zC&)9;M2L^8%uVoG00R7C6)R4U2V&JH#j*efNUgw^OFc#1<)wp6UNn0Ho02zn*S7_c zywsHC?Aq80w}xxaQ&vA**>n#6#7(ciP>2=OE;BBHv!fH-IVD(!$D1%@D1+0TM;&3W zd=vjifT^!;tOcWRl~nviZus`i5xLQ0gC<7%wtHgjKZ-G%&}c|76(ZKqT*w#-ozTOn z>%3&xdXPg zE0_LIY<4v$RsbM)S06yVyPP@g#%-JDQ7oM)d8&@Nmq|1uj#JFv{xMJso=S4pub(G_ zB(jrPZuYxdP5im!!iMKWANTWi;e zlZgEplq~RN6?4V7?V$bcwM?56X{WhIb_d(!HTjCKI~OP%Wig9OjY*DYfPjm32lPNV z!P_xfS@54^-O%Q3(y9n)yN@^6xW}Y1Ab#y{x9QOI5~Bnu8G$F0J=5>xrKF(gYPw(7 zlr}vPLB^++IyY)&0#@-bqDzgn10y++dKg12>J|xcFlQXhiDw)W=X&QC#5C(WJC;Qd_5v|xaX4_aV$|^Ek8tDV+#wIK@nz8LzB1+ADX^H<*3M8tuz z*R0{ii`hkc=&zY~&C8hX0)==e!(C zFTm|xBnQ8{`0KU=GHnW`dy6~VNA!f5e%B8y?fh(pq?&$E-lX5{yWh*RtUdd2mA87U zjZoqltZbFp5($jv9Qa{nn%7AVKR}gjQ1{mRN`@@m;xd}(Vv>MPogTIf2LPPqOj5BTs%T#6|`FCVzS#`2#}GV|8Dx5&&^|9kHw zMk2DVJMFcX4TFZ@IPRklNw6*RL?(90wL*^wKsJ;BGX|u(#Uy?hcjF^j9DE<9HZVqN z)GofrK|S1&<6=PPll9}u*zJw;vtVCvA_TiYn~<~dYzXkEdOZ*As`plvbzKm!>~6Tm zW)w|qIe9^2Fhzpne*M;*TaB@3JANVvmT8B$yK!Oh)A~>ofIP7HYdr%r3@dG(eSlUO zWQMzZe>vExb|2(J;rnINS2tWaKb>1V?N41JWERVXP|C>!Kb!aOpeB~2ILCr5g3hqx zpj%ja0j~fV=G3ZCUb)fuzdR1^jjIBwG$Cms?GIc+o*Y&z<@jQXB+HHRlx_?gcq6=g z+o3T1u?A2~w(A}!O^H?I7&%pSiV)F%wwcy@Q!qp{-Wt@s$$-n70Y>@HCSyy;iaAn! z#<{uLB+~4T9F<2=Og9#BpY8saa$@mCO*L~pq{kc9@DBY9;M?EzQ5t#8=t^f=Up{wh-}@xmRFL|^9!!}h)!xvJr$pnC`(6!a5}sco zP%$QA@?GncS%pW9zUQ*d95*Iyj8CyD(ahBa#+(n9ZzdCH9dCn->v}r7R}x;#8$nxuKTpUf;6dWz4xV41?DfN%k0^JlfCoj*m;; z1bVY_a}hpwnatr4QrBRORq_j@>3lA} zb<2A*CZ0bXk{D(4E&7LsSb#$Hu~k7FWD*LtW1K8Sg?!y4nb_w^w^24Tg=pe^obE`p zE0FO*{X}+GL|Hx$+e4`EFc6<-i_M5Zw+@e>;+y_joombi*|&NcnUMzqZ_orh$~w8R zOFlekF3zv##;lUWimmlUv{*Xv&m^Q#|I2juB`iy75OEa`qEqYqo>qPq^JHHx?ky%J zvq!Hv#bDOoE0vV;Zq>O5hVceSr6xa%w^P~gZy)mPLy z6zc$k3O>~~uct&5^3^$99oE&`UKZdD^SlfM41&;jv5b3J2R{A5Jm?rx{)h+0fDoq- zP*@+Jeb9fY^}%zH>Y%4M>`(Rfrn_v#Cge?nSVR6IfctctQF%#?xzKOcTvt=C`?M}) zwAA_o-e6b2o*nB6O`_E)P^>|x`6zc1$b)X1LvTYaNDcU^o$%E2G`F~E0@ z>)o=Atn9#y@P`?kK67psD=)q$SpOPQbv|010F!B>O`Kd-444L6xx<;w`Vq|DXyB3Ie_WY#o@o8EFSj{=&LW*RkA=stNjjh=W%9!eLnI5A|)8RTIu-4sv$3MvU zUSDvh$1;!nfHfkQP{sbuekjUX&S2eG9$*Y z1feN~a&FUV9Jt7SFo5b0wJw=@=AYBV6<)7N8{GleLgV3$bYEb<*d+}Mhav#)u(1Hi zHNL)2&)lYCzidj)b2$mH^E}@x&*iNJb~G1Y`7TL$;VbE5D{$1I3IbdGlb1%ig3Kpdeb+^nrazhHsX8}0H~b{1x1zF zYH|nokjJE4f5)r7YCh;1nxdytV7m)9$tDFNOYjifma#ONO%cKMgX0IColj^X2ehC$ z^Fo(qUF{%shoto^uK{@m)Nr%$@j$C^AGp=#*ZKdaAFegs=WbVl6)!r>x7z#ybej~w{w3*+cad8YaOie%q(4}4LhVDY|4AcDX!GiCf_uBm@SPSBy zd{j8q{Br9C+OUp*y@xV34(L>T`Ob#XC6R1s8RG9MI8cs0a|BSz@KZ;n*HcYaQ9u3< zGGujbTyZ?GBhuSMPg#01?Z`Y4hOd`?yW{)o0#In__F?I;)D+8W>uN?mDX}Rvd0euz z72N!3<8bN1y=q$xIr5#sKK$8 z4{JK`rjgLyjNHT3GxwM7NFOEc$Q|w#LlV4&4&8%QxTgCq6*{llUBi~+tYmgMK!_iJ zX4-$?pRk(rqwC-3yg!>oWmf&j0F8&lnTX8%DZW)0YoO`4EkaNg5Od{G$S_GSk zlKmwm0sCxFKDttyjTQ;JzyuZJwEx&3)z(5nGGpV!Y(2!N<&1fS{hbNXiR&-$4my#e%6(hzwNh1g2 zxg^!PX$@{?H>bkjk|PpjKDmE#3n1L8RZO%=fa1#7e%^ZYp6YA`!5YCcwGc;;52bb) z#ZM+vx!~#JDeT8GGZ(oQAhxI0c6y6^I)+CZ1wba|ae`=3nCaww0MeACz=2&@4h9as zFdX9q6=FB23@A7RhTsr;jAiB*?@e0Pk}T+duOdqNiePJA-UA%eKj2~-cL=zw&=^Fe zmOdCRnalE73w^z)8s>@{a^bh_kYMqxD#iDkff4c*;J6$eZ6X&{%yfgy?Qk~Ne01#| zj8Yrp=UQ<-p(lr!1UDa^qxEE++3)TX=ps?$EwiWy?f*A51yjLvp`0{KZ#5JG!N+lR z5EvTUG=dBE)1C~bSXjnmc+^OF1YLu-j$Ya@bDPW;D$oxat1bSa^C+RgPQHj`)xFSE zT7iz!R6W=Nzzs)lTT{2w(xd8q+hi`Y?pY`P9{~}1nT7K#MdHj(JV-m3vfyI`YbP&b zRv`M|m&jMoPzN~W&uadiwAV*Yea$nm>XZJb$ka3}74Akn`yZt3)`&%y2i zro&f8mhn**T{e1EdRhcPFBM%mW#>NB@Mk$dn9TfQ%C~TJkyxyhzkGH50^i7MC!95< z=x?zL$P`A2xfSl#2b>%l8M>{ZR4qxP>$h<1ouw4JdGyMz+NtU_r)_GZpPo77=_`Ub=+rkMd4S8sl>Ap3^c_V>&J>mta3pO-`BR>;oD zl4KcfuG})YScIcYw#Mm|o%cwS#11^E6W{ z*EPj!tinFNn?Iv9P{^xbnLe2GaRDpK%qnO1@)^6G-4H$kpH==Jm3P*HSbAqI$Y6P# z;1Ggtrw|{>(4lNVVVH`Wt!noaS(r#$=#8dVa3WbP=<->i1?iSRx7ikOXWJlfed(VX ztr6ArRP(PJCxHcp_Vx&*#UYhh-&1}R7zpQacc88H&+t>owGeTy%biGtO|nLFp7>Vf zP)*~omVEa|)-U`^sYX?bbF-k$DLTi!&IwHHarCuY0I!1+eh9@hWnsiE1_=1|b9ES_ zDq7r8yHo#QNBw3472TE93{-%k7p@9YYKPrSd8nd4YpI!@Z_BBca;_-{ZwgWDemBdO z=Vt)aUW8&5`cX{u7Wy!cCRuR$Uy;%F`u$$U*6u^xf{em$%iti{rqD@lx!C4&bOwET zFjb49_$42H$~?>Y$9`EBVT=3Zq7n)09MgvR0_})*OhW!G6#yYN?(s189kD1Z@Ik@-x_Fi=hZXFT~m#)g+o~%GbsYqTf!ip zGBnRAer1Frdo>lUFO_REs#Pbx6Bl6A{H7azz(U%snAHO?_sx@BzAm#~4VKVJ@{@uq zdr5>_B$W2OJ-4FmhVe0=KiRiaH@bkuIOoUQRXkUo#x(e5^n8XDfZrR>t}|XkT;jrq z;Yp*_1~vPztQ;iVdj~RRHnuU&&}fF{y`i)zZSEI8V+bZiM>uyWgzV|*X&7C~j9c`2|CY zbc8`4B$PYR?Ok&6-@&_UuIuU%B*7N$=;^S?OR? z38?0y!{L=PK=}g`uxi$bqm+RqOjIqUNcCV}NZ>@^zNN-juJUUDc9}HZAwiknIGvC2 z2UW8L3dt8X!lz-95CFoWYsc9gfV+PgwH) zxMRy=DY4_M@&Vf-hjAoK8-pahK%lK^hgs^K(h#2u;%|+cZ7=1`OaaFqA;b|8x25nl zwm-!>j41jPaC79vmB`(yfg%W6ze0PkZdIEucVj?z(QuiqEP6Q*VQwDOd^gXieRWxw zuN}SfMf@W7$m9K*!>^V2Xt~X?$>LJ-mTX0t87`cO4^|?gJ9GI+iVOoDeM;??J^RB( zm6C7Gtv%F?O!d`&WDFs-4^Z2w#U1(ySipc8UzY3*Za@I&AWc=rBle$fGfsLN8_peH zc(izz$KZQ5Fg6YtOE@6@&|@i=8t^zjrKo7+M=t1t+R9JUDDR|1bRy}Tg@lYFdfIF7 z6<5C$MhG@SFl{Li>~}MO(cZA-8qB*_eS+yLQ&Nn)U3FtkEa5YneQ?b#e1IQjw~lT) z9?EMu-3$^_qo6PUzSgsbaa`9)(B)dmN}B!jI#gl=7pF53jN-7BtgS9&>QgLjF_ikc zFw~w5{v4pmk>~W3?5XpjNc`LN|EoLNKIIR9Xbf>~{{B9#u#kDu%ym`e{xq&F*@P2KtL8N2Q_W1 zq>28NkazAt65tpCCUwFqduyvki~S2u(F->isas(>A6GwCScFk^(==Eru5Lk#jeyoe z7K$8HP*QVLpj@)sLxT|rU`nfZ2)9P3yG2vG{yotoFn%pt;-Xei(#e>*y#|!^v+jcR zWGuM%a#lH2+$aqN9gZ5K1FxOTq$|09SVN;VbFlkDV>m0v$PgZ-h7BttKRcQb^Yucp z3cJ_!cpC+;AA&KvHR{Rxn!PK%r{_0;W-lMb<%z1?+v!TcWe%vL(Yt*Jju)&g>8Q+> z$0ORwYJdpCyIE3(L@(y&4D`-Y4iWR=kwB5Ze!g7j28#BBYE$MBYLcUE&IlU;RR)oe zxRiEY^H9$Dt)a&Q(W>VWGidg7%)(1^h~Ex-YfrmqQx-irH_bnq;mzC%&v;VvY(J4A zRZ}{NA#r-q2RIQQ%bb~g0g2-namHy$(8L%78a99QGT+zRA!Gj)S9w;}22RASK?5z$ zp{7=aE(@r7=-;&fU)^&R&PeBLKv3^6x@Rj(CtT7`4|;LdV|qkOAG!J|Uef8nVEskl|oLZZz+j!E&8>zC1a zCW<2f%2iO&4YNv4U%Hs7E2AwkRCZ3f<8>0tMNXQ$;jA^c*!{OE+e1_qYfQFk*3W0M z%=bYDR{ia39`|jw_9H#FN5vWFhin?+eSpCh`1OVEDf3c1_?jZ%+$zLl4t3I}J#m8h zBDGJ=nGVA+(Ft7Zr)>rTUmEcCcXL%e{jm{i_B6=)e(B34-xyYd8N?OL3X+Oi*@hVEIyn5K;i@a%WALgR<3 znQ=3@Msd;6^sku+Un=n>Y|3`jbCs#alx#y=^Bx~e_k~x9rzY@;to$|nd*s*hMEjJ# znV^8VhH0rUZ)bde!%I0<5wITHbF?kxeEcAl^vxj}(Q3WR>z9ypzh!o6Nfeyf;A%deQn0yni~*&g4ux>U z>PR0GR4x3+t`0XTuh}UqwV0u5glfLhuWbiJF4$XP6kjNMh$R(Iou>F=3> zCvJeG9|WKW+U+v@00z(0d2BeX_7SfR2|0=K$go(3sX6aNu)+CEMOUuOL+sC3JCoW+ z|ApED%!ya!t*4pHYfx-DfTx+p%cO4?fITc=r8m@@d`#eZw)lO7;id;m-47ZmqWVx1 z7u7C9(UPbF5~&4YDD61cnWCWEL!aA{O-J;-*)iQac_-cu=3bPQFes`D%{YsormT?52*4|3tAva#haOp$O;U$orO*~v_}-*CFQ!#RIFA8wl4W$ z#XsjdJ*Gqij|pQ4a>^AyHB!ygw5VksxHg72n`!+onIHSCFKG|PdvG}iFRam5P3H3e ztvX08q}5)odIpX0y%s82Ahk19G4QjBbqsMQbY+3l{q%o5m6-^)p{MP!xb3l*)I6%C z5Ur&QkV+wM_w0ASJ~)XvzcIM)$0jCz;aJb#?>Iz}XR{{aN+Uv)gIKT*kqd`&rIAg2 zeCjxf!gKsIB^KjSxAvovY%?R{M|Lw#mDb-=mbx6qBy{en!*FL4aQg06^L4` z!VR_kP{R78QTomY;`8TIu9G#@@Q8kkq&ASuW^6(j8ihr}>e@ zI?|!8Z&;yYaSZ-sLm7yrN{)5Ta@u~y35|sTN~QvxxdF}1W>=Q{)1`bav+Ax3Sabi8 zDC3YRV@u^jMhbgQzk_LyKtLUC6^}Tnf|aLE;T>)_gm6RQLKa6H#Xe&e@U{a{e_C<@ zXa+SD<-1VdB~{4gTY4fu=fjk8YYXxh1E(tX;g(He0qgLaaMxv>bx<8kx3@P=aCf)h zvT+aY?!g^`ySux)v$0^oA-KD{2Pe1&CtS{VzI)Gk^Y+wK&s43ad#zQ|>yNIk-*loc zuz0;(`xtZX#MV0(|44RX2flAf+a2to7~&DFW5&|hyJAVbZ=AHV(bxUdD}yXvkXK^e zFDWm+D#>I{UI;gbPf&SA&enyiCo1uC#!#+LZ_O}MADBWTUZVHFR_^{XKq*pz$bOmT z(c6r-1%;P@KFG*Fg3_*AbnZ4o5L1B$ei3+=HL>`TV}%UKvH!FnRCIkBi1>{sdCZA| z0amhiL%u-u*bgs>Si3|Dh9}GS0CD#SE%4VN=M1_~s={mhM%(W$r8$PJ>W!Ol02t;0lxD`@D?nXghf~{}zr`LuT`4 zACMz*zFDxw__i$FTk9aWBupS$qiTYi3UH`3o1LdGRAYQ=l5T~$f|pDKkHO@(Msctg zz5BeCKX#c_s1n86Tt!y9r@2Jef%W{2|1B_P6qU--y`M{~s%)xh;936##ZqAQBG4P8 z9^R);M;5`}QHEH=oM&J+x*vN2_Ww2?>JnfmUd#_0%q3Nz)YEql(b)dm@SKgEWuW?nm~T zi@tMzMqpIni48Xk#!IBlke<(%@lV|)OB;q}fG;c^E@Op*4!}=91i@o{dY|C4LKt(=fwfT8fN0LuJjuQeL z>toVV+d7P0!eRi~st+UP6{sI3F202v39C|>1fa8OD0m(Sbw8~pb0~_mAytOa&LSgS zWXEoiR3jq$a2oaGE)gwPR;$oTlE0aPOOsIa2^%SK)enp#On6O<$~*GG(_Oee;Ji4k zL^$0{cE(o`vZ38Ac+vyx~U8YK8TAfS57@{Z|`h@ava+&%v1lpJr51E@19g9Ao&OMok zOF*4vG?eFk>!j|AawBdYBaN#$w_0~Oj1K-Kzpn=M;3xH2beCVs5u~1Jw$ls<*n$&l!Aj6SM`ot3OM38M*00$(>YK5TmL4O_6UgG7&ZhJ*KIdIV zwnzgP*CYvyUaz?jXj`|B^EG@8l|H7U^~EvovyrTENs){hvuOe#;sPDA6KIWJ5G&r{kbR@Hc#ZT!$?`Dve`NH*wI8!qr%)ElAZ@lc6Q#$ zW!+%KEinIBHel}B;1J@i@de9B-ys`S>^Jgli~hjyBJ(u|KI5LHK7{&>0nyIq);{6%8MLV1AYq!-v`L0J!EC&-l6tdt*gKM^zq6SERb!F5vniOE+- z77c}3oO5fdl&igP&eLn0jT5ck!lcN@mrzgW}rTS3oEKT=p9sJ}>e_-BfooYb-u0MU|4=?(qDKbUt7EUJp zE{PF`S`Qu^Sw4(X`F@U+g8*7Va6$&wXw1Y?(VRm+I4xD>44WBB@ULN6>R7||So==`YHcwIq`!dbJjw;2{EHB zr-kSx-)J)dYoYCXMmOnSB?c1nv};jG0FmN_NjrGLd58 z)Z^v24h;A;#vx4R$Z#OU-_%rzK7P?QNsvC2t~|qrKu8fWbQ(^NA8x_{Xq!0~w2+9~ zyw@0&rriB#zT#XV9f5rZS0_j3vd0!=LEkCe+s$i-*W9Q%mz6;2G*wfGCHn)Ps&5g5 zLy5< zUJrTb(w(C}54LXs1bfYa1Uv zrt3|zvGlX2sb&sq=IM{8*Z@GN9Ap>u!$qu}!Anua0L;!hJ!l!acWe`*V z^s?1i5Oc1(K?X2u3i!-F~#lL{L3$2oHF8waAN-(t9_8v?WV=%Sv$IH<}m}*W! z*dgqM6@|2QwE5+wYg&t5o}t_I0=z0Gi}Rt*Se2#l(8h?EWWCiMsP6m;gg-4Gq*dc^y=a55@&)lI&_+JefK^fkn()`~ ztk;H{BtG81!C5G`(G*s*)g`>NG! za89zu2A}%Vl@P{+f}h0nVrY%-k2%Am3wEOnvu-pV+b^r)sJhij9}b1Rlnw`Uw$Q+c zcyk?d0!$hen$`E(^y7uTwQszztg4=$2> z*c)zb_1km?7BK@POU5Wi7mGmrE_ym9Pf@C6B=s=Jyoy`R^2y*i&~vKjpm2;gg!;;Yj{@@#YWNJ zQz~m!dvgdgrb%vCI5|he!1US}s?1d*bbu=?9FNkp2u}tnFu@3W^ACPlx zFN&#VR8@_YVyg{HRV;fD7H6j4_fm7`$R^ul83o;pn-n63r^`KciJL{MI7U5QxG5U< z(#7>cdnt(iG}!r^k=d76l3u?Ls{1jl@5P3p2_&a7C}@_hPif!u3TA?fy~`ydk;29q z9GoMCKlhf6z(b;*>vA4RbmgvY-U>)Fd@^5Z__nZ z6~~XA6wZF7<2?7)L9v_T>5(i0-eNp?d~FvQDg}Y(GHzJ-sD+Q?OK0W|FHHC>n(B)O zkS%SSms2={Ha5F-cf2Jofp07-9B;H9)0~r^9yh9`4)x`vbDn?CzGjR_XiLPmv<4>C_B4dsDo0<^Q2u$;^>2L;T8fFy) zPo~`h@vjQV`K|_ihSdwKoi--RPH{zs>lTktSZr7zzQeXTt?Q8DGjfiCgs&OMAPhxU6Ui84%CMrxQ=?Wgvd}}VUkG$jUj4+rq%lb* zb*Wv6zK0DbugYM_h82(@sMm-N=F1u?#sw&OU!?#`y2R+7n|0Nt(YH~uBe`1csT`Or z?c7t#0}G_4^9XC**{9~LQihM&HjbUurWNvN zKaQCa!omdI2m})=gdwCSTb8HT4HdR9frI?e}x52*g0Hx~TFQm=`%f^JLO`el#T!sKJ;*&6E6+QzrXrXgxHSI&Yi#qw^ zy)v^Xp!z(3-My`aX+8%p`hNLswiFb4l^LfwEW+?G3u9615w(PAbTieQzGV`ZdREI{ z(efRl;};hcT@YM<{$3MI+TBA+A3V?3*3_bkGkxvHw9v(9$ z0d@I;j$(U1S6F5GLL7G(t}NynizrR>Hawrg!{^;|W#Jpo;)Z-5{Q5%9?YjZ&x9?-b zb~JdOWrcQn!F>RH;>$N9khGoY-Br1Gpi8)XZ-#(WZf?)xE;IFYXP^46hJ~RDq2Pop{<@*3v;OT%nBv76uS% z+}}X{8ore_A63M2fClbOx{eB49K%7^?olhFI3&ICJ&c?b>Zz$j$&3midvDdVSP|1^ z;|6puUEsNGI1!or#lR4YpmQ3F6Rq{0XR|?dKoqXQT{VrT&m=4wQ=dMH1Z9$0UYeS5 zRdF-lVKmL;IB*LjFQyU=VSql-e$5Jvf@O->j8jJ7)P`Vs;S1Az_Ng%BzZDO5 zC2+gZu43c!K7ZE5QS6jnA&*Stxqx(V$fZUZ8`+^Cs`{~%59xrH#XioMn@k9-iyioG zhEr;4vUa8!_bM8W;&5SdiG2(T&g%_kUO>}^*u2x{1)avD*m12we@-8LnwQ4Yv?u)a z3n)kFNb`g*la}*r&n8Xa96w_oCw779kf{Ey62+AEys!33#8t;*v#_WFw!1Q47Wy5h zoMGHONeSU&<;CHZ7Q8k}w_Z%m@`&c)U{)=DWvNAsqL=T?vCUu5eU2sXM0F0ef%RFM zVHs~qMkk-Te$6pezs?<@tF*5+GX7zWkvx~7w^ME~ z{857sMn^U_Vvc&`(^43^S&=S%)>BEfMBbEGmaMq~)e`-)i*CLD5vp%GQYg16cPyAu zgBP*g4ok$F4GK(#15Ko9F86E_Dn3?xtJy+e;?wdbXaWU9nAaZ3EY)Kx z6XHvi>-~8UuxubwX^e@-JDtNbI>jDNBlul|yA5))6 zvo+AmI?QJXrNOA~O|>rkMb4aNB8+g&_=wqRkS-K45xaAzda1U3^&ui7i=+G0)_j?5 zBdXnBhr8DIc#}!AroH8gb8k8aJ({l;{Ty3(>GNoqlVWMO42t^QGS_8XFQ#C`bp+_) z_sJ_m3GJOAXLJzg}&2qu>peRBi!c;`&pFvD;p3D#tWh5Epr8ip>V6{FmEY?jlEzt zpdgv)D{Vbl>`x8VzapTWdNpaslf~#A+zJaG?b|SzgQy6Go0X`P72Xm>RHKB`)GP!)Mbk{1!BYz9^ z>I-0-npfcXw!;c>SQ`6Nu0+P~3J_~C&BCC4M%<+H)6}){Cm}`pUIfKd7IpV1RiQ%y zasPZw3949a0`7B|_;9Nb+(*p@JfERnZ#>m;d2{>TVQL{+1rbTV#T(!BRT8K3IDAE8 zalR!Ec~SC-GmDGS1ZaTC#t>0dyA$8^#*af&CQ85ah4$oKHliwu@>f=GdaD zQGEE#-zXp6uVPE=!PDVMv|urO_LMP+)B;u$m-^CrKVyqsbbAwcshjG`V<-uiVU+tL z%M~tEOHFR^)nnBzKrd+AjcXLpBAW4raaGpG1xryr1lY#YMiWo{w-^KqD>v6)Vh|+k zK<25Nr4oHwy)$wISCw6dAlZNz;ZA98wYLDeju+m$&tq8Gm1+ggWg2ql&CNq?S3ZvOCo6wtsX=)!_Mfd^Ni zC=V!d^xQTClQsxFujmiyqUr6RyT`cW*Xizyu0+2?gECe zak2kX#bLmplt2@!Uf`}?LDQwNif7vQA3#n%!a;k5I=DHqx#O%#$F-H;J*Tu>cIEYC zfD)x5>rW!zZavY{7X+`43P`~P!IyN*#UJ%WEy|;tI}Mb~PTuU&mpNu<8W)WUUr@rD zS4om@r<^vxPg_YyQH%US$A+Dgs7Xpz?a)U6`7eE`>!EO{yX=*em;6TH$w^MA(vU9& zHI@VhQZuK{e7?C$XFwMf`}W(Yr(#^0Lzqv9zl6HF!l$iqP`h|%QmZiQGkd)s zagk8!DUqT_OFrQXTgeka7C)bc-d*y7Y^Aq^qFj|ddwX3z1(BCf9C4NajfeMkEteRp zD3aA=hy3D?hiYbmWJvK%<3%ua9KaIZ8s<%RnKp*!Hs2=XZ5>8~xafYU`ULH3c~(n5 z5h|36(_s$cLjIzp;z+{6d6rquBFm6o`DW`v&vibst2ou0vm3ygtqj z_FeCL2l<;psCKy{V${*X=!92ZOxeAxe66JYYPo6bKzg}|D^A6;d`6xjXFTYDCTs2& zolAPi*t45Jm;^-^DI_GgofHI^3FoJHZQ9(VK+?$xw>pkFSv{DKH2Evpcn+W-x>pFO zUH2GTjpmz_{$I$v4KERgQlUuvRuy(+YV3wZ5@FuWWiPp zIL2q}XAvbMGOeK*f9rtd#G>J!iY~QS%b%D2Pl-WD{e@&&f^^DQH=X` zhppoZ#;7jpq{Au_3s#z`LEgoQV2biEeBx+#c6HLL;j?^RwtVINiH`nB=Desi(* zJ^MAnEV_O$75MG_!MF|Hn5v@qs!LJ%iLFeC;M}LJRKM89ufy>gO8enqEMVYE4AVh+ z2J!U>jLDG`-j23=BV&-l^c^|tLFNv0X(<9QT@2BKUXiywm>~aJG2q&*4YIbp1o~j|tli`xk$atl zeZ1J+m8gRB(vULXx*Zh*Z1^rcf%=`jORf-+X*&Fe(>ZFyF31m4d+t25&v&G_Ljj2g z6`cSZ{V(H1*`o)Z(BbQ@5mrd+E3ZU%{Hxs>9e3!i1u6V|0Tgs!fu-&QDI6?%HYoII z-?Ct`EvQGT&mxbjjS<(&hW=A>2VYIJRqb7B=kxoG^DoIAeM?0P4<`kCXGTX;duv0F z-F>*-{W{n&dKuXf4Qf^(bHg;Nx@5U(nI!GRxRlcHlnU$!wVJ(@oVp^j3Nx??*i-`q zYFr{BDFMD>Cc?_oE7{XigOA{4YU5^U;%4}p&|~5N{?nE1k%jI1cNg5j0088FyW-^R zV#MgG7RRE{$BYr|_7;gR1Sd7-ugs&C(<+8ZLeamq%oL-x6nkoJ@y73}@h3(m_v#C zAt_pRc(2P~7sz-e-lOUF>6V5%^TaW5{z+P=F*?3lvm}0YGt|mq&3mn*+OzM9agWIn z$}|r1ntz49!Ogh~YdAz@#|Zsxl<#w}g3i3ebNfSfkD#rTCcL9(HM-z`<_JicAPo1O zpPu&{?Z0zmVPb6)r)1U3j1ltVhHi2zN~GrD$5jFRK%I}dLKo| zMd;Nw!hP6w7&|nqyXLh@jC178K`1CYi@P}z&%a@8dIBk9T*HsgW9?Ge$Oge;eox+^oc ku&WwS#@bxmP}JRlnN`G;MN?7PP{~G`guS$D1T=ljvSyPkTg-rmi( zs;lIsK*7*}fPkQYPJDc154rMKLVb!bjww+&)#3w9P-SxsIn)Kg|p zB7vJ(sSfXN(#M#DQ%Ps^`rs{;#25|t#+bjnb>*e}32~B`P1$UM-N1f*Y!;(7N6oG@ zY|0V!x$x?5z8fnga{1I=AYe;0&bs39v!PKFJx9zZJOi4gaK@4wq2J{3$Nc%@&t923 z6@1zBJlv^e_=h#kU)2sj?i^IDUhDJB{3E|<6_D6b&wea*JKvJ68Bf{aPFXl%{GHmx zRMs6B;giC1_3?dbTzUhO|F4skkuK5K`3nfhtq24N4sf!BLvf0){})Vw#t^sGGV#2c$~L+cRpG6ax%8MzAJ*eSb8Rr$RDU z)0R6;HtL7P)6nEl(lC)Q{b0U-+V^GO^DLEJ?7ZY+h znpb37mgMR`q%_Q1madxTqq|Q|mbOBcj!Kp*a*}Vu?875 z|7FzPrfmjtN(Zs?ZtLdO@M_omRM#`>dKJaJ+PnWecX%?rICygSa&P)*)H-2T-E!)X zDyMI5eRK14b$r>IS-#j{$k}F4Js7f`_;@YnEqs^VhR0xMuNrX0?Akwgo0*AN-WaFYniAJsd!V_4!KdiSeCeW zdU9xLoxjTN?BMWrRcN%)c8p!c#K(iIk-%PQ#9lFqwB(AkY#(Jkg8ZCPaei;Bz$s4V zakaqv@#oC-3;ooQ3pqFc%#F>RA3f`*GK--6?-c1%%i%|I=0g;IKHHcP#M11RR1Y9w zz9><|&{Gx=h3%LC~jq}^M5It4Dt}tEKYkW%<@TSVzQ@(Dc zG&U>oU28tibD1Y8#8_F_0w zKYm=ycS0{0b<-mT9|UM6oZ~(Qrm?Rx*fi?Ci?;dWm6m9FLGfu{S3Or~mLbM#%0xRJ z$whNw9+#hJab$Gj%LKpuc-Z$Ic0R#u3&6(MX-#3i`Q=`5^UGcl^3q}0yNmMi!D!VZ z$v2KWtxEIqn_Gc>tmjklnW51$iSUvAv*>n#a)zlL5AVBCXcm_nj-S?m*W&5hgy7&} z>)iG8g{uLxVko32-7ZZDc)2?^59a$-duNOOI4H_$j+I8?cg-~~gX#sJ=C`NYN6*7R zz`>Z-6ajk6SFKu8JsZo_^n%nV{G8^@p_FKo4#%8n8{z`Z+mSGa9Hx^IP&X}z)AcR?j<%|=^yz?!1_(mb;j;<%?RzcNv{66=d==a>(+JAAz};9)o}B5bd! z$k=g~dN8>E>Pz47a`Sdh(er8(2Y2#dopuEFR@ z!-itz{oO;Qinocj0CbK?n1v2+ON8ia=VhlaC|dJyHBwmhH8K93z=nlKB;pj`rL%!i z&zo<>j$cd0&EP>a+@_u5D9Z`XnVloeaLjiIk57ml_TI6x{E)$LF_SW(f{7Nim{9ad zCgs5dUewH4D3dPa%XQ@%U%8VL&6P!V7WyihD%7`}DkPAqkD%^79ncB+$RA(GGx~&T zjA*?lFWGDkQ( z8P+#N4Obgh?=lT4(qiz(aiAGjenT$o*kqH@m-|QM?|!G#=!PU_dAOorTTvdvL}H3O z_klF3JV$0Nvrz;W2I20*UBXeL${DOWhv`_UKV}PcN`mx^s9Y}0^m0X_o^WZLDlXGzrD<247z5P7dVnM zGBLL-cuPJ&Ey{j9R=lRtr5%5l-MscdH07Ew{LzqKz=F5b=emlCugsAV%R1bnw6&ru z{pl$WYjL|>i9zu`@Trgtx!PwJ%l(lUR0YmTg=irFKbxj;y1XK|Jl({&xnqk62^!0D zCP^S>Z!K;gRb+P5vt7F)3lY_h!fK7oLdY6)w>f!|cf!;k{xCU0b*$OOR zxZved6ePhrItKYG>@8b5eeqBFEwqJz%-6?+nScx!cvCJ#5YBSH84I3_*n+UPoTv<7 z@W%iR`G7B95xPfy)<-HH?zhF*k1fk}8^lZY$DcG8{5Ti#FdI{Pc8J9?7FHvUQJiB@ z^h=X}``LIkbG)thJhskOZrF;MP{l`T^J|5N)en+8F3J^)Cqsa-*bJ{jHW$6|?7-zxn6E zhLI*){(2<=coBqCV08}`jEWK~UO34b#fLd5X+($gS1VS!u2tc5f4xtxLjphwy z#P>$h=XxXRpPPfk$>BsE?CyWF@&+rAYvZj7=k@4Dj^^FYh0%ioLn&Fqi50^2*BkY@ zUC1~4E0|Ayc7>l=hv&ZzDHyFhC}K9R1C3nVg+d}NHYmR-Mrt4q6ctJg%t8_jHXDkC z!vEhuXd$bUuyEj6YarBu{TOV?B^na+fD^Y+;F()6)WRJca`6E+iS#ftpJ(nCeY{Pq z4Q6=u>|ySK#nq3>nPstAnT@%Iaz>C2bJa(%kL(2t_`$rbPDXX&;^KK#Xw; zK;ah9>Aiu~A;FomPx7(Oe#~PUDu4Czb?}ak?J_P>)l^mQAh9BMKASX3F}?C$OGr5X z7z;w9{~Tt9OHHik1{3bvpQ?Hi^8}{-gu%QpcUmXhkAa_vwStAY6r57qbJ1j8UZ3_z z&l_POp3*yz6K0l&=$oBvP3q8AskX9e?anJY_IUW+b^*IAf9lN3cIo?Ro);c|T$)L) zN=xF{rCYICN*)jxWd~Q-jTgUdpmJRmFVH{l3_6z8U?`xN_M9qRD->I6V@c$E6zhcF z+<7rZtp7R0?*M5^D^8(6|^Pe$)9h(@DBGpIBUt z$(*PSvzDlg#X;I61|WZ#5{+RJpS8y(C8+R);#HwgWmeJCN^olNk;M_L5RR|v2W66M zl!`bec38>Cc3kbtgN19Etp>f?==M^GP0O|&9!~2fECBN-6#VgvNs0vN_LGUuY_uO6 z8wjN+5elOa3rfgUH@F)l%nN$p=U*kKL=;cYM379!Lr?v4SUVaRNBSU|lF8j@?!eV) z?w}kB8$V!xXOet47$+r5K*q|6PsPefqXsdiKZimpHjM)qZ%;L0f%tbqLBCBb9){~r zhr`4;sp+mz8CghMnP`|@0S7g(kJoS z_9?FJ5!FgjWF=A*QsS}xCW`Wp_q^75t`Y<#>LO7tFXJzeC5fRboj1|K% z%$12XPID3Rh6om^HTM1e^NVN|=18oO&pwQzZ_Z(BN=y zlx^$|Jvh5WjN`8FQrCp~na&KZ?G6F2*eJYm<8mLpBi&x6vEg=dRIby}%$Nj}aN~hw zN7fVH?(dqnl$tDA-7aq80FWX8g7goW`iEcxkW>J|Zw5ey{vlWZB!c+Xsnzo#%2{AS z8H_UxzcXuwV&V1f*34i$ta6;0ZzxH)^FaYHpB70GHGnYVamhnLg?`8 z*6~=4r_#bk=16e4eToF#U_SgBi#)W%MZ;8En_|1tMRCf4&(}hPrvSczWXp6uoGroB zL9j%*Mef6E+QaEm!t*7mFCwq>7%6*mEmKkQ>j37OVXrRydWSc7mmoIyC)kTQOC)h? zO1?f^AHFucAyBh9Yoy?nYNNa|?f|Z6-uAU7Re3rqVuV3cLjp%xvWo`-8t;ug6OU}hgpW4pfteq}Ah6MD@BP0(&c1kz<}Du#9Z0RjQQ%qa=} zR(2OPKd1xBgbn;5P?;bmtCdrtuGX54jF50QTr4NXh}fDPmy;6&Hb0Ml-v}b4LvG|) zXb<+?F}^EaRd7&EK1pNYUbxSC`_@dXKv@tH#)Q%l7b#XokuhU02AMf!kNV9bD!Q9i8d|oP^Z>=`%r-0F?b9|JKEI-`bc9l9@`XU1=jBB+3qYk63 z%vHJ+MFpbQUk{tdgEsAn;UHr>`X_9ZE*nM4XyRY8oGqMnxC}-4{P(V%ZBt=e9@OaI z@jZ@H*0Pt!Qe{-JANXcAjs`8dk|O?xH)M_(s3HD}k}&l+isT+*i7kZEXc&`Oey$^o z&YG$>WQGc^nvvvRrJ8+gax;RY*Fw=&ojBoU=KiK5(y)H5ww(2>y;3jEK|rDJnWR6! zzH2wyADwBL8@1@F0I68cZ`Q@e zEg0D5*W<2WI5G);#U*9eEHjtDoG3q;$%hI>hCOOMFF?_BrO;} zm&nohG7!U-0|p3DR=b0-rC*8-CoFJmF?qEW{OvrQDKXG|<4{r+uul6CfS$hG`tN|W zWCj6=FA)50hHyJeZa@Mu*Esw?FESZg>M-1mM{pXHC$wh^JC7*_2dm{;v0|6F%qb#P zxD|_+#h@Dz^%mD*x6PwXR#!>I*4bV3GK)|M1T`F4Gt^V991${i!}(LYSk*; zi9j(&cjx>bwTV8(K;zp^dX0?Hn~(h;wW1ygWJoRO*IAdv=0nqeyZE1i+NML!I}^&h z{3Mh)wjlGwBhp?GZI3Y__4sT=8qG8%hy4<&OETU1h_syYL9SP8M@h!)uO~?y8#f4L)8hy1dKIi(&}6bFc2=^co(9 zh>e0zA2iuW&DwHmsm}vDR4{5S>)25o(7|Yj6kw7l%>n@nD zM`@PtulEPbT^{s-q9J?^`eLgu$Dr+Jym~CrT~s2<8TYoB7a2#N>zAWB(Wa=T3|}J` zM;{LsujXw!Uv;gJu1AD;gj%U1u|Ds-!02$OHP^A}8u79oXPZ7>m5}R&U%yh22J{!7nWtD%Ibm_RZx2$-h(YYzT zh{AfjRRhzJz4qAqa>@K!6Q?c^pi52Q=B6`>vdr61@i@Ig|8~u21TP3eCBW0D3*c|US)=o0k#U&5e)djBR%%pDz7xZ+SGuP5Q|aNa|`aY8x?p$}1v@2jQgUki_MZ z#AZ@UrB~xG2Jp3n@C*NZ#IjZ%aeL3ieV4;Z$)TffK(^w7#hiEf%z2ljSGk7JQW{Zx zUS?5^+>%MZ;c=Hvx#2N)g_kuqB=6n!#{LnAzC(J9sGBrI@ZMJuVMsA6dMv6}Te#bs~xG1mj=cS^B`yE&*ws&vU` zpWSNqqu81qhna)yriK|pnZNXfOJUHR+vfE5hLyIJgoJkYrs?da>*Ph%*+JIXLD$&nXUd%!mpB=piVC;^A9{t@4%=k__Ic?20jU9@@t($ z{?I$&iu~JKfdk2nmD^yzJD&ay5s2(qX{yk{WCF!8(+524H5*hUG2;IO=2u~eTjv6f z*5!W%a|XTa00dHJ(FCzC4~>EKa{+JbTmi4Ukd-Ud^0tZHP&Eh{sat%8lGw065+Se^ zUKCQ0i{Z*Cc6-E*i`%?c(;#bw&L4{<$_0J_N+r}!2K1-N+aA}{upoqmrieguayC-v zMP?`z8pj`GL+w!w2BhG~Afk&SLp7|qq^EXX+Kbz&ydN9CRajH^ordhNLOY0|4g29j z`aER)5{5p&cMb5+SKPSs{>a}R2*8b(LXDbbs#+2updXZlVbxRqPxPxIolm4WF>W@v zr8dal$AtB>VMS0Ia%@DrzY`DGty`_9;7_)qB0PA=c}^dUsPv!ZnguKP(L59)Mx)8B zc{%jE;zK28#+6-M8ZqRN6%9i4;7IkKRkXsXlMHzMb>kr6awOw|?cC7V7fp#0RE4Z+ z!7xsJv~fC88iD^JT$hq68g~(53c`s0iFeB>84W5o!idCheRo*D$}z0*j1H#!?MX~N z(4>MIAtvP2@dC-`hhCR!(xZa7?Fgk+s<471)%aeuGF5{@uYxP1gda3}`jeW{#6OWu z1IUdJ@mMLWu59CAGkBq)ND=$yqey=!*9G|kvKItd9yAn`e8~B+!9l|0P4h_c;$jpz z6pjS&u@GaI;?&CiY{JyEga~*0MJ;}e;>E#=l`_9JwENdvm1?A7(`e_(X#<_x1B#HFze#!=Wlfb@ljVvnFztfnMwDpuDq6y%1CVEmDSj%5nMTz=-i#egXo zfwkb~H%-$swVde-KM_Lbi*xv8hew)Kp%&B5WLYscrGzk-)<U6 z!>o39ohIc`k@XN!Cb?@_s`ohO0FSGDJ7a3)HTsJ!Yg3}iU}(Y0!H*(`8##gGUIrp* zKVTCF6?zskgR+I2gRv-*MjeLhxnf9y_6}gaSQPCX^X|gfck$!7W6(;<6T;~7ATin>r#NjV0)>RdJj%ubIgXMBfW-EIffLz6 z!0>lNp!snFQvp3G$pf8>eCf#9K z)7&%rWX7za`+0i3q%Z8--CP9awrFN|NylQPbY`ZayQaNT!rZ-y(Zt>iz}zMVY6Vv$ z)&-P@do(RAgi`iv+Ued^l*0Ebz>a{Rb{qkBaE@URN&nOtzKnmg4HrCIX^AHFGX)0+$G4?=zEJ7}_-<-c2oCj|OMD_`@#McdB7PzG?M67?k!Knuo zazJ&+Jpy1uRA@y=0lb~yK5zCZcEqnbgbT`v$4L5Z45n)IG1P+5+=sM|roxo^f{8C) zHTa4Bu6E#TS31ouUz=eVNT{;BLsJ72k%%tbg3R1J9Z!*DJL{+DfHM5;ld&HLvAL15 zxF*DX?q~^~o9upFei0$7Sz|UTr^g@*d=vq)_FRFOa4H@TgcCUdh6dcy-`RO|PI+Og zbiBvBa0n7FziKxyv!;UJKN#8$(xJ2DV(O`koW1hp0rs|uhqYbRHy;nb+h=#Ney<0y!G zw22n9rqmI5i~3%~kWsfqkj~-^WRT9l+F@dr7(%R84NfA_JB-=_2kd0G2?s4e+IPK| z*Nz%dllL+4WL!O3rZcauOxD9L>p*w z2R9khhV=WLY6JqY%PQDQ_qYj^AspaR;R-RNAz)-v;dZ&?vBfV^;S%2rn10SchSqgU zuVSPj(qxt}H8G4AFzVaeA;1bj_<<43qZ-;|%3dPtGs#pN_}zK!U-q{CVijh;mgN#O zZG)GFs27!nkcXuK#ipkKRfnel#fGH-{f9f?rotVv#qtZj@D)DH-ytFw|6-8B@*oIh zBy}T^4RL72K^1@*=;wM%sgNrIfvm>QG|V9^R6mY&R-I){kewAQDI2 zSjOTJb^Di>h^RizEK={uu;vQ=D(*SGU*OzEoB(`cW|a%qt^EGzH0#R3lQV%VP!z_j zcA;19nZ+cKqenoTW?xFi?OdAp!NTFjaSTu>dHhy)qANS(tz-W}o2u}} zN|n1?{*UfV3}*Px{`;gRY&ggf#=sJ@zk7Nc!R#tcpCd6ptMi;d2;G`xHd?|V`%iF! zpv|94ix^|saHkWPkWzBTR=2`NV_$sP&_LNt6rDaMg$Ooqp3!?vE_+~KX0DY^bF^E1 zU2xBHz<6)Y*8dV*z2ac+NJ0&fO1H z&Mqn+4_o)48a1s)K!g!>j^1OyGaIpA;@Slul5_tf3hLhdNZ#T$^|_9i10!zeARVP# zXwF3PU?SzG^j3FTXNZt}u0M6s!N|Iv$Y1bOcY0%pnBHw$fS%eenw_*ipT;wsbamSF zvX%S1=o^{3$?i()knSs+V9EP?bEd;FDk)h)d^o1Qdk<0dKC)k_88mFvs%ECm|Xj5uj14YUN*pt2j@2DUK-d z3!75ni}l+!^ZT2_<21nIl)WfKm=Gh!NPT|l-Zv&6au!eAa#g_!@A8KI3?1IF^NV)a zOKhfR;{txkcPsm?hTRK|&WV`rl=%>6w!f8ms!M<}P4)pXt8i>puMvm~bRP4v6tghv z`QQbAWhCjH=GE@aP1APo5EI2M-7go>%hE=;*CL2TYz~G$wrb` zF=xg2Sxe6eQ^zVwj#Qy)hzttrtT(ti4Avd5(jYjhzZEzQQ+L9IH6loqL0*aMkcxEi zy7cQhpkofPxt{UZohAliQR=m;CQ2DBWp&LnzmiaSaMW0{>PG|5K=OTqN&(kY{ny3Qu_Y+ap z8fEax;D<4k@x2PCLc&oK9-^M?iHTZ=8>1FCAboqOQ{Wc==4A^9J=+-(wI||fGc2G` z(QXYJ>}$u()Fauw6N(K12PgW5gyG+YM)UK61k6#a0CN=AT%g4t{J^6o0>GpBi=Y@9 z^P~iyV5(R8MWwT_5zPFiu~({Hw{^05f872GcFch+5=UVSLXXKtGfDbeh$115%3%@g z)0$9H!uYe!sFO;b!V?sNkG^8lg`$>ZWm1FTOTymydDZU`PdB?baLK{IArceFmojn> zQm@NSkH)Q|qxHcd+Uv9=Bv>fsIuH+n!c+!_aA0pv`TykSG1sNKBoCw~l*EWc89Y1b zk&DdZW_02?Jj=Zxjsej|e9{73YaxKkZ}?GKE>fW4kI)%4Ln3Hb=!*I9)nb80W=k9^#Mp7>ED`W}jc~g6 zgIYjdHlvJ^0GnqLkURr}t}{y~C80gQibF0mu1}aBLjY0YJ&&Qpj>9W7UQd`eM}(z7 z8nsG9uH&LpN==m2SL^!Y&qU%>Y%Cf#j|~n>j?@cK_EFJ+dPzdM9fl>`1!6|#iVx#3 zGCiUqC(Sddl{nRkW*g9j7dlvn@2@_u@lGhHJnei4qMWb^a;;nYQbz(FxJ8tvbt1AS75$sc^hJ>}gjaMtC zEJDN>ieiV6a_&TFt*U_v*qWX*hW18r%l|Df3(x5#on!l5mQ#3=m!!R|;T{_@uUkPl zarcMXhtN4uY2D^#4S&sxMgT0DL5Y(2M8@=EnecYh?+*}_*lnGvx!U`6gKiG{h_!>P zy1&)M2V$aHY{a&;*4Ykk>K?B zKEE*)(jU1zJ(0**i-c7&lzm--pL=QNo`ZTP<*PhG{aN6KZNy-GDX@S{!v+K3f++~3 zePKfWtYyu7wu$e)a{M)D13w|ansmZp2w02u0qRX9Mxb~CI#;FugE)lC)le*!BS(Q{ z;V?4oT~u#}GogH|0~G=xkp2e8hG*8v=(EQIHL=}@P+;_&TL&9<=T7a$EYPoSYExVy zT>_SaBLi)F1sB#r#rDC!vQ&er9|2hl12GqkEa%pxOZC)Boy3CbdsH9S zYevPGw2`qcFL!9tb5Un>w01cM;4u34R?HQzvnoq18jSC>sS=AqEy-DnZl`7Ef~D5o z^tzp8S5s!pnkdIXhs~u@vBIhMNX?%nf1z50gP`Wj43WyId&C1Z^92L35%ouGgJbNZ zltYoOmLT+Z{v+7=5jS>mY?HQ#yd@$M<=yS)61dgp25Il-Vu+&^xc7#ACjy1~Lw1sn zL#UY=;8K8ZT3-+|;&qeL7e3aaqg9mT(vaM%5u*$y`1F=X6hrq**pZ3j?2}(_N=6lj zWg~_c){zU$Bh?t3sJgPqIC;yHMbSaW2fJl)wU88={|Sx4`zI0qY6%BO;({C~Wbk*A zt~N&!3t(|q_WxWJ-ELYW6+@F$9gD}ZY{IIsxS`X_pbF3a69?V>B-6rM)6U&F6i$`T zk`aEnMuNAXkMf&HQSGC~uZ`zqfK+XzgG@bH`2&LzJ|>&tnOzN{nN<_QMh^VVZ4o}; zw@fMGckEKtbDMzdBFBN$_(cVteo+9@0O2*OnQx!l^h+_fjewdFWG`SFB??qq8cro? zu3VRMjAH>2-4#S?g*05{Moi{3kAJ4>V_bVNjinj)v3@TO|;=3gkD07sF|s$ zJ2Ee1#cH+`Mp%f$GA|Q$;_s~F*JUof$=T+d6&F2v(m*bGkL=246J%0YarbH!7VkBP zf4MgigYmmw8L1sD7f*>y>B^j{1muRGn&tNsqq5~Zg6im~dD0Q#>6@C1&|oTbY#7i8 zouV=O;MMpun!PErNT$7M>J|lQt9^y?8oYf6>maF~IpG#H^xR4IH8fv2@!R1}sdC=;?*>r>igaOfTr5h`%B zCixh^0|qFQfnB?#BJvJFrDQzwaMF5gxeeKpFb*opD|#H87YIWLwpXkF_>us?-BSU4 z4%?F=PiPcwrWU^N?H&e~14O3-@2213Inf`)7P9$ZIm+-D?qcC&w|xw`dP5`O@3@h~ zQ3Lr+CMlQ5$X!xIp*Z7$R%3wUHwCZ5xF7YCO3128OqJ!9EuvwxB!co8@D)qK)5n{*?vKJ1PrHV7~XKmeX$^FD2~#Eqs#ng|#&h zd1>Ig6_s9M2j+Yz+ifb)u5_7s%s^V|>ILG)ud-I?A5Y-6mmL0Ehu;P$xHUnwx^}cl zez9x-RsCLCe|x+0;Qa2?@X@GF@uj`q;pott;_=0aiuFA23BP$AoBTb{k_{j8ywFEB zbVI0WzkPJlFs4~xy0XXl`|kb$0~?eZs@?*-R4xgB?3#pB;ikDO_`H~v+4GW0$i*g1IFou3EoS5qH#HPi`CpGsgu9 z1Dhp;nRqk4f8CuNxOvd$1ZOZmJ$c@NZQ zrWqUxQgfyeWKAG3eH#cp?Xhs~ zx5KxrShjZgBwVp>e&Sqv!@G6|zv~QW*X(7l*o|0r?!c#8+tjQbS4P%_9ajoBgdK}c zv9a4Ub6S$k3p*}|W+;h3q+3<5xs^13v#)72+{rFgnAf+N9Awe_nl*Bq8vdnHpF4J( z9_4D@a7S;=wp}ze+|jt^mcoX6GyjkEckv&q!=>S_ddsTQk{$O(d9I2g!;)>gj6_X_ zZRLNl_5Y^)N2CX^i~*WTfIn8;n|hN2?PaUZ3BX|LfWiKM%4)S3HC8t-2RMdFAk3c> z5)&?#<5of7C0Fd$%;SfAL~P zBQ`(xj~0GrQ8JnP=7dPE>;9lmcuvuoDEv&C1VDV~x^FC)d{zEku_^gEcqcAwoXOB< zv#~%i4+&CCJ2EwH48J$a4=$33n`Tfu3l%Eeq zSk~l{suskeF5f2-PCfL6hVRbA_%j+3%|?krwqn=%cwF)qvtrl4GqP4g*XhS&wRu)aHO|Q@MMOwt0Z41UQOPu}`*~5%a~fJ& zqlNqx6MB}7%0E#Nts8CU_xG8|!8NqMH42I(libXTvS-mTF^Q>X&-+(!=u|=nt?9XT z&CTucLqIC&-KzQ&RYbiIl_4uzVT6EHG255*%1}tULCZmttGjpoRWw&JDg@PKPlqAU zz*X^N(PYg9#!*bIs1!Jc{Dt=tmy2obhar%Il<))rs;n`9>aRS1wxDp->nzVV}Fo=m&syyS6fM8!@vJI`?Fa#7hGYL0~ z!eHH>(&Mc1wga~U_g$DM;@Z37hu$MhA-x0mQ-8}J!M(%Na#Xd*!~wb-Kf%~|YutCs zLjk0*DbN&dIRB^_JA&41eJCrh^oQOd7=V={zAW-Us)lXGNfBWBFH+Sqch=GMGV#Qt za5kldp>Sf|)cSk;NaaRQ4ek=lh!;IdYwP1{R>lo|JRIa?sjX~}%Q!}@&L$Uxis*#7 zqq{@9RzG6NR2r-znC)K|OE)w6aCnYwilvg_qsNEuo%26$;yi55GSYNxNChuW(4k;l z&N2_D)iH2nJ)=e69qlttvDbZ4gz)*D2k2sCNH9t(EH#W*Z8^7~&f4~QqlFxn{X8>V z)YA>B)o6vc95e_D;zDTirXqRx`LjN%X!S@zm+A^sGl}-pPTA-|Hlczq>I$bpRF43` zCsgqJpN`0Z8ZH|LoE464e)F}enp!A^yJe<{!De^YKzyn=8Vpu%9Q0H!<__ph@h8v* zx8Ii$DPk@IIFuOcS2B02`!j3G(lXERm~+GbgB7^DSDjx5?@wDj||N#XuO zS2ROaJd{x%26yJuxsbr5!xH?IX!TKw8*A0y)Aqzz2us}uy2sqRA@%vC;^n745~bg2 zG8kyK4eUT`lRb!snI@(VVGu*$E@~yu;GL*KXJ#O_=iklWH2PNOI06@ME?8hQjIBuH z@52=)DxK=4pbIOY!!2=hm=Zv-pTnMlv}h;H7I@bn^a6ty_36yY)6!Kl6N}Zkzt$9D z*OAY81YG}s5?T9Bg#OaJ-N>`)&?;pH647Sd%dzELTTIfE{Jtp8CV;| zc3(*TaA_tN)9JS1rM{G@BK+ACrXe5WrGeLKDF#dS zmH9I#fI&SX;9LR&(#3aP{3Fbr7gDz#19GW^7U43xDDE#Rt`|bL5gmfXQQ44x2T&9L zz8t|F=im4yj#BV449M&DMUXH)fsvD4spb9L6$u`GgEe2H!_MR?gU_K?x zka`QdRO6WxI;E%vA3;i&BD%;)HxEEz)k^Mm;h^gFG~QQ} zbY%@zbC`W&`e~N2xml|5U`RRTs7VoC;^tZaF!jf4?3TvUIC~adswV{HGz0i(od1jh z_<;ClWN%i4i>ET-Lwk_>WV}Bs>B>=_{Fyo^a4|8Mmt7c0PLC}7-SU# zYKUt4m_pO#2o&INh()^`TUknYJNDae+?oVwhDAAT>BV|W`_u}rOU(vNq%;s znhS{*42EXMz^3gcA4~l^@0?l+Wt?hvkl44cnHCwwKxe90Ia@iOvrif3ocx13$sB`F zqGQviu34Q#!zQ4AEhRK->C~%u{ZXO z!HWS%lK)6^|NI~TNWcG)4*roc0i+WEiTs7O&=#99gJJuE>gL5oU4>y&b`n~J%Gc~0Cfr*wHTfZu(3f$7<`LnT^ zgtd>uM*|->2L~?fnHWmUhlMdK7S3+0?k2W}_B>vYUc{Iq<*&#x!jfG^Kl10>6k`mf^d&e!9orL7XVhz;k9C96Bl>SL{ys)&x| zp%D?w#@06TtdHe4{nx#NeV;8Zt&h4^_oAiuHxK)s54(;s;v(CQHEnAeDQguiYWmGw z9xm>*ZgzvN%L|Xqj-JTp7S-ELMdO+}y z@Nh%9qFYU`a#b*qapOA;O{>~cRdBR4auhPLvD~q7L>RI3>F{~-k}yYh@tn|ur(?5d zvHs>&RxYd}^YK~!?YSSLmBrYPQtE_96zklV&Jw1biUl$w+yt_V`JQ3wbAn*Fc?Z4IiYP=7(Lc;mcea*Qk6E%D5m3J!METC(8ZC4DgRdCq!!hJZbFw!lN9g#j z&!%gSE#%Vgc@(73!l*hoWsFr^MWS7eI3l(@0o>F~Jz8HWK0rC4GS&KOE@kVDG-JKm zb^F;&PNm!z_s2w?ji~*XxR9v4m1~*pGhV#EC8SVDnpxa9>1F5Mla~YdT(zrAj7zG! z4>la7MGKMR>PzX|(^8&X2bqezkGPO3gv~~xyU7S8`(C>(Zt~JSZB8NI0;Bl; z!FP=GGG8vQF>8ydOCXs3i^jX)J$Mo5HT37R%=uD<%vS_IM1?&2bb0UrLK>qY(Z)}& zbvt!*A=_5Z$3ZL&e=Gd@sJzelzFCLFclg!x=)x9SYjM%-OU zubck#oe`i-WDCbA?cg|kL~QhZ$XfFZEaHntbJvy)YxtN9&~xm`r|3!g3s|Hhq+a~m z6>^^J74iK66$OG`udT;lhX}Oro5)Y;pu?KBr>MJI^?diUW%p0kqj0nZLX_92o5JJX zh^ptJBaXZGeyc6w*0@+G@cy^}}dfw(f9%rG%nO*4Ga zCLmgBlP)`8WxQ&4!qT2~amA^xL0-?_N0DB$*{d|I#_L`bBU^kHGtc^d;Q4%cp2PPv z-wkJS^P--?km%sK5A9L9o~HgfBRcv1QUNt0NFQ* z`U~ygd3)FQ@A`UIO$IB)!JGK7JI=f_d=`BaT@CQfqy{EBxw$|g<2c5f9!Fz$O)8Dc zeVlTrov89(|KbYcn7yRMv z>4+gli7Hr|n_cQmny#JOeyfA9>7{BVuvtm-v|UDxVQJRF(WLf*jqQk~m#5YoVO}Dm zqLOli?9u|mct?S^RiNLwXwBypO6`*_A~=-}8+wIC<<)w3XN%fu&tHpp#8!D-{dSGT z@mOM#Q4XW~u}H^*P#y54@%82WEh&g7+1^R0UyHjlvJc$1EL-mL2Z_v&Pkurv4$9bP zbv7@$hM##WFa1B<#rI4N?=bG1e(W91jSVoHJ}{yYkRs_zCwh`f9iJfhOo(4USTm_NPw1+Q*6 z)H3f^bw@m_tt~)75o$SZR<+jBO|>-Zx{euC2%NYOxiN+XJh1Y!uGf%|oPvrc_>PNkQ%DH(RXOthzZ-1*vVj$PU)#U52N zj@a;Gf5(2@Oe`DUN-eueT@%b6ouHrCU~{wooKqFi|FopkB(E@QT}#fuC`Cwg2VCO zonJ0_8fAyK2jkXA-m~PX{anutty$Fvw+~!U#?7Nf87zN*IZ;g}pYjmH%|vVJ!;N!W z(o8ckeU0bjK$9rZHASf0B#jmxjZsQs+I)ICk-up5J{DgXyDWzxe~AfB>f}WrCNxVe zq)5eLv{d1xMoU6)G$1$XIMYkbSX;d1lglel9ZEDy=hQGfnP*OrCR0*q_D|!ZcPHWQ zrHQTU0s{Z~Z%xobK)jlWSw$?3t9Rq!&KT86b!+|zo+RQ=DpOLY5?xICli>eQvP6SM zst@_<41P2mHb)9WW6_u_h#ngK9rm8K43l{oXc_8WWr{QrXP?!egE?^)vEUd}ftA77 zrlCcPMG!#_+6{&lRamHd;nE(CnyUi_aFDSj03)4|sqmK$Ld#YpiZXzaChOlzIh30F z%YY_aI$NvA$v+K_Qt`{=$K{`TOju3~G4?uYG=3&KEZ6wrIV> zAD0BrDSsI{-7zMNDMMy}v!vMrI(1(ct=WPtQ81o6i9BSGP{y`ktV1v;Rb{2ot-8LS z)X6i4#v~7hPmC5gRtN}46#=wMQdSD*b0?N^-m+ihV^_uf1?~3NlU46PX@^J6?i2nC zOZ9(Rl}h_#!xFJ2XT`HZu}#bQSH5>)G3HzPieb^Ok>vDd1?l1CBV{UDgFGLcwaAfK z!!*o7i4N`2L+E|u0t)ql^N@Bd8zF^AVeoLRvhy5rJopr6MkrK|nGQ6upQ@|%lfms% z(c2=8T8ODG3;DP}W3HI7PwMs}sbZ@R^C9F;~O zIhlX%nZUGfo@E+i*mVaN#(#mWh63_2cujC5E1`h~sxUGOhm$9ySzBl8O$wcV5qlnH^|CCm5J=nwqiK~PY~#@J*w3Oh zX(HwA5_YVMRP!McX-Uj~eob1^^Wq1hN`1|bPxiXQ9lHNxhvK|Bz9l~YtMj1Ajnrns zq?J{>Osk)jp{2Gi){(?Cf+&!!h-`kxa=OoOHg0?vy0+ zuf!wqZ6oqH0|EhtK5zRXmK8i*>QJOezz>%`s_T&+Yki&_CZudOV}I!%JIWzG5fQ4q z>X1)(%be^-R_<7L6B6iMRFMb*Hu_H%8{h|)u5B*qs#KmktRb@YII!*D0#?JmY>Wo` z2lXQM*(5&fP#D+T-p{hzp6O}SlS|f|M@$VQ2Jx30w&W#DN zJ=jQPSeL!-AFICZ({URMCld84Jz>aEKxY|FM0fj6^Wkk-6R$!~n}Kp9MrYXV+#x+K z%tw(WCIZg~#_FyXjr&M1Pxcd%XCS^*;R4ASrPijD|DK3pQ0G%`rQp{r9)mX&mHq1 z4Rt>pAg|d~;yNbD9xF^|G*cIWmST4EF=w(@)<=mA2>Nto)lctS$TRU(?dbO`;0R zG=Pur%sRgY8p#YAfN$To{rh~^xIV#uK|nQpF`giTmxgqSr#0ai?okHU#onhTya(HsXBbY42mMzY-X1IRFVpyR(koZ8FY|zP zZ;#bS*ZtH)_cKRN-di_L=FW4jM8QTxuyT5#bq)XgFZ<&6vdr6BKqa|JY&qDMqnFpl z&c#Zi`&~=SR@a92Ys{W87mtK~19Vtx%$DD}%?Y+^o4{l5&sCT1)Y}u$E|+iH;F3en zqxlyJ9p!S|`#j@Mt$`V?^9TJ7Z49{!Fz5`1e8(uaE|P7&Gbn~t98$d~fe~PQz8SjI zWQzk9%>9TGk%=X!mfv0T)|cTAk`$<$p5+tX(7bX`#Hrm1=8fA* zgg<34-n3&L42n=U5j+af7h#$pV2th?cZm&}P! zm(E3{%2GRJ=wK9YP+RH{#0bSZ(Y%B?+61N+ z*xHHTpgTlpx{iudDBlxb%G#{N2;`Y~&cGZc^j8$Pv7JXWEynHAfjdw`#*Wfu29b9@)`P4Y;uNr$zo}8P5{d&-P`q zR5hTb95P*y;ClwUD?^j7MMW$Mx8B+8{PB$ZeOSgaNDF?Sw4sL?!eeT68!lkHizCHU zW;KU{>nHJDAu@`Sv!Omd!S1oGJz7t*K=y2t*Vg7s0{Q~I&`X6DZ`stp+x-3L(+Oqp zr03x>Vl5WHx0)D*0)*QBw<6h#;OG3_A2&D3=oj%Zz=*p3Vwr(^zNB4Gq6PyB#nXt> z$8rqnm%P><+7XN-SJe}Z)OR@Z|}Hx zl`?5c`=lQ~Dq3ouw*Ow2MsJvouZq03Uz`VqVX z=3NNuOy}axr^U54i?UX+7p>0c;?AYj@KlS&FQ$dHmWZ-euq(M3gqliO8CvFuvX-$s zxO{5X7c+JJvzi)DIyp~T?_8_go6$Be3RYCpF}MBaViqp#3eWx?ulb^QUTbe-PzU+x1*5G%N(S7NzV?#r9 zg{q}vi{w@JKCUb6Q4ck_;*H`=Xn{4c{2+(ik4*;Vx&f;U0 zgrA`u?r!g!L6-&0v003AZ&>=yKyZ59U1a1wq+YwgnF>54>~j}5+hGq=sXY^Et2+~| zH&@MHjLk2||B34N5fd~FK|4UCd;e=A$j#VvBXeywzZoU?>c|2DhIik_^RFU1XIuGW z)#{X>CJQ+X6v=yS;r+O?0z~73hHern!R^cE;6v z<%KAwrQQp#&r@gd6sKNr$mGN4rfy$&%)bOnx2(u&8fM(~=2J(W89$Rsuh_YZfd!sN zND7*BsL!M~&_g?5sheWPF+?idUq_E9mlo23)~z&X3|ePLs#;e)XdJgmK)7) zz&MmG8rE+fxMsOl5?);W7s;wS8s^Sk#aXLtR``OPY zaBBDx$H&15QOWCV|3JT?@TczT$6XB9Wx7HlwqfC0BWYc- zHJ$qpHi%9+SA4#!jvEyWOvQdyJmkTSX?|138X-9F`eA4B);`(qNwi3JNUv>0U3>C0 z9~Q@UHm$X2uRw0U=sSX@U5Mq(Q(mQ*wlXg;UkTiiWvlCrJz!GjqK7kEV~>%T*%@%K zA*ACUKK4SPrSjc6a0s|sBo?@tVU^2H27J3$jbZ1H3bao#gW?;LXHPz#xnfF5{we9f zSPJ#9FrU`It7WCkV5=1bt>rcNe9XOka@lL~JiizJmuQPvW%iI4sOtO*bGKyvIGUO% zSggsuJqH|15m9D28_j5Bz;3A=b{yZFqs=hI2Uqi3Jz1`l&duit5S{*R8Y0}4*bFAh zBcd?BG!rEvC+UPDEXht2zJwd0J?Jc!O#=;K-YuTzIXp2yiJP@b%ay%0Qk2)+Vf|gD zIo>6kWvZwo^)Yv1G=<{@Azs7fmR~9S>U>7yZsYKCe|sp9cRW<8Yp7T^EEAz^Hgs7M z^TPB8eWPB7QYhHL?FLCgiQDjCaOj+3lYlOX0=sR`(_0JX0Ba+&W0(BrJ=eGA-}{3; zG1hw*P};G@#d70zy3?9>myvWdA$fz}OK<+nY<~L}be{jm)ybMoJ<_D^yAMm$6t=qR zE6WOSqwx{)^6awR4AU5G$pWRZ`7k}0QsifT{U)IYp+WpU|yeX z-geLxb5-L}LcZTkbt{+fbKVOe8>tq%D1DU;^V{ToKb4mj8 za0YqlXURtW1}(nTP3+>N<{H0*gzB1NGA|(4PMR=D_t?-ncV0BI(B|oA;bO|F#iV@Y z*de<-wSglj?-+~7OXxeFn8T4Vf9#|R+OFg(>G#LVr(b^MO&wf)tj_%QX8aOCHYXms zt%>#qsJE==^q%J0!9&)^^;779<}Bygojrd&C~i^0bA(`#%YI$VC?{qzJHDh5u$RX zNC@7=`zIdxTkeXAcYoo|Mv^z`kZPHiRSXsGa^mrhp+6oelWAscX z5luWKZe~&7%V`umJ3~u_xe_sJQ9nhl4WZ{Gk=8QyGQPl|v9KRRVh(?C6QFjRwW@sw z!9}FJvJLkS{K7=4wT10Uh<1v`fWc-2JHO9CYG62S&bWz~4@PQ^a3!-EC0lhL&-S-0 z)x`l!BC=MQaHx(22J=f)`L)xOd>tL$n)>cO{1nFL1)f^Le_)C@g9Z&6+KF&t^(BaKTx#*~>oKo8eB#{6C6 zmF%?G=boK%DX)~LjtQBjN5YzW!p?2I}JL!l>?@x;Iu!a&K$cDltYEj%~9)W!EUx1Y%UhB4%18tiASRm2QNp;w2 zr=;DVDb+nKB$@?q-R3>V$86s(U!MMK6^&G+J$XRUn>2Tw*f8|Hl8)Nrb)`+G2o+SZ zhD%RthHR9GS{oU_JS-|^m!zC&BowWF=R4KZ!q8A!4IHnTWU8yL%j>P1szgCAwURTe z%i{>J`7xfedCj5Ij*3i2K<-RAIQxy(WuwwTV`dW}+u&nH_|=mow-r9Jb+L#ou$QHR zeXF3ZoQ@|tejc?uyovAB(rP5Xk}*JPIz<9Y>o4vi?xv(#Zk+!vk{ENil#Uff{I>@9 z|7wyeWe5yaVAUuQ!Re~!Uv(vwRS9h|55i0cU-s?8n>pe4Ikq0;uKXQJHK0zC-t%%} z%maGm@XQq#q(>0nK67>V$81346~jb>%^E>l4!$$<+IBj~fetUfv!|o);r>)F>1m;} zrgMoi>t_*)TDGNLb{bu_t3Y3aZ)#KQlG!i2gO`m*n=`MD1$G~r#**9c7zEEl1D|TM zh{swf&Q$)SCYxo}cwB`LFBZLJj+4}#5zyNZ?nkIbo2v)e7B0;1UDwz53Z+U`BQ;A3 zDb2SFzIbi3Tb0y({OcANY~GLmI+oO8dC3G6!oHf^7s28m+Kwnlx%PSS|4AsmK9XXl=>Cl6#Xe~Mn~~L6J4$PY53f#G#~D$VLP~9k zjnK`%>Kx%GTUcO2j5uT9115_Kry345(sC zy^3(`QqNrb?*6W_%{}JrIKhE2zL%{F1A+HXawn7&w7KuHvzmw{VkI7k2@Rdc(I!UVpqA$? zO5N!HaV`~QdHT5`#owSiTXzgDT2{jpuYRvxS%RBbdXC)3N4xim7v88>RBnQt)IEh{ zuoZ?gdP%s`RBF#Umw2UQYv1Ik^fskBOd1Kyk?|U+_ z_ggGQ^5o@1Oyl>DSQp>b_ODCEDuj(vtB&eV=>NGEQ4L@0C&GpRBOFEkpKt8ljZI9? zJK%F{hm?q(zphqheg2y0X(VCeuBiQO(5(Bae@}n6e*b#fd~&XAJ7KW9acx-rOD{8+ zWMV`tL<|)22h&()={pC9kR}$wAf}T}K^mz@O&v#)Wsw=IXJ$<`7_KNNFH>-Fb8*Qg z>-as(sMpmsrRLMxT3%k>R`!dD}?OefPvn*W0oXrwU26EHO_LSB=gr%j2e& zDqGK^mH;dM={Ma|)F~{H-(j#<^_pNC%hxRHlt9a`#h@K$%?iG+_0gU=JxvX-)9}Q^ znV%Z`>T*OshUm23VCn3yT<8Wyjg1j>ajT;QwW44c`Hbp(PHz;J;#YGZNjbjgVDo1R zvSLCZ<9!+%{MfX#*zId?g_sF{9~4kaBAeL2BsiL=Ck8q4vAH3s9ln*<+gOYJ#&S_Y zp`;rKo6e6V7?%gwTv%5pQ;K%n3Tem}$6NCKm>77!o#Zo-0#oiELvf%7TEwVF{aq!aSs%vDH7tiOt)v8Rhh*gu|_35)?@J={m;oAizQ+d2gf{PdK|W zjn?LzU_w=G>FJ3?)PyI$tZ1M)3n!qW(iTiT9ltyron?MT-|Ot{3|7d$5qm(N3s08T z{B8B|+}zC!)uKoX*2MI^!rsM|#)sc)6dtF_Me)ZXvo*dDN|i?<^$w^wG#!u-J9;9yyt(>7{oqOA}) zQ<5Pf7w{`O%n z9H@c}8Hdx=$wcOhwUZwtRzBMVZKNg*YyHuqa$|y(GD3M7tFx|*zYqJf^au&$T@MNgeS(E+4hmi5*t49 z=U};Xqr`}q`bO@vUm&43n9|_5kjV2{g7P>tP5-$Y+4wlQZla`(QYW^QW~6Mg_jwzZ zs_eoI-WwyyF(^sn?aq`&U%1GMaLC=iE3i6Q-9RO>^L>d@GLE38tBg{mBnVT)SLsL{H3&KBBTqMhH zfvf?Cvjex?XQnBevFBrM(u9a=ZRH?aUoJbDo<|)k%@JWouAa$ZFhJTy{vAvaExRNu zF^KlNF{i9IP+ujQmh8TaEx!r|;V?U;x9mpWkX)YnFeE_bcfO}K(oopdVDQ1hbRi_!-&VFqd`RJ%a4R>?l>&*o=9?`FqiH&fB;K5O4B0dgS1{0 zR6Jx{+)^Zo0e2?Ce9en0+X_IUq`s~Mlk-p^Gv73fOj(TnE?$7_s3#Ae+>ri=D+jZuE1!-NJgU-@F%&nyoB4*Q>h%MW{+Ng+}(VB~x^e2{tO4 zFG3hP1X{`!1Gi6|g)=I8xEPbEQ0U$?6@GkapoWdC7|i!AT>@1Y1=C2p8%Mr8oS8QX zj2$Xnuq0F;oRaD03NZeNg3{wJA4NP)%?SfD{%U@pZ{%}?6-H2Tt*`!DfHL4TDH7d$JdWjVp1h`JsJuNrC7d-uriI)v!mZExv=|0jXgsZGlK)aPTm zpgoFO7+u?xz+=C%p1OwDdGHXC-+83MMpxbI`%%{o;*|I63AX-)YV~-Qk1I4|SMzOf z@p#$IKyjA$R^^ba5?u!-)n&JcSI3%NNm=&C1^$*Rzs{zkXuUNL4=0ykbG<@FEd4{Z z#_Pk?=fGA+Pr>n3TA|kXTCH`uH7i;ntsC@>gL4H&`>d)K+`gJVJ+h#e?fHSnI~ql=yibOQ zAndk(b~gN=ut~3NR!_4P1+$~D@GQL_Kdm2mjEZ^+d29*&b`ZwHD5yQ0#c8V@8h>I# z6y6-CA*f8Qf$gE&FLtMEiM>fX7C!z3IV_$M_dQz9!cN4yrKKI6aVzR;6Zq8${nddB zZLpB%_u(>>?fzIlRvo(8Ks|x0oufH9l{NFyQ9w`tQ8{h;k6osSxTne@BKr6HaLNK9_2* z+n1v(1h(y3{9u?U7z<>39iNLaW{f2SvVbK-vKz;!{%{H2D#Ymia71l*&l`D{eLYf(R5Pa-z)Gk^k>;lsA0colIKdp6~vYzxgOZ&aC z-$Ar0L08_zDnYLh^V`TY?CwEjFkuYyU|$al+eM1Vn}1b<(sirw=5z79uPT2bhhXQx za2CvO!~8}@(+$i-`CuY}?3(q_jAvAh<&O0r~X1!H$N`iZ<*^8cFeu2lkK zr%>Lr2C6Jdg#CGDtpG2IQ3!c6;a3o6sipt12=cX_9`Yu7Sr5GhyZaERWez1Uf)=7j z^2jXc=5lEPct48g^)-G&ieX#&f4y2>PbwmJGE~A`dAC?H)mqx)m-eiMsv>U+;Vb9B zn|K`EsAIljRqrx%IlQ>&CIj?d8wH?SHxUxFHo3GwE-4q4JySz4+5S}_+sPt7;@~QO zg;8JxREt!^x#!DQ{CPIb_Bjp{h@Iy+> zPC-#x;z~+UTB?b>guKwr(9W0e(~Iu&jp6-i!@%Uo?CF}JY%)T=V0FC+vy`RjOss5- zkmrx*Isbo{e5Mq?YqER+QJWUkuh@-z%+jGtvARg8=M-WaGXBM2(Zql$AiJe+-4PHLP2 zl9(1uDGkcTwR=^Pf5R*PUL==g*GOiP{zw2ypB7Wd{_>ORC4WHfdRQi~Jd`BOSbebv zDvNTGWoWj3166eaSKN4s^F9%#*921>#o=1H?q&qBaQw%g$<6J20IJn!3vCk!v|4JK zxYpW&x$l;o*e1K5&fz5S{MdXwS$M$xL`+}}xs@o;4q7JYt~d9b+UYuy3rT10L-u-u zHO0u9LEV2VF_IwO{HVQ-d6;t_dbP;$;cGYgVB5TbR|~H{V_myeu-sOH`%6x=&^=W1 z7`xY{u-&Dw+oiD6mEG$n82u#xBBybS#Yt%(0`b4FPmbg`#8-H_RzE!5uh?}7uL@FG&bmGzaGF97x_~3mR4>Z zt2s^n`YHpKa%_=3P;|0LuLOrcjUoGiPKdxs#VtC_k3*1D%*{ z5n3MVGH!*t^PV3e`1GNJ#N_hwB_AP}XY!pp`H;SbLlDt-3_kw3Q^14DPWKZ>7{q)L zH~zgV1=?zUf+KdAybuW6X?z-eZ}oWb&q+`An-;Ot*`zwI91}FoZK4+xnqEutTNbg? z`{WV^vAyq}L$@A*o3-y$Kb*&I-{4wJUKB`;Kd3O!D+*`016^1t^~0lN)YXyqXupFZ zxy1RH#UGue^;gR6>ZGXWJ}^}2s4+S4RvJ0TAy}zu`}MwJEu~!vk8Fm2Z3cgBMt}8s zqDKZdkWlL5hQX`f7(je?OYwIhpw!<9fmbgzfLJt5@n689)c**ES9bsv)l>X`f1}hV z48A0<$hbyb{HZRgPG~@3zzy`P`Rox?zupE^*k7Y2u|30D;k>^weR`o*D%ZF;$*xOU zp<5GH2-LXLY<4g9AV6xtS|Pj#{f1KgwuqeK9}GdMZ{iQHj-U^5=quZ891EYa!3NXD7fy5+l zy=I~@+?}DkQIB_Tm*$%x&*~Qoz%&5x-Ych+*z=qlg$hK6lgLFZ=Q1H1hW7S{9(1dO z!l$e=fzRPm>O=HF$!etdOCw-1(HlTelFD|E2f?RUGJ!iGQtGqxK#dcn_@6*vG70NL z6hX;$ll#Lny`_MO#=kRvaeCO15N<9hf|=|`QO0vNr+azBV>yc)=VILLp%%YR7Af6&N(NC6^0lwMz$ zUtb(vU*KO~=I-wpXQsN41TVo#qTBddHv4t1{V!))Al#00m-=;dha8f>Ic_EjeRsb@ zK)URJ2&ob4Cn`ziPO(sX<@H9e&;Go=8@nj)fS*O$yB zN{t-K13em8kkqZLJXyKPJ@rB$Ys&dHjE6LHE*ASk{BYI5{&;KUb zldk5gP`!ssowRox29@mYR9+->Y4*YVl!n5&=!EIg5@$FnXShau3a80ra&_^WnvJv! zrAcnXfuI?pp4R6bN5kYYk5MrW@4&Lfpgim2^sV0Ze0FVV^g;H~{M7QiWRhQx>H(Qt zBtZ9bF6sIDR_|Zw#DU(viqqNREu%wOPh+Jq@q#|@Sl4)ISAS`jwQ}2-U$AmlZz<&# z*;vn zKyuU6!G)6t>=;P9s2!5w%D;v1$Cr&f`vIZ72dwrc$x|;$vfJWyOZiXv@WVe_x-l2v%%%cwLuf09fyrw0lVyvK-nt#*9$A38Y# zjil;drh7A=?D8Kj@*l|ZA9ACgO>j)nA1)A|9N-}Zb53L*|W<>p{!=%1+tfi(>0J7XBIZS6+G!%wO!_U&tTtP4{;SpjcA* z+0`>sehPwLkQQ1qW5rQt-jK$gL%Ng{FB4!n+KCFRyePJe`$suL()D5i-Gk~8goauK znsYz}op=~Pl^80M?(8ReAWDi$A)K(=*=*ad?g}s9j*YZKJ3R(0NhcTF4aiztX<+O| z2E~nG*@K&I?4j5xMC@Hum8uc|pB-RD-kTvwmfh)4M7$-_WWjNLW}`*mzG_*6lT(hqHGgI5yS&z-Mo zy_SP@^W=dqf^~ljhJFO=4i1JU0=MW0?8B?p1G1-Kd^d=WBw9QkGKl_Mz*#(I?8Qsa z1hVUzfXd(Ct;V@39C$<7iS}y-wc)KQU<{%Kcm_G@9I7!^)c1x52?njLtdIbYR(huNicDQkx1$3{jZV;^wIDa8o1K5|Su0AP78bA%)T>0kH> zuh+lP&bTIOV?wzWYkO*AVvUUmi%lww$k5N8;RbkKsa@dQ?v*BO&|?KGqme!NoNeh&Z} zB;BU|;iMz&s1x1{fnhJ+eH7t8^$mO<;m9`i%tpGZNn9HozL|8}=|2)MN8~*ICaRWA zA_r*l?f+8Drh}-yH=mj%U7bs)348pJ$L~*{$4_u^qIYAi@I@Q@c#l0p^bViTUruoG z0w?K3bZ9;W%e{I>67y(1YCiQ{+{+W|^u!^u(S4r`Z zLzYdk_T=tKl4C zD;I{}^ZXoR!_5``CR0abdZmGghIm7iZ}*^@kZba66Sad_|QVqb{5 zG4@iIV(G0hf!vAq)!2sZ+0#i?ROLSuURu7q*FXRJ+`;ga)H5TtuH1r9;mlHVh?Pf= z$t?B`^4vjwWzT!s&zjU**N{?Yf~GCa$&uab+$<{r7M^H72#RW?=e*X9J8|;>_8A?1 zs`$KvEwqlRV?=i~G2_M~fE$429^RSz03(V$^BT}pYv<1S7HM^kUuEpm$9{spNtxN3 zD6hb+&N=1j?{-H5*0y8E`Ox$Gyq49xL+A`s6MOpAmoTGq6I!r$d!6wpgL_HN={$q? zD5JUH%=MMm^I31Z6%@U~_5Js5tA+h);_Nm<)x?JM(xL5960iyx{e8ng}GQb>WwUwi?HphdCc8x0V=UlLNwhQ`6$e-j*-k>ul!6Ap}@~@6a^57CAoW5x} zrk@kbz4WU%pT8D3#}S#rS}0!*JV3yfVxMLT}J?)l^+7S0|Rg;LVRFm|Yc>em9;<6>-Wp|r#=Cx52lL$`o$*m^xUP&zS{#1;+r5fH?+x9+ZILa`3D9t}dkrYyBC=0x+$9Eb zYT({%_=UOGKp8vkCc%X7Gf7m0idnUJQ$QrY4@D+i<6-ea@@v54OIa@j&vMpVWUW(Nl zl&tVd#cb+G?xOJuk6l>J>HAc8ycR^^iQ4)0(9Z5ZxTRqSc1AENI1qKaYba@p|eAI%Q? z?pg=A!X?E;=A$#VoYO&Tt4)O2@{H(QMjPVIYU`US_E5g&vr@H(;OUIKSFzbjEKi^B zyb5C7V`6-v>t2E_3TpD>{>W@Sqto6$H}s6W&2OtL9g?=Go13jBo^AK0motsBULJs= zJyVM-!;6GRhGpKCey?1?2PgjbDL0w+(2u|-ovy$Qj3zDsIrb4`6IN5ClSsnQNm!1x zKSqz=Gh*CjTY~s)Ktt~Z^U5md5g9|@1zeN&Z-tWnS8}5UJLybRr##c<(QTXrK2PG1 zd|tAUeCDc%)P#V(&~LNO1V6dV`#rW3(_HR!@YrRO34f!*CgRPF**m4i((7{RARf@Y znO3eue}9Wtr9{Xb4SeQTfV&cUY{nDow%k6+!VE+E3f98uOJ1gTuGZ9T4n}NZazxZS zh1u$**KQ83Hf*Z#`_>vv(H2a#LjMlDUETp^_8HA`|5)aJC+7{N^3byxeY3=LMZW^Q z8CHI|Ci!N{Ie&SG+#s@|*rs1x8;kGhN_A1-jc{Y+h;Q>+M<8|QmheOZIH;`UU5;-5 z(=@o5uz}b1Cu2l_rS@|_-%;yaj&Y&z+~{|wM7PySIcPt9vF~fSJR<|3dI_|-CNS*v zk>yHPOH?cE(P?kb{jAfdCnX3GFptH47Q5ac7kTbCiS;2P)h;qh>aJ)j=bFXeG@3HS z^56hIcYY^12egJ@?yzJEz?g1)OgP+npK#s&fxnqb#@Nkfr0DmnNI>(ok4L{ZX6)ed zUok|zJ$2;RxJ!?`E38H~yMvfYzO6Erd{TD6X1`Lt`_<-I0FngMw{hvcraAgEgWP4d zZI5I^&%p#KpTysu3CJo?UppZyvKTLDLS+DERL}4&(w;01b@vW0F-ynl7O^_pR1B}F zv%19>bGD&UUdhK$Cu{EI3$GJbrhpe84Zw?yW8cF@_+I|i**=wDv~T-fe#gMd%wFbX zjPE7j{#tM=vr2CQwL(5g8N}8X(_NC`lsGKHx35OfcLo1S4V&El@z;2&+_soC$&g?m zBl&EkCFvZK3i|?5l6;5efTxXCUzv3PAhH0V7GK>4X^n4jx=ims8`e?}D!AE)5=> z+?w41NBsi=A<`eBP?r@P`XXSVjVtGx92N`a#UCQk*a(4&yXYF+#OOm9g|1x?T@~m0 z^EIA8BV~A41aM{%gg6&Tq_oO%DVNKiNQcU=`v&@=gJom}ncY3e+61Xy<@A8q4_nsCGGP>{x{qh z@Lb<*dPMuzW7_at;~2#}ukJ8gUT~eU zs2*$AV}u00Et!3cPma$Ad|k5d3Eu-wy7t{7o^EEquHqyC02_Z|Spc2w9+UD*bDv~& zAo2JF0k9=Gi0OzM?#`J5&Z!=$H$wr+AnPzWA=}bN8nmwiE5huff{P#BlH9fKHBS_G zlJBdnFI)75(Yem6rfcr2>XG|0v<0n$S(5%(6Lipu>_457qyC>E ztnm~#`Fa};z#)bGW~m zqvS9?(jmNu8^cW~GnA1>CfKn}AE}ZP%|RGHQ#5t$k*(>G9m0EcXMw`CK{fSue}id* zO}0J1r!07N;6EoyVBZ=KDc^M4ll{hhc)v1+?!@B9skB@g8?8#Fphe)}nM9_b;IMDO ziSMzxo9wvW-&0&1xUCGpU3;FplzEogTso$T5aeHbVN(Pw9I(J-oBq5$fv0$Oem{j1 z_~^dzMg6=BQZT~yM$jdHDT5Q>&$xF4#I$RRcmv|lPy``F1;+yrkggxRZ2ZDWv zm>|9$hd4J-c7H&?eQu9y7$k`u3*zMWI6Vv>LkB-OP}uMeF#=3Eo$qB5yghq!Jl^1f zWSQa0oY{UW#kK-{pcB!&lKh^@?E5#(7`I%$4EVbbEz{$#%&@Fo(!4!-7GuGh7t6W6V7doVK?Ic`2!* zQJKQ4O1e=Heg{&N-*ya|NZsGDG(uw!f)RuK}k3dPOU ztU{gS60e;g?FYaDwcj1U4s=I?c;yAm;+ga6m7?I{h$Vpj05Xy8SacFJ!AMtzkq7Pn zZut6-0w<|jvSRJ1Yx~r?YtG+HFQrQ~uCYtoo;h~7QHsJ!;{MeUBGsXDGUXwbglf8^= z4v?p$0J&w6xl_31p4m)W{>SZvWn>?=sA%MPgf`4GX}Qp@9_sq9WH?!_@@HXpdfFg_ z5VOu0Tx9M*lYP_WuuwC0XAXza$Pih7#v{7O{-51s)b*m#qZBBQ=2%3h7J^x zouL*MORf$MyriT;1BW49Jv;UG2W|Q6u3-)-w3b7bVO8;3qwphL!J(EH+j4VV%M=W! z78`8Bnu`}Cpe#^9!&k*}ha`-YTQ;5SvyPuwE)7in=uzFAerH<0V)f8fA`^L9$6UU~ zzv*s%R3mh0>;h2}nY{8(XmMCK#*RlpSQv2}A!-7r^02cn%ELoR9)EN-ttDT3&~K5qd^`{MX9^Ss{+La#4Qquiy6*a=huF?KpzU@-w0&zw; z(mrfOY1fFHO%D`ygZ2>3S$oJl{_QG9%FQZ=hfL>NWqN>&q-)RB?iL)5Rt4?ex;wt` z-V3DPH*~+<(Ljs8Vv6{B*7WY+D!eS^eXsJvLm%Rb(Nn_TO{|M`N%jW><@eVUzJwR2 zWt}Qp{`G82N-ib7zo&9OeeT{fHv)^e=K1am-Qo?|zNY{^s5@uoFCDXj7jL0!%Ud2= zD_pGqs9|?-H@R7dFpwMf{`_Ll6=>+7wtCFVI}TpI}RXFb^qj;G$km0&X+P5{}u7SurXQCm742q?(axgA(t-au)Tm_}aNj z8u`kL82M_eLLth;8u(^KVQsm=7Iz$aCgc4~{2EaWw5X)m!X9!-sk6*jQXDkDN5NoVp{vyb{)@XHhbB2{g!3EZ)`228uj1T#dtGxM0o*%v?Hpe zF{YI!zBy3-6Vr|Dfgc5B^3?r3Tkg>E(5RnE(Qm)R`}57yz=1t0@Av?JRPo8+XReRE z0hs~AwU-3zF)OUCuhM!YniNLMc?lFNiA^{~=#ThK7Y9bUh^XKnq~+q9bt!yfSI`?s8E`?uO@`n^_> zdVj0{J7a9j_|xbeGSJ9<#R`l8zNRujSRzud=ZYZiuFz1ByBANM^5Sm=9ZCZrgMmn* z2oq84K*=43rS5Wq`Zc;j<38&L^0B$CIGP4g^%iKsJxf(0Jw$3_N5zkR0*%~iVC#s( z)F3?=Vqdn=Tq=rz{3iPR92IrFYYC4!@7O!`2{L^w?KxTYlSESLZ&_U74MS$KP2lk8c zPqadfCRWyW#GW6znNG(=fi=Xt)qESaZg7lQ2_G9x7M@o`?+QfB9*+io**$q<2nZ7U z$_fxasvTG!UEPeRf_~#XegA98cjqHFc_?xPzV;ET{#cZ0wNnNm9K2nwr4G4R!Ybr% zmlo$65#$Ck)ICo{(Dc2W3N3Zl9MCgRbd#(*S%jvAv$r`_j_SSzI^1&i8J0PDF1Pg_ zZ~C`T>H57UfpX4(d}Y!p8+DQW`pjOG)lo%pMJ|+H%l-2(BDJA)C6-7H`E-io{M4Hk zHL+8gH_aqr4+~WNgyhtJIL#&N%sol*6UG{1PcnZy%*q73byb5#Hq?akC&Y)|l97Qj zn&0+WnWAs|#I}nf--8bg;G#hDclXdLPsuglfBRAOTr1Q}$^8ee+QTe@o7{Td3jH7*gPg#qKA8VZH!hP6E%D7OX=nDZ`Q9)!+CQIWjA zay)s9bctw*wQX>o9q3^Dw`2giyv~qu+du&C5+)L+D_jbC%1R1)tM6$wFsKN63seL> z)fuK!6Fw6}$Vf6hq`g+AaL@myZj3XyT8RwzApr;1TlX`vev;cK3L!)3dp>^TD?TgeV+z~{gicS9npr;n)J1Lp zQV@C5FIK9Ibm4v9>M4Ho^Cgh__$9E{=kB!@zE8o~jnqcEGSZdwzsSQ98-#IjCILg)CM}oPSvUluyYP=uodBSB z=PF85Y77ssnxOb702!6*2R;JWoGCZhK=(MHzqTR%0qQC0zA^qm_$lhbobpQBXZ*Le zCl;kC;#ClN|I>2w7Bl)Wu; z1%$oprv>~RK%wr^ftUBHJsBw0xh=d7s5(Qsx~~BmT-uV)o%WK?uv1|VIPjJ`T1H03 z3s>Pf3(0ktVDnmV%O%*sZbystJ4n&U%6I|wOlxDxctQTRVv^7&A>{91iZ)G=yE2T% zGN*1m(YJyr9geTggHx0D#=?PMukcMS_e%ebo@;58&|~9t@IxB>7DI+U(D0$3q~W%=ZMW+_!7cxPQ%6NtM6V#vXE-$+e>kShr<{WxV49qZttLJ1CXOxZvz*v{H zmpS1LO_ulU`bSo|#+s}*%})jogr$G>&;l|U-v8Qn$rT>`8tLPj!_b0U<5YlYa8xAR zS@mmy`Ffw`Jw=sfIMv<*zcBy$n&|4Xps>!;xgPkA#mX+23$e63$KPNKr9Gv)(CFu@dO9!C*&tGQOQxmN4BB}Rf;9bYOvt1DKUO6`_1!AACA z&*RkdS=O}@gJz``o+2YqkQhb$kG*EJYI?Lau6ajda5I{fK|~=dB%c*h%o=*!An`Ud zBWcwYuUcSqkxa`zuAO(Nj(4b>cc_(jsF+u-Qh7`}Bej}~S1DzfCpXivMR8<2Y&8IO zqZmZOzqPIxkRGGK!!bUsAHs#whZb#z}Dm6i9) zQ!0zq6PkAOln!Ek&eG4dDwVRi!d%pdXb=W~+mCP`yw5Y*k2Dr!cDIk4tWRiMF7H;k z52Q4<&ku%Ky$)dwwhs@6fA`>2Il1lon<8s33Al=G@=YNs^)`;pUH2+pp8UG;AIB7K z7Z#zshW*I)ehM+G_v_f4!Tu7C0|F)6`}aQ1zdMYKHagMp8sn2aT)g{XeZM`l zjE^o8N>E@^NApcvEr+y*jTTQQ4O>uchADjriFMj;kfTP%qIG^pF>(QcVt1EMQp<$` zyeq6Z;8X6V0kG(6Rs6#O(4>@Bc4Rw0riTl~$*%DHfDcj(JZUfzFb&-dV(n*t*+?AS zCLzViXT$gd0exUSU$8}W9G&Dp{l=@22F9HkKSW4za+5`8m}$j}XS;kj!o}B)Nqw29 z(|4RN(WS}vMgc3nXLJt*chVpz0-P1WG!(2)hu|5SX{94!(`qaX@{sgNZY>f9sfSsr zX+^7^hJgmYD{|JVkH^fD%R~=RX;n&q$wTqtp)XaH<)K+LzbkHA!FT~%rY!x&!1a$r z?t;K=nT1?%^tPy6R^$4g!fgruqvH5i!kNs|;4l3y%mwj-nxjwsU5?6IC;0^U>&;LK z#6O?F8F`^5SICMNoP@z$&zG~R?|qloi=eTxj84`AsW*VsOKatcgJ`UvqLZN+)e)fd z%3EuwLc>C+Eb!m+kPxsVOBOoLX|9zrQGCUVS5VyDnKBC0rnirkx}BJ^LKrJms5gju5;)f3I!`# zCkay&20XgT6;a|ci+2UL)I!)#(xN+LI9n&QtU7I=(+E8651{^&2v|^atYA@7(OGog z)|syKgdB)luMA7$&Gv*?!N#Dxtz+z1wExHP?>GYUdjG_v9&@cC=yTRZf_#?j^W`R6 z?8rW1A=RyOFzsy!h95&9U?~%P<^T4|@r$V2E6< z9RA4bJUl~6fdW26x7Rssvf!^GhnSrcVGK;wnN}DeM8GR;oN%z{o)AM|XN}Pbb z)md(xYCV`=BajM4z>i6ryg@UvFb+GmGcBm0?My%*I9ctHH2Saf)%o1fP*d9rEOhJU z(Tz%8Pf*&J<^(K8)Xbujzgj#ZB4F{5I;_YKi9ka&QbmhIBgUv4axOfpI}WeGC=rbi zXes|(6O0z~TZ#`Z+dx^eJ-`&OhKL6#+flxMRe26)QMI45j%mFFT& z5=lm6?7Ca!&p8My>P?CVKP9d*v8%7G!AB(U!VC_2guFG*(jZ(VvbFg)oe-H7zLiba z{Sl=Vr+XNc32%!h)#zTuL!9~HnB?E2Uz3|zVsDdS9JZ5DY~_oMXp@{CWC3U4&qo{mzkEE^e@QbJ|DwM;{Tq>5S@tij^fpjx?lEtz=2i^1 z>5p~c;$P+Q8=AX7&6N*$_T&rG-{S0S^yPSYs*6bUYuH{juSe83)Kl0R)6A}!(b+x;fd>R;d#`_R}J;)AI|7oPROMSgcE-Ghre8^vTGLRQ}eMS z73CMO_Z8etPnq$%+YqVX;k0Wv{k-J{p0=?VP!WFKF10Vc)+)c3*CMlIa>{82)E1G= zalo%Km%`?kueyYk)#am_M9M+Cb(aR6mB%^h1>HM6qo-0K1r1;ai=EVkn={YoaROc8_(w#syPK4HdmFVCxPYgEnrUM_A=$}EUXhQFt|Y5%M3ImC-SLM~rj2o) zlB|qUcka1auHc1_(aAq~Ss7;%W;;va=}FW}BaV$jtuE5#v8?$1u&I-xBXAxLTjd%a zjCkY<2i>F6jXcbLro%VUO)TCWV@uNXua!uOzL1tzKV@keRUcz%8dgUHGwPw=+WDYl z7o2<%;hj{yMerL1^pHDEL8%3y)`FAK8P%(USOt)cRa_M&(VJ7)h!OnAjPu2%6_KyD zPd%)2ET8HEO`SYr+Mzs8IfG(GW>zQt9f$nt7=m!yAPvM62)xqXULXF5$!~{;OyT~9 z=0lZWri!WkcutXR!sHOCcR9cFqm;$;<3zOLP*Jq*cjav}9schs+mQU-Wsg%oKeG3h z2_TJ9B$;Te<}vJ2WdEd|A!PsL9teh)HzQ(XnI?a7;}XY;X(hj@mwO+TL=bP1N|a?} zyFX*{%8uF^3q{k!?yES-h7|26xBA6#1ThE-FYY8_V0!R3P{BpM4c?g!X}vqDWAiXn ztY`&z!#|t^4Cug2N2tXTjHz%tAlQ4CCu~Gq&XtZoiMCg*^Zt+&(bOQUcf~*hO~vAc zCUg#~Py(QzjbX#vy24!i1o3Eb1GJ%#2+bkdTUGQX2*4R&A~8c02DkY~kcj6TG*hWt z+uMcK*7*6wRMce^MmZEJz9xedn7$^{D9oH43tI&zCbUa6^~gKd)cqE7D6c*z8atyv zx>LIsuZwR_00=Q8U|uHIe|{hqpmczW{M~!Fz8yKZ*<1e&(xzR6pfGA1RduaM zv^|MLt{$MVpk0hsM`IO)&jec~@AE^6SXnt#(DtGHYj(UK(^L5=Hl;`BVbM-fZ*3hy zFOK`0;og=GwD+9-wDr8_ z(fx~9H1&Ez%adu%xS_vi4azw_Yg?$l`v7i$46CZitXP+y<;w1Wtx8!pk+luq1mT8D zD+PoUm5BDvs0U84-DXYJEqHR5GYo+EWpi2wWR8mrKDdULS2@8%8wG`3W%8e4A4!H_ z$v9@WS9l*e@C&=YC8H1|#fb0Io4G`}Vgy-UF-FhxumockFPk4u-0HEsozkx8B>T+D z6%i!l_{E<-My@MmfYxMu_c0+jmIHkeF_^##N|(}99}ad~`W>Dax#>V9qV8vCC^Da( zW`V>ZhpXYeZ$OFV9S;LIl7-AdcI68B#gGD^5^B(Q!qw&u;v0xx&Qqs`V! z?WbirONah3kxrrx?S50wmo^wtS0Yb{Z+MIHjwqxT{1)HuiJX=-0dmTUZvX6YJp6`C zn^CvIG?5{|GvJe_$S(!#SYcaf+FDYz&h_CeIi6q7*s+ChQSGc#-c)aHnu$xno4<%A zv9&rKqrjVQrL{hi0_neM3x#~r9&9#IKdDf#Vl|O@7Hz3btjI{dPvjZLx3O)xy3)ljiU%;J0eF!?TI(9`^JP&Q<8P&{$8 zP$WaxP_cVR%hCLCLC$2=68v$CRAh4YSaC(XNX)F+mFl_weS{|Cdwg`4CPzi*|{)^0QEJ$~I2Op(K z%P41k^b1GbayuHS!7nyVQs;jMi>++z{u*Si{!J~t>n^Ey`DptuCqekY;#<#&VePv& z&S*nwZee@n0(~y?WIOzJan5ACa{T%QRAd+Lph#Y+`UNXin>`;Q9zB8+pa)!8Vzk79!ja^?`Zf+NCMDwF?0nxqk&c!`yk+@a%b}S!4aINHw!f`1+`r;VVUvdS@37TKYbA>TWL7Q4JLRF!`2c;B1Inxn`&m>A0DWCD9|SHyUEf6 zkB;#_gV;kU2hm~RDdbss??~7tjD8_V=`G|H8-%+Fg2)uow#(U(;(X(_%kz-qzQFb- z4q}rXGxT2RA(JeraU<&_Q*M_#hZKRa$h5Mz%QN8QB&qpvsCyH89R0jUKA25Stc*|7 zR7<#1=y8Nt1l-Y@)r&sO>*g`35}b1Hyy-3v5yRn=2potlh1@TCZd+V{C^Gb7&| z1x$?szVkb9ki9y*;a5;Pd9_iH{W*;A`U?H?{ExGnK~F3(x;_MdCtV51@Q2Wd#0dm+ z+AMSu*|*4Af>Skf0jmZ|C%8xXZ@lIw;3(>wy=?|jkg~@^ zjY6R?s!4%9aPm9LASqiKhn9SIVF#R@Yw1rtP4v|8UNlcf@R&Gd!|C9A-Wm)@`r6=~ z+@cQV!@64`C<{A0j`O2~Tv>Vs4#j6rt*FPvT{ZtC@S<=)#TMScGqw)KoAH-(8cK9) zqn~#+UtxA^)}Yk5@%=8I%C~v5MT7E+P@x`tlQ8EmGb;1ZyoaovQT=n3)^SqRdJz}k z2-Oh$pP3k8MI#x4E>lUm^caE|<#Z7~4ZX)BNdco8y1?LAjpXXwfI(bhVyHs9VGLpp0Zgr|u@ijczB{+}!+Z?fSKj{axX3`q8abJjvnQw%x z0W^TFN*F|dOHjTNJhq05+P%WR9;ltwe`cb{zO7F$GBAB!HNrFedBCmdNUYvDO8iC8 zK*#3OC&Gmdqh02%P=ax) zfu6fU!RTFq*p^X`8Yddb1vX>TrCf6a?cZpU*s7z727AP{XP zjMaT=Yt(%ydPYIgHPWYFe8a(co|DZ+`tk}NJ4^r`|K=^VfdGA4tLLpgE4<#Y%wqHj|W_8BWrk9iFM;$cyr)KW{3bfh3#S ziuZXS672IXqC(fL=^!nK?YLo%-pI4PcuXbDedRcbO~`1r$ja6rw1hz3R}ob=MqR&$ zxPMn4;{b%BAumT@-MTGUJceAI>e&+KDs7CLNyitXD5k73?}68su<1#^k)zK<>wu`e z){&}RpPJg4s-eni6d{OHbF*)E9ID+w;u;6$T-@2h7Eql{0Y->A(D+U;qs?8pbk&s9wi!a;uv`Zs5SDf$qAJkP@t50zMPq!QPJN+@Kk3YF)cU`N zh6=QLzf{0da#nIj$mL8oq=X-CM|&(+)1CZ@dW>+ zamtry*=DL}G?_>C+rs3T4#g2_D5g3PiDr@`eWWXsm7&aP1hrnbD)Riysvx-Ho4b`J zCb1B>SXgnTRXtATS=t0@I5Sn<1Z8DaeK1;aeCL)|Ie4-7XEh$A-p{!&>(5Tl*N{|@ zeuYhSp&(z&)^5>HJqk*XEK$*uIMau6FH_neRB|?t)>*TrVCQL72`!ht!gsGc7!zJ= z0GX{i1iTw21wsKw&x!rT=>hYbrPTI;`CqEXFAct$LC#NR{jN*mBg~zq|AqK^I2ELm z^5D6J{!Us{WbG-E&+-o<}&`qpe8QYGh1c&!tLhJZ* z!61BPIT+TwoVR>6)LfOf9L&Cb&eVR!9wD_({szg#E4^n(W6LTWKRWKz-|TV0C5OE# z$;0pv6;+CS>32xvayA6n*&$uUnZXOLm;5VE7#yZUwu(H*(2rvPwY7xpJy*uUkuj5& zN~C502X%TB=E_yDPC>imh1_dIQQ|8E&dg(xgMy-0B)^%;NpzWc-q17aiCPu;OL5pL+;uL(mmk586GLFt?%%_81}_M82F!^6Aiq`>jsJt) z0;p+~`~RTAuMqKO87nVI(qIM9GSLMt;F_e0rz4SGUD5z}dP}W-BozjvMM?7-6N_mb3wvs%hZFrt0S3aa zkR4MopJj`uIYp}C@JLra#T71E&&fhp`rZKz^)tQh2`=fYA;1ez4GLa;Qy=>WUlVo^ zz5!6{s$}1HK#l;=J8lFd^VI?1*0BF%L#sNU^DAZ-{141+SV7AF3Ul|onnI|VM<)Xe zJ8i$hy9g?IKEwnhl~do*Vj6BU(twM7^H~nX1borql#c3g^%$0gYG^#LUwwn5JNvmt)K8BK|z7eh@Qdw~zc^Y=3Hfli+n}kzgn<>Oa-%IGiR+ z+c4QOG`ST`$KQ3jpy}T*mWw(}4|P$-T~J%bTDV%nT1ec&YQ$HsekY}Qp1j0rTWCir zA`^ukT`Nfpwj0j?tH3E&H_T_Jf8VLVa(gLV+lVM%jCyCE3vN!S0B5Iz-UOKYbQ&$U0B;Egt?ver{up+OeOrm$D)6DtRFoaVIZts$RXrGS5) zf`ttuEfLYyi#%@ALakU}>E~6Nr96?M0WhD>8(Ton68y?D(RTG_lJ4EL*>_uRg*kts zkF)c>$WxE>A4mE5_|r;1>tjB0+h&&E=8MJ4rregQRfj+H7nrZCt3#=B6Z%>e91>{g zrDmE~e{MHSFCPj2ocV7-VlLaL@kiJveoFhf2k1Tr_0uyG_dS%fYQxyCx}) zY2P_z0ejY}IR0FqpXgJU8QCa4ee>1BhsWwrZeFvC)HT4v!>hT)#kosfeUz?5Q9awo z!5E{hQHbwvWa(+xJo%_~7K=oOMe1%a-~eILNqg~Z<#@?zVigwNurCNn-oTvBr=74; zbeb;h&Y=3R{-kh5xdMK2BLj%A3=Acl2_^SK1!Usg@7{>p>W$EA1y&LUrXo74^kV+0 z0pQ*A^U9z@W6NvGAAM7R2l{M14=PSGSj?h!PfbTp_yiOqXJmC%Udi$^y9FwKD<#hP zxyC(g&#Q!%tectqKTxvh`Fat-DkUR70qz|DF-zl?Dg$>$wyI<7R=6f_N*NxD^rvjX z0wM?yy8jme0gz;%*&uyEU85wj;I~@YguGNz6Tl1aVstX9qk#pW$#Km&Qg=sB%GUSZ z7&FK+rn>0CX!d)I`dCU{Ft}F3wK9dBccdRVtk+nD*rd9!R;@7Tk1kbrF);mxcg*4i zg%_v}8J;G*dg&;u_xmkL@l+0Ciwj4#JfuRfY-{QJ*?S^Z`!BvB%!{0!6@|Dsd&k6VA*K zCPL)!Qoi!ML30eVb&OJ5ZYGErO&wj!-7BKKGM{b#xQI>oq$rU!G@h6YY~sf0Y&nS> zH!jedD8K;V>VEcrf-F?ym?fg@*tXtL{)mqVAK1_r>Zc`d@Gf?9hz=vJul~i;7yDup zOv&UFC5QTKV;bho11oDG(qBY8y*q4Lt2$IyW5otSM_e2vz>v9T0 zF~31EEn(%ZD5nlHV6%l6hyPwtc%+v^R?* zP@=Md^NFf&V>dNe^y9}H_`0tyP#qM=+r!yBCS9s-Li9+c*6hS@Uer~~Vnk1FfL+fW zZ`G_L12k(x3!&Xi4E*P9Q{&s|OXBVN5cxCHXmAO4owBHb!S$t7()JU}!}yyt%0oqV zVAxhEbozVI0Mv zm6E}?%BvU^)kp~_1^Lac%QV`2`-XC5Q-Q}?ExE3+xU21-n}VCv?DKY+>N-=GBdbKb`I zUOjoU^pQK!a&$@6`U%fM$5zAS*TX~eL`(NDyi9ujX|m^S9t00+)cKbtvRH=u8v0#J*5@c-p=sfNy!>Z?JZ*x|B@|tLEV6gvUTbiH@J$hy;k}Vgzkp_ox%yG56SYCjSoj$zJHs6E_G&;smK9qeUh3cjKQM zIEjibjR5`{;>CaPSgvqZ9*fN|G;&`nkasFo1dg~Ln)uqMD`vy31q5@NSv^t))v{z~ zxRsxw;$S}`F*iUC5|N<31qy5PS8#PhtkX^Si>#NdNwh(_`+~nBor^rMZMqwZVfpWT zv9wdoq2!d53{PZw5v7aZ845?uF;L>FY`86Dh$kMjRR?}cb|lujo+uVj|B!7KJn)HW zd5{(IUyx*dXB6Df7B%k{QL4VI#bb4?J0L|2lpudebR<)&hXl`oKO5tMpXz6f#A0Q= zUcMFf@q9`4tj^12E)pmG%!A@CjJ6^E+~Fd<_YuUG49TCs@`T!9?j;J_Gezrj;Xv5o zCkk~Y`y#=QF-S#*Xn_?M%84}eU%|W#$;A*L+Rlth(m+uTL(EdIF1QcVI+9isYs1xu z`a=x*l4uD3D7KUzP1IzsdX$D}Rt1*C=syFo^z2ef784ieKB+y6g!Gr z)HOHcyNW;?xQNLFZq1+PS4JkIokQGNNS_~>B6Y+SzJ#WutJMFO#+x;oM$pxoMp)n$ z-f(L*jVNn5a%nKPiIuc1iH@`_QIK>jL34I7TzVadcpjIp@1@$0iu`F9?`vg=;XGXC z9~XFw5fehxH*z_{$Un%pJSonhxOJJvTKE?=3jbUCAK4?RIs~n;;L@Y%LB_$` z^0s$`O=@Cf#1Hh28qE8nzQWZCJi4InQG>3wP!dsoqAsQBQ9%uEpT}x!()yRCWn>ox zW~;~2G%~lVvBo(yECsjsYicRSvdM7&4d!&P7kZi*|9EBdqs5!&+CVt-H!B7CW}~SuEy0WuRL}%UP1EVAX+c~&>EspnF`bT)X(#?|H4AIGc}>(wc`*> z2KG`($XKzF<5HvGLe;DglO1Q~NQ8W_ zPEZ|{n*ShyRHKSUKvKSG%tTc#jb>*RBG{i_gI2H8RR5BAy8tViUW1)QTPxYD4U2*6 z{oszVTTY_*sh_W;-?2)|$8-^=aIz8ZAXran`e%BHHx;!<2ob;^!7`OMRqxN_Z*$%#TQM;{?5l^;G;^tZ;G>QQPtw0Q$WSt)iz!4JQ|l zmCZ=kPzi@==|0`#Y`ZScWO%A4JL+)tu5A%@eICNXOTxc+t>r^(crf7ft&Q2iPJE-k z)JI4(p||bPMQhk4KBNQ>R=%e~eK-1vGgSDNL*o>(%{}nzdZY;^nK~#hLeU_8uUkP>e3&kPDl~wDGC@Lv`VH^-++_kKomZDOs^7;q2}kAHleP%(?W}x% z@kPAuHc*gi>4VukR6;D|A_pPJfvgK(2uf8%l4@RJK4M#cHr#c1CZ7Er1{B!_HE{Cb z)vF1K+PSf~+U*H7XsT)ye?6dp(xn%V#_@_{F+oEWJLH+pte0NBAC^5bRiy z8)G~xJ?EC>_?eNqL-oKEkJiY$#XYp~E z^kka^p1?2?|4vTCi1hPnJ{)KEh4h8O=qzGkANmHasjm1OO>Gd1k+%5k7=iDCu|?-rxv;jd=>NStwUv)gqErTq7D-r4*S3q!gnzWyMo-Pxd4JO`F=?nhd~R2>(G9 z`D1Et*4U35UXs}Ue?onPUPS#DW2ol;5MY&wKFz&iKM63JoTTJy@S>iRt?sJu-osT9 zh`unwlBdbw7V&QyW`t9&y5%6GZ>RXaHmOF1o>W_5N3($-<;DS2m0BqyoiytS7h9 zEZJP0;K*#LfwGb;35xutUQ%U4ZDlO|@Vm(D}3JW+nc-9A3yxr3Rz$<1CV zztb`fMz_|Ej;=HsLKL6C!|9FAHn+F$*hsi;Qt4wz@uLrj)MiU;o6uH?mbh#zlrdI` z2r0fL4r{MYhYoV~9DiYpzvGL!J#R{So5tGQsWZOx9uv*+&>`IilS@bOM+nzY7?)P` zV1v5iigHQ_eoM!$#0P`4^paFPd-_yEru2<$Oc}zZ6@q-MauTKxZ9D}-YSn8ExDvhQ zP847e1F`wM2ukSz?m^<)#CpzjYo#7WxFy_+)h{s5K``65qbC(}a+RlR5#E-<3e)D- zhrz$N(})HYX3AJ^)N(NzrQ}sx^~TW;dl?qp6Vr&&KDOX=tsIXS}hp%9~=(UM-aq zajqwOt~YqD_vT!$J<4aA`uz;``xWZ<^nRA9$x!8$`dP&VS-!~Je>*fTYIFE;oWkWEg) z2O0E-Vfy(h`@?N!=EEyZ{XAmCup(tH;#0O{({3b)H`#;GA`E20f(i78kLWFL8uqg% zlf7NJZaelbXPS}**z zm%2jHY&dJ_=I8W-bN?)e>@f10zm~U-I-CBGr#a~S2hWO)Ok4}~p`Fukn9(#Gh~7t-MLasX%g%|HcNFugnvXt{|=g`s-r4qTs$bn zYRGkTGC+G#FD`WQz!5P8(QL^XozdVk z-NtfH@O!b>{TU)Jx`74gw~W`;RzlckEtMGcm55Mc>YCf@Te`YGnOfFAUOwt8{hl30 zdCXY(A*+O)LS~r6hFDe=;!a6#6wyo9S^bsS8|4jsU!#zpJ){|p_xoPoSY5r~8adhd zHv+@s`xHULqs#nQrIl3RN37{Q4?i{zbH+lbKc_22Yz%aKIjho4Zb^XdI|DameK+|| z6xVUS?Fmj~pQ@kLH5cdSXY}0Ze>EcP(x>XW>jQk<$c<-;KM3)zk?u#y#Ql3XGURm< zOq^T4LL8%xjG0hLIGPO4uzE=rJ}@#>i|wNn*u6~Bu4}T0MXQEa3T#*wG5?*eu>thY z0YjTp&^$gjqadg7F+)<^T+ermg}k~RxUoO3vGm8UbY2!M=V~p8Ggyc$8 z1fr)uR##8je8be1Oz7?dR!Fd7)J`bDr5$#y2Mw!#ww&9S)L&;KoDE^g5t2w%iB2}i zW${~}CB=h5waO=)khDqe8A358>(x=WAp7+m=RtGGOaZ(b9s;|?6hD|&MLvgtxyz{&0AO>Ijs?umod@{R? zX_&fAP>n6|c7-d>s^*)0sOy4ghJ7fv{8xUOiG(O%a}Hzp6&47$^DZBN96nWEqCNI5 zcFC5#5Q=!F)w$mRA8m(~;hr=Nvx@@*+d7mj&F_3d3yeaw?cg8LD$a+0mE|_Sdl`R%tKQ_|W02_K0I6 zWy0ZjG**eek?_$bm^vXC1G7Jh*4rb{q9z(=jpT;l!fdUUL%Jn@6x{k&3c3bSXSZN> z8`)7cl5889m5CP@Drrs`YI_!r$2+8!WFA_WK2Q2LSb>C2nJ76gp^;>q8Rs|j(g||w zB3loy08~vAs&loG>922|&^5QT+sFa(8K1PaplX{|kopjWNT5UPK+rIfLg%Ofwr?OT zx}H4t7@2w+6=E0*HV%Y5%@zi#L9D7~6Rttt?z)YN;Z6{gja~fq0G1w@%DNA=sC7Rx zbxpaZuflxGzoKs^uJ+jX9@P`$>s-%0fi#7a=u&W-Qn%%k;N)Bpm%H>iBW?wEQPJkj z=i9f1pm)_TkFn^5$mBH5n&NKUOQI`FAWY8qi;9s;7~JCG6}t+IFH3gW>6= zK4s;@|Do$0z$0tEec{;F#GFYoF*>#}u_vCe<4kPZw(U%8O>En??XTbWf6w{uJdMV(Qr!*G5LK$R zex^REE^yhOxB4EFTN;p5_?$C>4P(GgNVBgFgtnEgJ{IHU+m7F9D&Vm@oeJ#=S%+w< z>;lzSOa83fzNCN=sTV7)N2y(5Wg}SdUe9+i%e!#dAVeqO;VeSIxP0FLclu+P0m^O; zi;tF36coz!Bgxbh!tUc)%?(j1YR%3$lkCf^$NZJhE8$P#Q*x}(pp=*hE4+6E{H)o+%$`wuIi0wxq`%A_6$o8<2n&CUXEpv9 zPL*S98jZDwuyOU)dTraaQ|(nB4NNaMEk=1ZTq)C^Ju2?%nC7VEZ)87E9_bH7N z8^Y_=FztFyQ@Casm^l-gsK2wGeE4tuBq zT6g+mkuJCYA>eb!!LPotCKHq~|9&}*^Q8~Kja0;Gph%e5jyCRHa-Ra>#<^_|S|8qH z+^$1QQX+qtARka{KcbFYU_Y`*T43*F6YDX+ovA$G8N=!!-KizSWXp4qApc5b|Ci|^ zMY%JPG?mruU7Fj}`C?sb?x!?U8qdL5g}J^d_rD69=YX&pS9UeS#+ukFO-Q~5zjcod zwci{{GM5-!2~5JrJXP6cybJ1=2+xG3g?^!LAq)d{Z&c$vJ~oYJ*^z>n z1P!;^bZA&vEzPwEJbKwelgcTgM3KRq20z>wT3WnJ1IxZ8>r)7%9xsHR>mPNMfMiEv zjPVMvY_Dzi>y^ZYhY)!k#8MczDifjUgqACxKe|IB^A_|&h*q`@8p!6p$T!vBD26Un zX)UjLjoR?nxKNk=CK~#4yzB=xRfP?JW=-(es}UHX+1j1ef|Gl%?67o$=2L>j#zn+$ z|KQ)oO!FE6Q1QkC$3(=-$@1r8rpU?EU&Ugzj34aM>gscR=wU_6xUv+|C(v*yADx^2~^nU1)a#PQB zA@!s5za-}_$y)-yYnlb2Q=Fafp<{QOd=F7xH>7a?u+0sJJUIh%`e{szu*v6a_SN zp%(MJ3QN4dUw+w7_VfOJN_EP(q8|F7p68WVqQ|zEc)ej@Ot=r}o}W_~#-KLfa6M#T ze5P(u!{U@omft_Jqyv3g-aq0}!=hUekxnb$9iCXz27)3VwI6w&jPAzm(9FBT1wV08 zf7H;eD1A`{*DM3(=C%L1RcwMonhFiO*{tip@7-o3C~gvfZqV3?Yu; zhNn)K!jy3#BGdh|7+(`|IsCdO6s8t)&)Gvyyd*54!5{o=JOc2ot|Z*aP0ZD1B-R_9H|i^)hNZq4fh+3eK4 zkQ?8OK`YH^4T&Wc>U%4jufnJ>+IK>ss$Ix?XtN~$yysfB6W^P~toFwRZ*II&|C**GU8`qDRe__)ufIIU+QOG^%?+n&tqikj!mV-% zNwfL5a^!l%2D6IEuU%;K)#^rl-v#@wag)+pHA-E`>P_v*!W1Z0@~sob3VNjh8@QpC zlc?o8kX9}j)M;%4?bd}I5n8F=Zt425i8J24U6^yDnZ_Wo{f%+<2y{Q*%=j`O>T)lg z3Txla^yvQoJOIM~;eYPTY^@)w7V-rjFSymbu`j2F?Fg5<#tgcy>lR5ZWaFonBDW&| zbz%Yg{rP_FKx4PUr_iv6~*bf@S3w?Y95R3VGK-^SM1fpc&FPsPgN!qS80m9vGV7RgWVY5srD z^s`n0ExH9>;3KZyVXv>Vs*!nUy;`); zqeIIQn!cfrEQ1r$hVy?D!iMv|H2BIwbVk^IN!T8JN#j&Qf4A{x|1#TQ;Sy(}sSg}o zLNvg2BBVL+mi{^*M2mA1;}Y#RLFD)Crr7j6lo)Q|6R1+wf+D`MR85PFrr6dLy>JJ3 z+H-Rc0YJ@9x5nJgyPfjtV!Y^LXkVds<#lxC(qGNL1+cITz+1|U>|Mqx&9)mLAUO^O59a(Ut z-+M%Yf*9Sqb8+*UG6w;(XH-5T#>7n)6vsNr9q_1eE`y>^-jy)1*MbNXy`gev9O_~NvSs7 zi2YVP7&>KO?z|6Ju_fQp)bM;f5J_4ph3Z_R0QtB4UaZ&ysr<_Km?S3ol~M|;0X{P- zy_Ml)CzOJ3WATkFr)ZBG?rm9Bd9Q5gb!PbVJ`ui^i(E1v}$SaFr zEca`tavW~?SB5L`1T3qoTjcBtyr}QLp zvAKP*H{M9=Kt*9v;N06y182HA{)10?%ikOlZ(=(;Ra%UTw+_fpH?ffc(eiTi-w7`)rwhsS-|{Q=r1ZbC>On6&p7H!Y7eU^) zKEQRR2)ORgYgUdf8sa`r`0f*kY^0t6W~b`X@50%n&u3S9gHIy?5XJ& zCPqvC!fNpBr}HzF3~xs+$vs>5IoY{T`0*aoNeV)_ZshV_DrC7~xPwZ}(g@LnvH<9) zA*AwYC$OS1RGuN9#%BI7EvM~I) zGFd*~fw}Acz@D17^$CE0gk>Gx&}og5e4e#M!UE4 zo81rQdhjW6!Mf+)7VLm@l2=%fy62if=6@j5KTsX;5Bw=o=g2}D%=<5m1>ZuD4`G5n zNL)tz+V~*PhsaQe$ZkU$eBf?GQCtQ8A4D2#8FE($k5=hBMMeHY#A9LWNIAv}p5zWW zq!B>jIS&DPm564cr1Chk7(!WRKj}cuw$F#W;b+4lf&AT5m3Ziq9vlL%O$ahMg&j^o z`C8rjLpM=~uNQ$|XP_}$O+TgfJP&)xX&S*2G7N|Cg5rt+ViMgYteZVVQNMr;yN{Y_ zCI-O(YY7I7ehG$|e&PSdC_9CPmbsht+*WYL04FbOM2zx z-6hY_n)JarWj7_WA;C*H+&C8DAUTxM95NBAU~A^Km8{kVen)!Kvz5c8hRGTWEyKme zfvWuool(4aX~QU8Vx7z=sbY&Uad``{YggCp@5Pg=_kmv|KWd$Z0~DJvasam<&%5J7 z$280W^g4zIMTO|8pSo#w!k4o9KOq4=R?k(xv!Z99Ml-`_fb7(h>xXG;Vw2exT_*N& z7}Yi4-HlCEq{7N0&$maN@stthX-dQbrW}j2hj<06b~^r~0{hRijQjYbZ7nnP@Ntgr zhwq0+i-@wjq@j)V&e?_vjKz~=)Tht(ccb>7p+?e6>&2gPFI!t(@kPMceG*J_`fTfN zNz#%lgnHxeq%LNOGv_C=hV~+>%tgG|8yhNPn1Da zzN_Xq`e6g#O`$bsD+EuI!x17x7-MRdA@JhqW6b8ES*r2`2YRuFnk&nU7L?U;9BaQR z?~0W>EYu`B6m?M_3v!6&kX&WBk#x!1+GVuO7?8}{h@d>Q@_|K4;~7^wAulESNh6EZBf;eCN3?mS9bFp1)6 zcO)nExr8G15{z@<`a0nPNs#0II@<1Ov@yZ8p$CKWjB-KDYcorH86yJU41~KH>2^O+ zfRM+_l5Af_G49hW=(Y?E$*Q|^Pk?i6-xmD5?=u6JZ zG$`D4My*XqcrBjYO7!}lqQ&rV*W)1y)GWiLI(t-}z%uj!q$=%qqJ51D@6Qd7FZQ$0 zj!pK#9wF{YNq)qrHwEj$#GtQ%_Z2d|9B`Py3mjqR+1xhS>Gh=}>p%w%`PNnXb>y^* zBspiyk~XWkb>NHqrYby`^J{sRDQD@TPzK;bSJ(Tqy32HF)Aa08_TiEB)jh|P=*hj3 zN2`@tKN;o5gh;Ty9I69Z&}pA+LnT11Z5NpgxSiKD4Al;21$qTajSIElxMO4CrOK?yX>1Ry$;qEN11x$;x^uk}-LTEgEmepS6msN{H z^x7)_37Kwl;ODORQ(07+r@kSilLC!A+ZOhT--yTDo&=2Tu}L-YJ|R}=ErNST;fIbr zn%aUiE7l994fc9G|J=VLSh^!aH@-(gtY%63T9FiYI~j(6j{b&V$&n9=o>QPS91(zm z#>Madg#qIDO6k^E|CR&9@Y8%eDLz?A{~?j`Jv5LzZ<9W6sFm3wqNm;;N9=U6uler& zZzd$atj_XIxVy=}%nfMJFASJ~*n!@O-S~s{{Pd+f+x&{k601p;>YP};^z-@fJ87D1 z_@u_4S_R3JBK|HuJ{>Qvy+AkbwvLa2K=*ouOU?smuR!Ub;Z!=*%hA)7(R`&nTYKcBrVN??eZoxWj$|MG>x9|C z%H~9E1;LqfptH6Wfut>w-HF!g41aQot-EG5Yp_c0J9&l2aF~uBf3~Zp&te$CncAN_ zv2TX;OYzKhU}gi$f{zS#hvcSTxLSs$#Z11WY8yGAr)qHw^{7so_cQDj=Y=fzUw9my ziBOSnzf7Hp#G^*??^*b_CqYib4f%UmO@)m9BjG0h#WVlnMz)fM!jH%-n*m#B<{;V- zW^JLjM?xS~GWtc@Lrjbv!)QBuOUVEp9$BhD7 zENaM@A&V#qD4`-NgmH7EAYKWDLeLXj!Z0}0NIe9Eu`q=1065kwn{~cm(JT6pgMeSk zg#_B{JDfo)iI7pHqi|O9%EH9s-qa+D&Vn87i{}S_`4MPzhD2TLc;;q%MErR)W!%u5 zH+o_x7L2cXtRO;5WDYD8L9TQtA*1;+hX!6H#3vDBVKG@%$=BBSaJI5i2Qnz<(EHAr zv(|}sm;a;iR;J7yh>2D}la7rIGr3lk4nQqh&v{AwTT`j!!uF3Rv}EEQ5u|8l-u^4X zUZP=nW{;@tHr!6sZRQ1hvES%~7FH1r;>@N{gPQrA9DatCik3t@H4D^P(fYH`I>sLN zX^nH~^)0LI(F$diV8|lGW#wROeDA73;Y6{e_>h2z&k_uf9N)H>?9nQBV80~+m5F{QiRsAz{ z=%|K+CslO%i41OOg>1Y5dW!sJVq71{h31g9*$8IKsA!D5}QU_n4NfO)!K?D-FZXpt!>IEr#Qj*wTSY14}lX=ChW< z;aB}`QycS#a>TqOjT#Z&Vh6MhH(Y>xhEd5yj$lFA$fr35o`$Yv)&07sFu+FxTUDK>X9>&5;l4C-jJvWNz)4-pDJ zyQCNH))($W@oJk0F33m?WG7%`@5HmEv;KEIxzQ-=vBBINk^D?AGXs~|B*D?p;?;& zT?B|2rl4#(g$kfUluDIBlro!tQE@&s5yO?p*GV!2?KNl`yFWv&*I?O_caC;cHEFkL zj92-0;C?@8L4D^0y_L=|yWc!tGel?l1s~t}&z*(i+2YBGdn?`hiY8wigK>jVd)|Gx zSh%n|odsl>NL_=NuUoZRlzRO#WrO8B`E{5{+{}dhxLW16YM>Q$uWY(lW8ZLRL6dcY z@C~s90n&AwhTD&`uF59qL<84K2o)jLf_nm5`iRS#JA%_Gn;Q|m>W=$iK*W@B1^cr~ z{l?2L{K`8{x6_nr#tz3Zz+9O#?Nt!oMx_EHY_b&~PL6&X7D*&J_cg3^km(UOiZ4hx zdi&oYSv?LUZo)iSl#?;v4sewk#9TW-dW_%o3s9UTdgrzd--z1cluVbmkAAE)67@|t z3iM51hzSy|uVlA22;4iajQi)6qewK-iKvs5$Jf<;osWX@SLMN5;rfjbjdxlx@IZQt zTqbC;M-!83^rg>(f_6DIw^`8SLkErZlOZJ4`%9m9G+qIs0nD11h7orUPkApI z{5H3kYBhW^_n2oF9nxF$8o`5ELthWWTzCl7n+E2Wm7G*W5D?mR0?BQUlxFK6b5~S8 z5j#?w$Q{vwypgTGE)Y(T*K_AE{}lDj_7j9qrE#EnpA2b+D87+>&3_V+6yI&_ArWQ? z69_QE@`f9x{y>XM^aUAnoRJbQ0at`ocI;JhXuHJF%-H~F=1K{)SJf`Tsx^+;FK|1> z%yOz|yCKlb6Iy8M_8jW=5An^URR>4qXlke$sEVX-j;3|NQ({QA*odCn#`Tc@D{2qo z^7mhH1zj3E@duQ?i`iB*fMU0!Jt%fZwl2U$HLwq8+N;UHgG|3@I20akB~70ohK=Wi z%;~BA_`eVUhwF)^i-;hGtOV>F4fUUioRzrFAqIRuz6DY**o)U>v4-7eC{`Rs81R__ z8l~;Z=N)F19u{%CToZ+6ELy|medi%QUU(qoxA-jeg2g}f1*nCQHab#P8_D};VTr)-3ZTHQs5mCOdtv%Qhk5=j&)ZEn&_*M zvdYlM;1%HvAt@so1(m9%dcr-XFE4Vgmvws$aOjO=sk_JMg{12_AXM@Vso#!QXQb;D zewIOB-Qzn$E|l*I9e$n1%xh+ypuQMl4f6-R`&Hce&E+7oj5Vz7r*NNP|6 zznZ!^&v0;q*Oe4GS#UTRa06q}BmA9we-AO*O58NBP3VhlX&f3B4;VCX`U89oE4d&= zeIW@BZJyBa>%l(ks@Eo+e}-7EnfuD*P)v1%r?^51jjj2Unt-yTvksC;Zqd6)i3Y$B zM}4so1#WFuWfsm(AZdz23iQ#MlLIQ=m#Q+B2ek7PXiU4Cb0>V5^C?$$J}e02ASyIR zqOJZ`>0|W;%=o2TX`x}(A{zYl5Yl#Us7v*0KL0ymf?yy#p`?TNG2fpGJ<>ngr=`Fx8MnUTr(6E4kHWOs(b zHcYUkliPo9a@*~>Iukm{#a}exElAfvgnT{bibG|LKxqiM6vak5X0(rB`x*s7Wpo1t zVHQ?E?ev4F@9u|RN~a9k?5A;`IJjT)WzBRDX|PFa*kZQ==&eJa{%#O(=j2v3wR+ql zY*T!Q(2yNF3UeG4Cn1sM zJ!1{=B!|#Jwyx;$XB?t)VcB@wHX4{)OV)7OagNVSJmm1xX>Xc@ImgtIdFPFOyv+A4HGM4hSodlW<1EUMqoqRQb*XGfMjH64|oW68cTf$C? zdX0*h&MrahWqS@68{xVpyD0Scj(iV%)6F7DUdw|-oek^cP(NST*q3xrfO^O)(0=UG zIYby6PFZsto}Vsn>@#mO?0?)|KUNQKPpcOLDH%78<6z*XhzQVfMtMmEIn*tE^%1W; z8F053MFOnDy5TlIVh=o;EwevyNw9y-1pLH|O0%5_sG~$mvvnEk&>~;U+Q{bj!i$>< zy}O$!YSG62^`3Yd6*jD@u9F;R#;PZ=Ff-GiECsf$B~^M~g%$Q&UsjO=3cOPjohH+Y z4qk5PbpK_w0)E%z_uJcOj0Y=U49>`G?;5Y{`0NsBIa6`2D~oZpwa#!ssX2aXlJ%x? z*&bN_col*!OX*4Hw{L-jW?!4)#8=3m*iIW+t>qNh%)CX~#l*kB5g5K&`{KH4h*yHo*&FTH&<@s&& z*mld6BX-Wm2SZYkhs*^@0r4`DT|1aE5{migX9L}UgCADR>`*Dy4_E@vIeJtc zvnF6Kjx19?Y+&!)KZUyF)TXNAvF}cepx?R4zCDfmD(Kyw8R^hTA1*p{w&NSx`w;yz zzdDml9uaHqG1Zi1SkQRuqy-q5U=Vj+d`|h~ZylW&^l+Soij00f=|miH{*p9VUDTG7 zc9Cb^8gA~_;U6w`>=9XOVau$Se}=0)wur=GU3^t)^}4mi?qN6Y_1to4%iI-IOuCL$ zuv=3V{%kk%p3Z-;h$)--lAG2u7KCGBG{!ay(|@?0kJAxwVw? zq4mtLk~|)(2ue7hGoNv2EQtR`L_a*j_OpfZN}P5Ps-Vdxqf)yr@dLQ&Qg6e%!a-bl zC!sZvpLhz~=hkeIQ>%(kJT=T!lv1BL|aK&NI~AEp45FI zHCv*JzXArN$jN=1nX~!91F-@>7BVu>A3r}A+)4qH1rS5RMlJ&R9D7WFKNKCYIVI>d_X7_E zU%rD%6$LDSqykeGo2!%_HUux3j&s8#XME@md4TJCdewM?j0)%`bQ zFsBU@m6eXK(58YfDhxZ>m{lPOH3W7ON-74yjBPW}ZC`-TL;?ESsJ{pLGh9iGtU{7dXQrIzQ`wTz-U~GKtTDtf& zXgfo+)%t=^i9GH^`~_hSdEERBVr?3_IUliw0yOM)%q0uW1%Ia2=KzqBz@adZayI*H zZ9(|?BmPeViw7TH60w!PkwgU27*c05kX#Z32z)%SM9uM!q;qBcs_r0KXAs5Wf?)RY zHD*9pJIA8ar|KD^^#JiGjd9N29RG^u%a$iY_YWN(j<%RK03Zj_E+xo`J$CiHcFLRv zyJelBK2E6aMyYcA88NZ_b9R)X;Fgj?GFeV^x^Py}0WTgQ(+SJdyMveS01vd04T}}PEWaW!a5X>87vWrDvT8C^Nr&=Caw;Tc( zrmUalY$6w{&Hc`^sur7WV~L%ro$u8kfIQ8sq4g^ziaXhnM2Gu#KXsRQ^e7{mUt`LhOo(lVcF?v?fCW>vbH2q|;X+vFu;drqmmK>D1`U=%@|7zS`lQ6xGeJDn@{M z2ROxC+j=|XxLE)688dZuvt^{OBG6Y#c%nfg;}Ty9SZa-}O?<-AK0{vH&s_B?>5_^d#0)qt~jnSfX`l6s`5#TQH6ovtL(66MF>jL00F3ot5-edheoc z72I9rp#@`dxY+(}`7sDYKc_vfjP5VaF6uIn+_K#^B%fL^szX4Yd!6qaT!EmG&_B)L z)^}HTXk){-PmdU@V|kqK(|o1v12q?9M0){hC8k0fbQB#j-Qvf}==_WiJE%v`^L=wk zP-%DVDd<$$?>!g6Anh0mszOH|g7xzUS)%_&nE!Za_5yMt&sQ1>{p}wB{`{Xd4C06| zK>d-&&HH91`>r2@It??rt*xs8orM7U6Ca3v2s-@hFi5oHJb--3BToeRZq6b;ZvB@B z`{}j)oA>$t%pRz>pooN*hU6b5Ly92Z{EX(Vx*qJf98?5AErH=Bp*zoj0Mox$^s~J~ zd-%N5^Yc6zQs+F`%bR~TQU^W{k)C*OJ}k%uJzu@?1^ z0?us>T5Xo)zQv~E)ZGqO+uu0>45~CW?xznirhLO}?|I?zVDukk^jY z%3>B7*AEq%|K&F95R5OVqyjwfh)eWR7{Jt`q5kW(bb*0_3CKV1W(EZ_9&Ad=8`H$) zLV78A)kFI2-Ur-eP+ifQG?nV!G0YB-5TBcY+<)L9&-%F8#kje+SZDv{;pqHD84(uHJKB%KNJme{=vxCsvjo|-%O568pN_IR1t|J2}*gOx! z^A%&u{7szPcY!#S=F-V&RWdCS4kMZjzkzfE zgD6K4amQ*J9KQi#10Q6ZmPZMK0kQ0_8z~t#9cDtpZyu_^kZG7?A;m)dX?D!A;E>CJ zd+QqD_$ug=iY;RJGrFpb*WFsykO1nL?$v*lU_22z{@iD`mc34bNjSL!+;mCc4HKCl zMXD=CZ)E`>9Q|t)P3R#r1fc9|)-c>uN%!5pfN;L_j%!RnmX{ipOK&WdR{2K#$Fd_&#X{z)~@WwJqSteEJ zJ&i0JzskUZEM}9V1EvSuAmU!lE36F{B%-&g(S!vTa}U+tdt+l)clXx4A_Nys#6xU? zH(V;`Z);nFSqT5z^xK+y;1aGIHXpGY65kKpw(6swyGwP4HO0p@G6K{=qZVd?I5d@u z28yjSZuIQXON9EyX?^;}og0E6Eof}lFWA!tA)9ne0+}d90lD60+^OUB7@wFWAv9S% zx;f0Me|P?V^Kyg5@urMKV(9XqsqI%BwV1lIXGrB*T{F%XJY@lTu%O(IWOX#>km<-> zZETA>g3hnJAo^0^BUr3YY)N-&c3KDavc+meg@pA^#rEX;^`4rt$&rz5`z7<;OO~NL z@?aHcM}rK1>h0BC_b80NG6Pu|hSddzllpG=eD`k4rRtl?lLkdqNFBOPC-Vby<&&kw z$NwQ+vV*Lm!~dqL7(Us_|BzR-$9>yV`DVYKKa%l=h{&3>nPku@An-+gQrC3C1y7v3 z9!aP{1vPne9GM(9a<=u-ScMXTlm-(VEin`&FAU4XN*^_qmO@^n-ODcf)Dp?d5-mAgv047%FT?9sI)2GlQ&848f37O^?7!E z-b$H_W8FPW=)!W0H96byJZ0KkE++5a)Vp+EoFAUw%sOf|Jw|IJ6RA3z(`0pMUhBT- zsyfj{dgz2Wzjb?7fz}MyBW%6YvP>7(WpF@&LZe;c+!H=a1=8I%A1n@T;og06>t%gL z!+YOgfyl-))mPm?bD)G^I=B@JKsVY9$S$za4N7(-pp3KunIq*B-ix%bs6^Q;U?U$b z&`HFvx8do4FwHCqD@?11quDLLnXGjTq|YG38y|0nTbYVDFwxbt0yU!9X==tUfHOH| z>E$p~UAXK#)zgt=ve|QLkBzL+k5pj_qs9(tk>N@uQtrk*s1fS|E%IY0aDhJbQTj-n z{Y3rd8)R6dv!EHq7E7vZ`(po81V81*z5!621oKB8u%1P9RzoVtEDr1Q*4az+2WxQ)?yLqW;fvD6Wx`T9>DGk9L8NoWy7b%H9N=*TEzPY-w*K zLV?eLz`sXWdA&icHvJg%zV+|~CxM*Ll_XsmqkS?vU3{Le?d=~P%fwRSd_jYHMfKni zEVgXUTu<(}p|Yq2VMRP{>n1(qFj+rCab2t_4bW(fv5!$d(O0yN&^)~_>HoxR^NSjj zgXeS<+Qh1Opm_R-D_t)?g5!_UjU(hK()ZDlxQ`a+VcaKKiGACdHv-2`ENQZ%pi#8x zmsBBN5x~t=4E>wQyS~q+==wb;da9%yYfl2GqN^zfrN#`(r)z8cRa*pJUF)x7sx34X zmXvsw7S;2J2<^&BW9_tB%|arg+y)bC0dc{vq4&2Ua(^owk1z-%1S7YjAyF4<FYmDD&2$fl|^o65(}!yMYo*Q+d>$~Vv3 z&S+kG6i|jzwqZhxe2vK0>ZSoPGQ3;oj=nEtr;T(=%M>mV-OLcda7c8%i&QULToC?w ze-7_^1VzrAJ!2BPr)g5bp^dDD4*h>gt7XHoQ;^nz{}CCz*s0~|IOC5m;$kW^Ly@U* zS4=zTPAK9snhhKWzo7GTk4fXF#`GtyYg1>w@?YnRyLR`_a@%vagaBOSnvIr(KP>1; zeB0p>fdIsnwU+kf&9f20Apz!f=13CQtthW_N6X7SnW&LbO?YDlvG{SDV^3(vpkTyG zs~Cm#xAMwIlhAOfb6bUBe0}RP-y41F=jlb@BGRC3q~)>Q=mJqCg`{)O zNwD;T#->kdR*OJLIp96?!Ag3mGgoUXeu^a+dKiP!xOR+;?1l{S?dhdwR(;d^4b`!I zF&Xt_Z4R;VYYd&2(nyTIP`w#jE}rzkI##EpljABM+xGTo&>gzu=|S zN&;Zf61PzEFldAv)F40nIzJv9ULW3GSgS`ZY4u$_n>z&H#;t>WsbGI$hM;FJktU`cGNO77bHkcmOhz`$6z6 zz}^7D@?XMH^GsyfW+^d~UyDkj9-d^3bjy+14;nImZ`u_s^^P@K4ecL4Z#!#VS?-M> zVWp+C@IowZCKo&FsvGX<&KT+0sZv{9tHNl9M-VN;BzZ)t&}#k&tW6@v3p8S)?K`qF z1XHTq|DGx2lZVex@*qS{bL!0wxQBiFbFOEW`Qt{v6**lY1xq^cgo@bdDuTzQh={jV zQeZ2#x=r@iADzOx@}}2Dli!N;Qr&Fpr%kK9qt)__b$J!16C+G?t@I}!Mx#B)r8Fv9 zQsL&bW{PNRfPrKG)?lC@S}7n2UNBTeMmDZ@R_8}DtVZ@W_=2g6&pjTozGsjG%sz6o z%`f;f4GjyyoYJBmQYLpi(UVw~Uzh#gPI!|6SdE>=&`)HSk+aWLE}7Lhp<8qqE~YdR z`h%o0l?rG@YSeJbv??ZKWN!6r>V%WGr;ycQ67LAA>-WYrNwbvla=4D#06kClmw_;| zgpTda>`qe+t%;YghP}Ef)_K#Ix#MN58a((2S z7p|*TwDSDBdi4lA4{B}J-y*Olni`+PLyNd<^tljblL$d-p?O%{dc{LdVdSl`mQ`+YN!*`zt2Z%Gs?5i&>62-cZ1XK zUJs^SoFDJoc|K#~i;e%mK~cY)-B-VPB^9Q)GPZJGw5x>{g|)0DNUO(ZJu)xlm5&OU zel1OwJDr8Z>LXN*kC;6f8oaFks0!JIS47IOO~DqTfi2=2JI>~li~ z?|TD};7htwX2~H#GYH;OKxHYQ+Kl;iA+S<0OcbDTa-qL!M*k;#9eRnYTMj%ZxJ+r0 z0meht%A0{5YN_YN?}yoUG-+g+MGPT~S}`DQWxOjC^$|FY$|Es-nR^xvv~H)c(56!E zipAWKdE)BG6LLY30e)AeBtZAt8Wl4w4j==xn`O#1Q|b+%)JDckYh{xGVk|M`9vk&K zz}?Hr>{-f^^wR;ZC-T_=4QX4v;l5DQxfEkX@Pe|C6gG`)OmOq*-v}f%>%zeqNmDL5 z;-GDgy94CFdlR-2zc9MUX}~YaM7x?DXqQYMN#@yNQC!>v)baEOwDE3RDl=~}L}x8= z@X8H0T&MO#@6_C@(Lv9-fD1)_Q5cj&#{EfkxC5t8cHPn2hX%WKtndkcW0r7YAPWDU2%rxOsG;VGF|Z8%AY}n+Pk3ocf|yY#+?u# zPH#;JC|>s|o(W{z5I6hnJnKWP+YDq3-GS~6$Rqog3kHcIyMZohuXrS)y>%;f&!k~6 zk#o8FZV3xMwr(XkEAkB`D1}-MpiMX>Cz<+LPI?5xPeR|%q0i4qF@&7!*q1E#19~Xy zo_dP9mm8oiZDG;Gb%5W56(ylgyxbvw0cdbxYn;e~nOI{Du|%~C7yVN$*NNjaU-(e> zP$4`cWw*k+YsaV4Tb2tses|32iRA!iW>Z|(ksZ{z(RA+(B%6zPLh&+!R44gcwSZj0 z=1S`69_r5y8}wz6dpPeNE*|gaQr^VkyqO-v*;#ttPB*`@bbk(_Z2YJ;)dT)Md9l+> z2R)_*mZQW)jMYAxCFmok4o0OXvsI75|Jw5>7n0D@$s;p&VxrdfmYvdeW1w%a9JjMB z_u4W2rGfHTa5pm3rf3A4tk%FB zKRN;u*eFcjYKl)tUnp*n&J17<-NrmPYI2vI-(u}`p8O=m zc9DmIOpL*c3C6du3Q`#%RRR3+15;-_PuBV(b^BXC{;ZZkHH*DTI;9|_4FM&j^oR$kD+v=o@LJ+7@GQdj#HI~yOP+a1cy3e0tvSJ@H4 z0w8M;k%a1-vC{*w+a_TkD`Po`HPgN`l3*E_so`u1W!U#m@x;(k3TzaV&ia}3!v<=k zN%8o}c|v7=!nqy98p4aPUJ@B5rbFxSP>%JY)xyv-W7G2np zPKKaX`Lll+m_2lONHyiAK1L1Q39{Yv+eoYCP&U`H4L2L5B$vV)q2-W8=Y-=wXW5Ie z7UKKX;rc4$wa_X9a<&~{Tl22hFF}_DCW(bS90DbNaU-CFlid z=QhLz@hrZl5_1b)a{*?s%_K2<{58k-UynXV&gmSsZ=#-BLn? z3s2f!uIH}=%ZXEOmT0_#+}ShMeo0Z9i=@Z%;Oj`N6e_zZJ=<0LnxnE4fLnWXBe|?uON&L^wXX+b^DPFSg~(7j3sq)%DJ&Y%tbRlM ztpW+b_1p9Ad1U#)hZpeh0J!q?Jueywya)&DOGNQDx$SVvjpzvFpD}}{6iEc8tUgzF zuONFs^{QGr*k$3NR1R43cV;!4y4z0o6a|NN5f4Lij|k06IQG=t;1U^dVHJ}DGW^6Q zXYEWndOu)6IoF)(rP^vqyZ4T-{7oR7uhlA5o5+_!_Ns8H2i*lvSh45ABOMal`IT(f zCmj{WYx=0-C!9fLpv?7s?B~SoIXOT{;_+ZwlBk0~F#qM#aL`12Bn)-F0E`7RwK85n z_k8g9dI-b2Pm0wjU({p@~Z8Ab=kCkZ10Lst}qCCkY;szgyt#F*0jyga$ouo zj3fIskLAUTiaGs_;ShM}pA14t@VB)xCCAKZijc}CBB@A)cy$E~ zV+tr&x_978+qwRaA#jY$0u(#&=+PmZ6gvSS6y)r`T`7rgBp$W2s$H|+4xJEY*dJK5 z3wp-lEE|9Qlor2l$qWjvl}arrqDBG$6b_jS-AcKVBJ(GD9FwMu0fbY=Pa+u}CUN!( zMrcmgU=y(Zopd@#=il~uk~|fXoJbYaNXZnE;6Q9frtn4t;crXYFQ==7lIg)jeWZ7< z{{t22ZjnoXk(vtC*CXajUloNSUQlDc_7?)k2#E!h1sN#?NF~D<(OT2r?1pGXZ_x6G zbRAL;U#v(dR9xarX9taTvhCZcNZCDQDA_$DBrlux9Fk0=@}|fLu`6tuXmHK)7=-FtNS%Y^!!g8`uo~yh*PUFXQkn;$sLWRkkCE;D1oBfMx{wt!MNnGy1du2I=ejIHaZ)bEOXrGok4qf@fw*0@x5TBBa&pWHb|uD zjtF^ji{Nhu;4x%umlPQ3EBmcy#FPH$0^Gg5Z$}nkxFFLMprUv>92xLWT9U7-lOrG= zN2oHBgy47V-(HitP8qrATTyCVcsyh+SSn#!u&3+bG4Nkyj1hQOnPJ>SjAN2`I^K}I z|Ef+qRE(ssnp%zvy*2N)J2NFUo&O3>a}TcgwjxV;oeDQMtB1se*^H1pS;8A$nBg6dbKAUG9*0GGC@ zM3j5To!ZTY%wO=Df{^9`e8|mD6OiNgVc+Q#^oQp z(m~pEu6H}y5`iV*d7A4n?IOvYbY#G}lfC;r)qm$yYUDqU((Aw=lx!-`zs&{j-Js01 zk1b|QTeg$PAYX`+T&xs63Mt^wPG73N)T!{mnL}s8OXKG9@$vF;eLi^0YR(sGO2B0t zUAWE3JU?&I+f0MQ$uqMs6jfP|^!`%9RHNk$Sn$SfIQ z>N{4@@N&kb9wuoHu`y_Dh5;|gXnZ%pn?3KAk8DX$Z{{(o_kQEs84V*8vkea7>3ji< zJtgE=8N3pn7iT>O{nNKRck8YF)m$Rm10~?shpKQn%U6~O1UBK`0DLm$7Gz;TdBG-h z1dYHmX(|UlF@32CGU`RQrzb}Y!@wD-2pz|hFgm<9<*3IK#I1x6Mi(fJF1mT9Cb`$E zYEH_rfYC2z=g%6%m51X$x#;tSh04nmrmShO>eCUR&x?eG+DfNv_0QeIX@Ywe?&G4` zgt!(dW;wWR6|^Y#-wrd4&0tfZDjh%TpJsL8FA6~U{j-}+CGdrMrIrffw6)J+l0HXU zZmqWm!OA<$aVyePSyK2>X@6zH*qcS|EXuk*I}>qrF01b+ts88vIw!M>=mgm>nXHyQ z5V4xCsn(cm9U;IG>VVhK4+$xG{WZU7lyk91iCuA6AykE*SzHfl#F4&qHY5m>OVUmA zudCM>!8|WP;4}1fdEiu@@}xgOW6JS9&V@kR^LQ4}HqFC!HIw^0XG2|m_^{$Fa>Uv= zU>LDClhsrz_LX(XjbiKb`?dFuS%wnLVZ@7_OEN~xtgm;*g?@LKe%!SBGYW;E5ZZy6THw=I0)5S-u; z+}%lVclY4#?(PJ4ceifb-7UDgy9akjrt`n&%)K-B%=>Mgr}nby-c@bYRl9y`ZK0{h zA8Gzm8LRx^nDK9{L>&mV&H>;R=!pJ@ayW1tP!*~w{S{+OZJ&hnHQ3P&U{{u$-z`PD z9=>*b?+6M(ZS9n8crTu|mE*7r_EXA1$t6|9*M;Q1!!{z6)3)oa|Akp75`IQv9d#xo z6LqEs6w8tNPC&aJK{Hf5%&`!Pt>po;Yd7zHZ~*##@Mpz~9@v|NA}nE4KnC!v{Q?3kcmn(u=ULtgtY zvwQRHZuMc)alr|o_;w!uOe@>ujAAL%Pu$aUb@)VDeui7P8Q>*Eqg4mk4>vLkj$#(A zQrj{9@2&w@As-?z1Mcc}i$N*cO$LYR4By+-5JJtgI@ALCfoK@&G5NJtP)J$q40!M} zwNfaCNre5Bd?Eirx0l=?9|`QKIIM@%$WgPPk2tA*y$`s zItI*bjOV! z^p=C<=$V6LEN|`%fLY=X=f($DGAAQGvw;$Y92R?L!?VF+m)B;Hte!mq!Th_G`;_iH zJhnc$dmh32s|b^P8cg+x@USwG7Y)l&P4x0qrs<0R$EKFPA%8aK!zY4e&kgweLYXCp zunUPXd>hnnp{w{!8ugwq#uU1Qm@X&`htRn7)$PX)S7=*~_Xtb9b)-S+M%Y7tFj3=S zvfr%gq?@nQxve!aUzDctNA^S5LMWNO=iKfIMhzFeaY2%N79>Y{VO&ay2;$;tD|+5w zwk-dAx?Iu(7w|gS!a0_fHv})|iU%x{OJs#>8#@OwdI~}O%2pwL&4k4&Gh=o}HgxI| zOkD7|8C=ES_z|M0GGnSyk)0n_=rp%^06!5x!k?>`B)iz3g&&v0fe%$JxYwkF28W;{iy;=~-SwCZd50ZzgwPFDN15zdLeairQ_U5I-K>r$e!k zf+4;S(Su;j!JT1Xsbmyk^MPc>aWQFgZfxU#c36hs<|o+Ff|7;V>|%FlP==SCoc=5) zwbS+OnGugnu6@1K)=_YFlpbj^d`~CO7~@iB#CS{wI}S12qC`j;^3H(~u|5)fk)2G; zd0ZXhw$CEKBC7wm>Wsc`2ySYVPyLGn3q61f- zUjSy6RIj4k^g!6Y_2+NG+gOCUg`(z@fZ`p9a2Kj1vxdbXY*0?6cJMPLbrHw$ySoSk z1@mib%WJEID@W zi_^A0x>^#F_XZ4>jMN6`#1PylX-Mz7^rnzxgbvin$m|JfB}J3;jz?C)do1LyR*Ls= z5Eyi_>=rk(29Qt1$d_71=ac@y{wk#j39*mu^05Pl=nh2!-q{PQv&`-!QMjgl_Jl#^ z9v^DPHux1ylOh0lqYZqNnsT#zAv)?g6;q50Z_I|esDNF4l8jL~6fY!$PWPErS}rrj z%n^Y-Ho<;+fm}PUH|8$F^0f*O#}?iE%WVrW(oWIIPP`r>$OC;VAIdJl$u5ia%Jml- z+Y8rIB5qePohVY?Y3FIaM3Kd@yz-rq3np#53zBY8B%`BgDKW=~!sT(5=et*@f#kC12%Cz+2gdJkHpfQis>JtfV8Z)UxA;3P zH~j**AG@Fgt?ScyW&ExAypgf3xj3Ew06*j4p)| zz%58iZ;20PBdRGXBC2N2V@Kt5{)Us~f0fx-ZYt-vbQ9y;wSHE(bQ5N8;2eeFP11ci zFrJZQCDZdY+4rf;QkreYNZNSl#pAFP$#k#hgD`N8k+sfNMA&vMUNMW4Tve3UL!(hv zE#_z^7VB9c=3V#gm9^TR$hVoKE(IuPvrU*$!HKcE)}7x11DtJEvP>4qd5uJRCC#&2 zdG_%<&elzKcANcn40)La9tBPr#?KAPjQL6ctERW^k$%h6{alm|sj^1MoRA4>HbR+% z@ig_{V=G#3$aXK;4S6$ly3*J?k&bFSae6dnSS~z%YolyDaWil`2n5A6H%eH>D9tR& zFPp95#XxAws2Nye!`oa62Uh7)*K#;Qk8!I&5#B0qLRAmi$5o_?Yu%l#?*92eWvPp)>6 zlE)7f?n{cJuG+&=6a^^!uCVfSkjqY!^~CH(i6tdyN%YDw=_YN~gX9S2WR#jg_$;HN zu4wbI(WP&EvhLpLCXTj)jb-Ykd`+yEw41qF8IKF_mqM3pmw*&L(im?m(+w3m>$3VP zvryUX`4~)Jz?J*;#^uhcF?ksuE%zm$X+Bqr2c-Diyf;*=NBElDHi}C388aRmOZIb{ zT|mzU#hm_2)t5Qkfwu6pFy>Qj%s%Pj*%h@be`c0D++UvqJy0)6I8Z-GIAFYG^kdgG z0At@7Hjmrm>Z9I&qR-SjD0t+W#3=z`o6_l`VZt{RFipy%?3-FJ{kb{k3&&OMvtwKo zs1im0KdLqTUy4Ol#cVBMwsvF)(OYMtcH{;H^omwg=mv7Na32@OYGoAu%XiAv>?P|k zMpfWP=69g`+P*=2i@%v3{*?FRDg3A5=3Y~nNYZ-_!q%qj0P0Ix*!UrqJF9@{0C@-v zB$v_D;kO@Z^~sans7&~G#6C!_E^Wh`6CBmlZWYUl5eNjzG^$Xt~(haUc3zrxq( zq&N2Uc=#Suyxt{~>T^&B9E)9I$V#lg(CF?6K3l?_V)0xVLuPX1iZ!zw$10 zxOuuIN4>r=#(nX}zrINDlvt&qJiEQ9Js>zch4rSJMn)*QZWw2C)xM4$D~xX&cP>UL zwZFvfIlVh1h)4OG$NlqwHAi;*!UHo+&kNQKMz#}fW#(c}uogTb%^5T_3Cpyp%)Vui z)uMA}!l6Jo51zp5pRLQ&&*+jd%&DQfqp{XD?YuM@8F%jo|Eu|9nHt)^J+(mw6}D?0 zs}F|Av7Y-nL4rcPH#&%){8PvtE2t*XqUhr|ZUFOBBYK@H(p?Kk_Ovcz?4JQ4o-z_n zknLKz+G*M;YyG$i%3(Ti_mIQVNpAKp(J(&AfLqS%OR;j0!`JzMSsoSIy~-J~uAY+M zHX|0^$0WJ2O7x(RuC*!XfS}aln@8M4!o$V2?T70%I2ATeQJOKZfK&p5K?-fjYLlXo zbrj+*CI$;m?wsj|4Ng8j$;ojgDco36IuuLtvdp5#n7y#PDK^6mI@z>#0($H zMGa5=O+B=DD&MEj=p$Ni;h5{NqohQQ z3V4VTIaIK?UuI^*%!r&wF@mz;evDs;?8#}Jyt4L*Z-q2Y6}opYce99=Hio8422*ep zc$3PdvTG~PsLiC4f(7e2?i7RL-QR6iAqxoz%n*|Z2X|+33X2Md709@&NEOrnB7nrO zTUhOxEF~bZ6-}qwpoIF0(N`tmkfeTjVvYr0si!BK{xRh2b9frkfh!rZ<^P zG(ttk4=7HeiJkIvam1s|fef+@yP z!E%;+B{Df7(ee;2R3u<|2LVTU;V;gW{Kz>N?V8W!qDq0D<$DD;Q(M2Pck(5969@`~ zpzloDv<)(2V6QwluGe2aq0bR@0>*bY5A%N`nYsa17oj(udXlH@kIJ95{L~oT64r~~Id&H6d zn=Id_W?~nu2ZNi2@QY;NHL<0Is;!COT1TIC`bZdD!3w838*2QcAIE)t6UIHUW6~>_ zXLNI^wlC+P-s{sq{o|(rR)zvYEvQRj)+hs#4DZ_biSOSfkGSZ`8_2NWL((#E5Jul) zewb7R{P;=L`hA)}7L=l&E0gKXX!&cD`AKJP!&%CCnqrbKjr~dIvu$}eu2*KZcP8&6 zyXS>vVmJOUBA*=LfBC$gm)uu7k@I<8q`6){cpnyA&S=}U)w;&`A16#Na5q*|?pG>+ zb!AfR9gJotmWg)HMEUGat&w7{~nx~E70)xiSY(Spjtg37}}MI}IEQ)Swqv|$}( zMoXXQ4$??Z`_jlSe$u*KcjBtslA#&)C5No4?~%A?z6|r8@IXeg;xQ+P;c$;N*mfNn z0t1O2fp#8*1pr{f$3oylO0P;Y&&c}oZSwx8_*&4q#9F4cZrzpeT-~uj-Wx^0Ak6>*$bz|p&ggR^kAV!m% z-?xKe_AB)~hTP*0JcQP1)#~#XD>(=qO19%$gd);oR-~dr7t-d!KVoztmJFrQ{T9{f-0f!y?!+Q zWVSaQIB7P9bN^Bw%JS5JIS_mSbIsz`8j@lc|4zp6{EDB3P-GmAjGxK9b6I5;1^=10 z=IM#0jCs8pg2-AO$uv;Fk1K68U{E2=1XM7j+a^vm0)$mP_R9_RHZ2@_6sN_n+zV>i zcu{9MpRimHN)7g^FrfvnKmdOF)WywgLBQa~i>SgJpr(LxiJI;p%xpO|Nz$GTkg_L6 zzK+7hn5J4ngo`(!)~H`FL`6z3Xvbovgkw`fS*R9nMMjs4cBK%5a4HdW%P#S7af-95 zD9~URi(iQNVM!|p485J>Ca s}2dVrrbS~Zbe3~UJ0@0DUf6xtM>Z2*rS`Pr`~M8 zY+qAFhn?MNXeP-gIY6vyqnyy!OvvrJ2LsK@=VC(2n+!O6jFFXFFfdsoMeb=H7?|m> zjw3@jBwK@Iw^GY7U2}$78>(=VYu9brvZ*(S)ZMYd?pt$T9cpyzy9CE&+lW~L(NrNF zN0~5*5wM-sOo~LCNe4kWF%hiJAt0)XVolt@;M1-dV2ZOMpZdbnmw5*DO_#`o!l6;x zOb}cX|MtZoJ#q0kaB?No2J8Z}{w?Anm#o9R41`o!WCblg_FZOf+coi^JiKcvxnnm6DEmh+ygy?MgBToKxm1AHo21-+j&`1d` zR@Bo#30Xba>P$K+v8)qMiEvrNA+F&?9kY?MHcfRaJgKyWGpH2q4gGQ;rPf!ZrI)H; zu@aS3COvu7sTPWqsJ=I@hKTp>;bY{F;)u8JOa7Ex7jd3N;Lkm(5=1T>(X4p%%mR}w zx!v(2Q;lvBes5=)XK7~<>pngIPM;ak#eu_?Fmo;x(DlfjAQ|O92AedlEutz6u`Kd+g=)}A zCCodt@5klodJ@ncBnPP3t(xYYj(wc?)# zp;l6|N(7fT89zJK@ogro*^inIGML!bn@Q5jj>EPs(;^b+*c2^{j&9*}{PlCUJWsV6!xiXFhpK{s=8!A&{0`tBjLm_z_2+jmZ#J(YV9JEdc99z8guDQ4z zw>g;qww>H7)JhDnZeO-<2}{$@voROb1f`)Fes|Gc_!vzMo>x&TZ%)KoRG%v(wz7zx zhjm4n4Ioywq(=|<3`ox;4=d|PWkjjvAAqc!z6LvIvL#$`r+dS#&+xfVll*#0HMTcaC|#@IU$|BI zgs`yc6utf6Ch+&mLm>7^k>3yCuh;tTeLid){0Gz_RJ+M@H%~@dVel^f7q_ps9n<^8^Hd5&{og)w{YEB zcEq;dz)FYgAzka{Ml*EuP2RjJD(Rzw>!{^wwU`s3*q;^|K72WfT6#YCT^u&zY`*=H z;8a}qgE+SeZtiJMI6oW}&n%JM)@M@a%>0=jC$QvVufUXcK3+3JZ57(&(CU@P*ps*o zi-s4-WWC-oN8$s{ZIHA%Q@ofiq#<+Y=_DGxrO@TFW{s9ld{mxkk`0>g1uLZn6LoQ` zRcwF;x}H5iy%}On+-;uPbV?(wOpGd>sX31G5K&v4g^*~0V>@8fMc5~xd*_tm0jrme z;$-*sk6)+%Pez}jpEj=Lqp9K%YdYuQaW9{pQikT)U`0I@kDiyd^;4a0n}_GjkQNG) zA}pI)9WNfh~oNKi#}QZ7TKt_J>prmp%Q z|C_o}`t>s^eI~isP&g?o^pji?9wqy(Rr<_A6)4aP3Q*xwwjKR6rPU~~#LDzIR>IHJ zl5@x%5)3+-K{K0&$}bH}__~gV6QbO(P~|C=#I%vAi|7H~=W>-o?;!(A=>m^a3RTnT z(dXPR-D>7FA;Weo93w9A`9~3sV;WWVCJp7r;V1K~NN{)0`@!yAN9pRAX+%GaxT+Q- zn*3n|IIGS8ZgH#LpWH%3^f><;zRJ&>Tvpj!SuumWW|stOnOOcv&_32^OMO?_r`REV4zLnxfkQ`Hn6VLSoMXTo%7k;0^kPwV(_MM{0& z!kf^!LNX%06cO*yb`egPoIl1d%w2gB`=g#%+d$|;rP{`BO-wR&MVy!XriBno${n6K zx6ALC3%mwmi}Z7BN=@vrS9(3pZVHd1DJQkB9dwM9-PtJ-5VxEh5A2`YE4S2-HYB0k-H&m*UF&)Ay$MIDET_${EzE|&fTfJx_?Hgx}_q0sb&cr;GpDp9JK4{9!WLcbYFyOLYo08dnGY;Wu}HXGA!-9FzMTM zAcOdUOF>0pAUcoPnw`DnBZ2<`Dz*zWt-T;8I~~HeYYP}yvdNvjaTkwh5SKMmEiTh= zn12qTsur|%s5M_)M3F;3ieXq-m3d@?ekZ-QWGs1<;zJovnV~V&TWjt>JtgqbO6Kr% zgNU~^@q>e%Zk&jV_R}eJb_!cCR{PU4IlrjTe8A#O0$i%ue2{wGTPyPnp67l+NyhE1 z5J@VC{URLXvO?^F95uS#m&@d4uu%6=3@^!OBs*D`5iRIlvh=>4gTddz*1drYez zDrMXkYHqbXYm*27X!tao-@Z(LY$KtXDdBLdBW#sVy0l-kv!ICn~_{bP8giHGJ?vC z@O+qJal!}_g&iAlLfIFD+)+*Ls0*ewM$z)?_o-5Z(LsS@MFl~d_IO#FcGQF|`_WUV z$wbitk#AVvcMa&7=kE1FU~(J1znL>*;S7kd|CtEp3wFa#b!&~fe;$eZK%5aAf5)X2 zhGe)a1Hd6Q@?L|#=1R@AY?FjSMiI24KhDrxER~jP@3V3EGM{8WpXd-Fa+e8sWCF^5 zuq^cBSb~_V8Lo5o83^A`@V$Zv{wK)`y~=aAKybW9so#Rw1wvT|HNQcHe5CZcPz%%+ zCil5B*QUvkJ6y?ycEE7>oy{6QF=|76#EKrfpL8;2FBi|z1Kz}`iYdcid0j#*Ku7XI zT8+;Gqa1M^oC_D*iV|~~zCun0b5#8EZl@-K9!Wxzf+;WJX=r@@{(oBeeEr{^`K$%} zvptFcNP0W)rz+lV=Ote(U|0EG0~%ONJV-&Dm7Pnc4P^zOpfFMnN$#U;lC=^3Hzr|( znxG>MT=qh=3P(+`O=Lpyf7eXhV@S9UW4%&A%GbZWFN{*-akQ45#J~RztQjPX3W4Dm zhpc$2;tEE|chqw9M@4G+kvcHpwWk4bWMh#hyrv^R(!-&4C++NI`G+t|A-*w@Fn{lv zgf<68v}7Q#+(jJ~`t1*$Y92u?W=pzJfV%4^#lN(bs=l(Bo8de)>{X`fOE`OumDIYW zG7Tu5#2pfXNd<&mrJe2YwcHT-NV;ERviBn;JdilFkGD4-tE_duIr8{0dMCr9Ixl~G zF(i5rie78xgsEq+n^~nxkhGJ zrE?+G?zD?)CWRljY||ezVmdQp9{KbW8~>86fZ=5rB0)Cv)2K;$yex>ZlD&CRnR9Vt zE>IyxMCVrMXXaYJ_<;Nay^!z+=BO|R;?YNCOp>U^bli7h>~_Ika?L zVx+mr*qmL6v{LST+m9%)N^zbjs5_rPlw@}2UK4>-g=~gH89c$A#SfmteIO*W!%%o~ zleyr?E?v=?s#KEb*)PtTfcE#-+~9C-F?h%erLPpb0*8uK`6c6?Y_&T6L%}UBsfB|) z_;ZSxVLkl?Iv;qwZW;vXg|mARvz|qmcvD}MdFJa?m{hD8Bz;4MHBjnoW-$k{xTslg zRL>mc->oq{YQ?34etRP)El6wLx23s>N?2;72r&H4Rt(zYDu5bryaLr)CPUJ=OOV#e zlNJVI_Jr(uPU*$qCdJ+Jz^<_L?txN*li~RWO0SuCIKL!IA&bs+n@hImv%HrqbRid?OTUkPPGT& z*-ced6=ry57M`HGBMN~X=t^VHYNiV^bBP57y_?VJmF8%Bx z&hy3tqNdaq(t;INfcz7bC--p>XCxRyc|iU+S?wR`jeFru{mdw%%;7`qm zpE?u>6ji~>+&O*lhw#mg72SXw$O{Yu;xSZ2QK}$0aL^Ik;x0%HM#HEbXg!bBO}XJ1 z9EgOzynTUn8HpsExu`5hVYlz46o4{?R!;STe~l5i_8osFqY$;h3Qry~^+z4*qI`u| z(}1V}n(}tbtVx6M|Ju%oM7ahJyXTAK_e}_XB*(%{x^=vASHaUn&y2 zery6VYQq@0aJ>G$4;%(qItXP5!xl4X(15bu8;wW>D=0rHT6_&sj~zcrPzQfDSOjR+ zo*^@UG7g+lu`07mpy|vl`^Gf2YC$eyRij%f*bfde>PlRp0W4h}nSf9RFF5Uc)aDZs zn^}?a3YLY%wftVcUE5Zx_IifwCXsXa#{C!gVwhz_Q(Bvj21H7Zk?$gmSNyEQ*>68n zt>C9%U8z?CxZ!LCF$zJP6$ZFcI4Lo_5|k)OWB|1&8tM;fu}{>ZG}Q1)@IZz9HARjD zbAE^>dfud#V4B~Yj1Aa4>3jD3ra}4BA^fShl=pQL%ms0#p{I-s?zv8awzK%>cFf(% z)WP1r+HrcRmV>%?-@f6)@QH5XoYBaWw@;Mz4lXv5C`Y*?T*H)6F@2Qa663EjZpq2v z-Y;q?7B2;HTiN2oYcXANlu5eiS3!webv>ui**g@e8_%R&iV!4@U6q5#D^(DA1q6{- zv_WqKn2w$Vn8xxFuHa-Y2!*lIg6y(7XHr*SVgrLgXQ$IhF?L(1-=+;o^GbWr`)t7k(;&YWBFHgm+^#_&z+&UzTGzB#Yi4gvF&F$FTQ&3H)>LTUsLwp!E!dBO zj(p||Yz$;vs2_n{`A-%)bmcue0{;}Zy{JA>0F}4BKl zSmPSgX$ zzEo-Rj^U!XmWN*x4HvHsez^U?EwcPFIT?1|YmJmRW4EtRQuI2Bxux9a?1n3yQX@I+ zZ(1&WW528u0w5_~DkG>WlmMq5s-SrYnq78Y(LH8Ax1y2i+7(0bg10D$(vc7-nOmxV zbveo|(bNr&GRr>Ct_+YM=OF5@P??|YNHr@NPE*8XJJ#-JA8kbOkVsDmMnO_;bjiss zz^&?CU+B%D@G(h0WNQMi0i{wz&la3Y-;g)d`LvG@tD>RFB}h=o6u}C(9F^hKevLBHzeg7LUR z^Y}o!N1kG!Kk4FRXw8Ay^n?b%EbwLY~l3=40}=ZO%o{7Z{Jmz|ZYoaC4ks!^|*UgP*6GaX`LW@sn@PRW8s- z){4?`SdjRf2AAM=-41|S^hjDQ9%DkYkbwXvtPFvnNda_Ldf!RB zYak^a{b|Bb5!>J_CR`l)q_7d3{da`?T!T+3_l#@#$!%koM)7S9YO0wW)8RP?LL5@+Dhesa#?_g zD&;E)E&2zjK!RWRn5cm0;W?hDDI;M%mAVAf_!t75#On@Q7vw!g=QEDRJW)p^`Dg19 zF^b$7mk@`1*&s+$w>VYggVzQWIkza6ozK{11q))CkA3C8uSC=mWuhkbd+t;Z$AWJI zOF$Q`o%AYr3KO96BsNpQ1z&a^@W_ghxn)C|^db34+loSnNNW^~H)S4dx z#WPSDLSwIGqzPyLp(Hg%LBdWZUQ+JUX8;X&Qs!soLxKIR&|!p6O*g{Aww;Ql^_t6R z1~X5$F0jML<2?9zQS~=%)K5>0UilwPJ1QRsGZJC^-|h#P(Cf=n?kr7)&&OMT``)jH z4ypqo>wLXUs(~z6)pEt9Bp{^yCycETRq$AU6P9l4L&&APIuDuRk`$Su`gf2vBV%@u z{(|lOoBqd;-rVm)R=sZH^eg?l1OFQQqPvS=#@(I=4}T2;otED74~m)!@WFweYr+~2 zorELg&gegUEk4jy zQy931DLmRXI|L2@dHY>KN}zR{nPvqZ%P4R;z_NXNz@;TjN_k+5)K4t^er|-u)&Ubn(<30kH79UhDm?_Z;gp zt>Ygy1qg&@T~T21k2tXHn(UhOZ}itM5DnBTfepxQSR1Yu2kg$sG5T2ktea+i(k$hV zvN3$n4CRl?);X-bE}lgWCVFgqF;vL<4=OH=;L&51q%tMu)DL!g6Pd6rlX!>gawnl^ z3xbfVgMKH0Z3bkVvFs$=EkO{jehK!twdDvT>YAm10{_iDICX*7QDl7)TV01<#%C62 zBf|xQyay^ia=CE$&5i4bG3*QQ)M?P_l}2JpD4jLlS2^66hlbh%+V&hthei|q67sx0 z1evRDP^`=Cg65Z2jpK+zE7Blj}EZ-gYTa;U$axgnE8`PyD`9kEutZCy&T5&EH|-ROT5uCY&qd%@SOz< zRnE#Ep+%Y(($P%aO~#p%LYpr@OR58MF-oNgM?7oS=0_mrkj%Txe17SYU4*WOXPwly zfDg~k3OfIiydN-|x9P$PzSt3d3VK}^F%|=k3-JE-UZ|KW3t5`a(UNV;|@7}?rN-poAuNsE#7~+ z)e@B)d6`%LA`6nkI3Rh)`Uw=70f42)-W_w$#K+zhd@zMi$yAdNoCl_9ig5c}o%|Hw zzK!e5hMC*-9{tJ<>b9)Y(vb_)o!4Q>7UZnwA@$#`t&}83t`3tJej(+wv=ZNjfGVS- zq$5U+tph>Dz*1T?47usgq(P4`J&%vwmXTRx@n!hcdmna~BJlDx&i!(~&6l?+ZsgI? zj;QR>(S|4mC+x`4q6AM15ULI=NC8cR@Q+D*CUiccPJ6bRg#2>T?b&XfJ2g2E@Z{!H zaK2;bP%yq@XIEHZ26vJ0PHpo6#lrHXtF)tZsgmwCTzOCZLq4uB@tzu9Ca!Smo_b6^ZcZ9>L&4B5TN`ks4s1)YvMb5_x5+;b z7e?6g$K$t0&+jq!bO`S~g8Fd8n8Yt^#CLAWqMGzn`xWMw6#e3nYj~wMm$7G66F^T z!=(@@ba6Qx_FcsTqy8?h>Vu=>2Cr(>zG;aE-il)>J`JE81mJjp2_US_QRQp&;yYz) z;Op`H?9txEtC!24i*<_mBX>0BwZe$%p~75uKV{`z2QwJGN`6F=~rT2`Ru}>$h`WGT>D3^gF3@NY=L5+ zfu3JoXhGG(Td~qTe5!lZZBk`jqx4;LxpgS;tmc&_v|^u5kr*3D*3q}bQSzJ<#i6zQ z1>B}IHRX>`%upazJxYR0c_9}Dx%r0z?@nnH-%|39Z||z#JDKK?Q>ZFs8qM_lG+fzK z|FtxXsrzYU;sT#y7rjTck`}#30qmxg8#DqMohlEx0Iz8s@7FUv=M=ZK#d5p3ummxFDVYo+TvMIA)RdCYp#_xz!Risz5g!t zd_=Sze5#MKJq;hTSCRT_&>vGT^H=YBuQ`VW+nVwqRkq#cOH}?cHoZ}fQUV}~g#4Ba zW}f9N>a)2 zE;JL9IzAbJZcuCEllfB1CzB0oUqCUUMm`z1JZR(+iHl!!yrU9v+yvh|xcV%ZpetecQ7sgaA z59dX1ET#ZXLorz5;+h1dHbyhD3dv}RQ)f6Z5;$>~l5ADta@&*Hl8j68n0iWTEda@S{}Wp#pXilFK$ZYWtO zU2RvK47m2@Gevf^M%_h%6`dfDc8bP##y<2-FfG5dod~-p`kr$i>3I1km$54=W0F zPn5zBTcYJ#S#Xr|#=ntk#hVo3MDA4C>v*pb!kgh zx-4NWw^EhC-jQa}boijZ^z^P4&v~c+ZQxyPhV|T*skw7(WimBA`Q`FeXYxnA9Dy|S z_LczQ80g=GPe4Z3bl>DQPL)ipf8@xLMqErmwT;7vX33#QY>l$zYH~j7vnC+^HSq`} z+kb#$G_-Qo-@#O|`G;Sxp)6MKi@#(G*{GmHNA7u9_ybs%35pW4XIU zZi>&sSN3UX{xjT6a|)uDu_MhOF{#FmaTJ-Anl$tDEG z9v9$o@u`xPg<1V8k}xmA;p7z`8{(${8g{!xSuFo3z-tmvEqV;ITKKIPvqn@*Rt)-( z!p^$>u8LkG8UrcNoaDCl(|GoKckP@l$CTYQRfgVn!?4R^na#(vt$Sw`L3DoVx0u#j zpuH^Nu$h+bp37`Dr_i^IS#PLsetT5P^zy=xh$kG9E0%C1Jq4Wu$XuqPhWcSg(U43O zz#E>p*9Cm;pShx)_KL3-PM0l*(8ugI5$14ECXvl3F32Tg1Ef=+1F`>yM9aKg!NAn$ zlk%$EfZ$1abhIKGA6yj4SoNZ2(?-Gkw_$2_Ua+7Np?%^WtBtI-;Y96-pyvLfS)bZ^ zsJNFZ5}}PuVaJvHZ|4Lv1yjwh7;5Lfqj_R4P8v+UC+d$DP_w2a^Wi_J+fA9njFD*rz96M`JzOkvw?M8JqhY4k($X!!7Z(o4RdK{vU@{%{VnzfqyxRgM<~;uXQeq zaV0tYsY`F)*Y1riPvc5H1h8tZzxxas-w?-zvG#SZ4w!)Q#SlZ44^@UO<>K_dW+)Gr zBsPX|J{l86s(WmNZTJ@dd|I~mtx6X^lGtQWFGsd7+#M%vfD;%L!&;O?ZdkRNb z#hKJ4d&Uu^>a<>UV>GBeIbx5E8sp~FN+mp9y)gRbj5*}3;8O?}y(=6)^Qk-XM=8aK zJh$P6T|09i?;cxy>7QQw7Lr%t2q&PikLt%5{9YnU819KQbOOUFCN<8fKiWTyS7QP? z&|>*jgOru*;Phg;g|#uOcHw%7V(}%s*jkI?82L|QnugYxf^SI$t?32datm6E3cdjf zTB{4bH3n|z)b+6%DtD5C{zTd`Zvp&OsR4n0%e+I6GCM*&QI|>6Og-Zl2B&19z^i=F z&!8XAMuc zs2j?j3OBlGI~IsT_eHyhGX)mPe~djj7HLqG0Lvex=weM@iFGdtBsIJd<`%btU7Eg< z=w9lAT>QC7k?^(Cv{-ha|4>ot-2W|u|JtNiQBs;bxW;4OaM3ka0ZmD0fD4moz}P69 zuqiV>6`ACf>2|WbdR8U*;-~txd z6)!a()QQy}^pX|77ya;x)&5uspn$g0lm}=4*%Y(1s zC{byHBc;xX_&Cm~3A%6_Hj8}IPu^k|; zx(Hnh71CNo5~EcH$)*~Oxh8w;QzIx|yUY}4M!`Dd`VnJlzxVpkNnts>}dOG#D9Tva#5>vLt=CRW%->c6n*MY^rHN!|5(23fmI**#;=-3r+ z+H*Kjo&ozQJ%Z#uotQ-lHK{hvaeiw70UJ<$7yqS%mTKU=G^noBKac@ z^dwKQArn1&mQ@#qVR_p*xn-m5Wgy*iX^~WmNM8%HTsr}LcO_u%8LUjIoQ4^Tb3YB6y5IQ`2ldjPpjIL_^$&HyD? z#sptaoBe!RRzN-8lo(OEhuCc{!_(S*!l{B2&$&0@|0R zQt^M519kQkyXAnjPO7FCIjg%Q?Kjo-Dg|4=q_ua-exu~f5m16RAxx^4p99? zpsbS8-&&!ni;!8P?8X#E-Af4vJO-v}66$NC8?0+8kn#S4b~5 z2?Bwty483@%C1w9Op=R-z0<5xl&cfel%?`!C74NVMmlsFY+rzl{bvzDx8=&NT-33& zi>VbrLd7) zY*H1DRMnNC*ih(CYsX4QR+Fw;7BAbGYU1Prx+tECOYBxRaaXzU{!+J|xAm1C*|+=a zzUTjs2yzqW=2F>z2r$wD=N|(a0CM5dUq?*zMp-hBxhe0YV@I25O#ODMt2sB_bTf!r zlPcut?jYSAnm`{FD2_MCT{VHC~3umpDMHIa`SZ66`dMMcXDoGm$0-amwOwHc*uT^p>Y^ivg=klslb) z%Cn$XESRPWdcSAMZovBw*O$dIGN#r)+Nqrj?p|}ED0>*kCS10v*|ut;Sjo5?ZhJ>v z%Js2Lmqy=PnJDiFag#jbqDO7k4p6?Gn{4^q!GfmAy;Sr3L`S)qL2?x>$lW44PmekvCWnr-(rU= zhv$W|@eP#$e42I%R(15oju2U@sdB~j8~+!3ZygoK)9(A?E`vjGcTaHl;O-C{5?q4^ zOBi5q_uv{dI0T#E5*&g%1a}D}x5@9f-@V`W?tSiF_ndRr{o|}v{Z!LkQ&nBvOx1kq z`*hJHTR$sfJ5ktf2-0T7ll~K|Vf(CD@vP7O*!6xZ)-r4H2;ds6IU}DeWz>_!h5#05 zDMKO3aiCE@4+0HXYs&u~gjtl$;P3)iy+{Ku1X#GJhWQaL&xf}1h<|dF)S8_&&S^zK zSSQt>@UnE0z}_-kyS2UO%2Q(p$Rnag*^quz6;O1Q>JmydlxwRIg-}EhTe3@HR~c*9 zgD%&GtohL;)udavY^nEN-vT#Lu$b+FAQmT?Av)}Qb{EJ4s1bvd=`(c6lO|v{&?Jeu zbNFrhZzYbQ_QF*+jh(M6@cPlU_xJY)`6Ex=tEY7r@TrVAl|z{U#;ByRpWceQ0X#+Z zscHg>Usbnep)- zZ4ZE&d{E~;1tK7wQmc?BP4<_oaXc=Br18eB$KC)IY7wP;SdZYZB z-Vxi8hx00JB$A4uJ_9^hDP!UGCehjp_zdu5Y6SuR{6ZKU?z{|Qi0y={Qw1moQ)|V-~ z_dwIqn`BGJRgF;4{omBMk}# z)?c%Y=#y`L*^8t88DAhijW5(ff$_!BuB74B3D6L9tfWZGr4Gb}K>XU8f0_?ta>%LF zk+?8y}^F96x$B+z9b!pnj0XsuxRRC}qKY*sI{{&<= z()bIw1&jAUk`bW42lg%N%6>c@e+5*Kukz`C_fNWnjPhxqo=Q*Wic_9$Tluz<$uMvT z7%e0%M8kHZF!!jjNldKV;y*#dhNM1jULrGtWqh zT(9xz0_og$>vqSid$NbagmY2zl>~X3F)=4WvM$smq29_U9C#taVQWJQE`H>Nb6Qe_ zI<7xo6x5@#K`qIh!hx(k3x-=Kte5xdoIL35FBfQ$GQz#3 zzsj~@ZD4wye|YwgrwUZ6XN$+&Eggn+M4Idxx@b%eH8*zIuURI>Q+9_R5ggy>g1h9vIO!Jh$ z^-ls~3m6X?iNi{@ma67B*2u5zOzv zYkHO1zi9sMUcevSD2lu)+z8=i_jv5%nJ+`KX&|agv$5~|w{9t9QsMdO?nZKl{@d%v z(`IqwK#?!xH`)0Y`G{)RXDVw%_(cHhd*{ErWR;HaR#o}2Or+CK#J?hqGMtZNUs6m~~qNh+~L7-V? z{k~Zw&6q-&1DhcgIdM32V!PYhS(de0zwVqzPS><=U}%`wz=wpEmD92f%ga_rlgz|0 z(SJ`k_3j_RDD}=r52mRK#>oVBY3y*3gfP34()JU@Oq7nv?g?+WsW?p~I(pW1*c-oL z9ce}c-9ul$_9a!$x!%rpiy4YJxPRM{Cnn&M(F&9`8Wq*k#4KZDf*%cAC7yK|oX8xkTIm5D!h5=4LB5hfGEVbmIUHCh5dY<@h zzbNa}!g9)^8x?u2ah1*68lkQ0gS*RLJe;`)Z_!PC`NoTQQat3}2;1-V=3!S8yIS$R z@m>rsE4pxPB^P&!RdWtkp)7`H`9aZdy+iBA_SwFhzs^j+a{!sYp+xr6nybB~O<>&;(HGeoI!uROj*lW*TW z)?N-E4@%^WJ39l5QND9{huA`9YIEJ5UDagT5O#z}*IFGUBR0PJuHW2{V#M9M<=4DY z5tOX#TUk^Bd9Bo-lVP5f4NKCfvK*x^Rc#Vy=gzCt%_~ZaGaHEh5D6`zS0A6(7b1t1 z)D_AT-P2{XFDBh31pda|y=Y>UYaUVYuP!$5qk4X9qEJ=`Vf$4-Ozm4@FKQ28VVrQf z7A+*GbvlQu4#VlO+oM)`NXNDH7E{v%33#puUkZnRao;lvSJic$ zVXaeTO{zRYJDOAWP zRk3>b192$KF%nLU+!G-~1*K z{?fkobAdvE4Gf37SP;}+!t1>T~Y@6wN-aoheMA0d!Ym*jn$L+O9-Zp+cbOpT)3|YkTTsdzwsPCc%i2z46U{)&qKq z^PXs%B0q+A6k<8s22t3Pp0z<**mU6&aGC`cuw{aEK^_n1RGcn>!^#NNBWtjve8}}e zw?5tvyAM8%fw8xI<411y-l8XJ;)9msi`6&%!xc+fieX2OXSX=-9p}QE0@64V;ijxL z&03Ywlv7^@^E-_6$DY(9qRdySYqz)lYTGCxJ_VhR$nIWT_Z9XR5tQBB zltvbLY(BJ(stL&MJ}GGu#j7Jp;PC?O8Yo&=>Q;~7dg1nFB;9|x3`y!4rgu0VTeaTO zbG_zngi+0|4TT>XeYoU$z!f@4Wrp1FC6Zf;yYLX8xQ6x}FLujP+Af_5G3oS? z6b&(nHh!j!J;+7#4+C!~tU+I zMhx6zHjY->^fE|%`VcV?smy+VRnydq4ZPg;)Ep68OpNpW;=0A#dc@8zqQ5>?zvNI; zn}2@6W)^jXt(IH8JKn_l$>X=1w|_uPX8Vz=OltOmn|ojGDsuPbG@PGb1i@k+ zobiwC(>qbe0Q@haIntHJO~xLWMlm>Hd0S@2j%>s@eGnY;k*7$-%L11{7n&Gl3f-vB zz;VD^!QGpCoT~BJNW8neOAv5ET=#jG-u+In5#L1&SiccAL-K73k%KPIXC~b!El1;R zQhSwS&U(lCRO!f2khq={0}(KD7KT7XVjOlDdWOj+#J{~=X@}%fY}THgGz_KiO&W^neRG1fllRt`@p?Tm%R zLXCk5&MJgP0*Dfk<7_u@sK%kRYhJ1T6d>3QE>(ECA8gbz>2zUCAH(8g6G8cVxOEK` z?s6N#KfKI4SE?=~FZ`xCp!siHEH;My#9~;f*`0Zg!$78^zFQ>K%juzQR3)*gn+Lnw zXI>&WjL?f=`@_M~7mLQ%z7O63!ZPG95@W5@a@+%QZesa2zQ5!o>ozy@x9kbUJ$S)%mTi6v`nw9}>`y4*9D_VaTG^c_A?@Vq|UC|g<+lk)^(!^FQn{tP86X9dG4 zP@(a_$w&{JcOhT&_S{-{!!X@}iP@-5I?sN3PV*GxA(gj&bC~mSVGj{A}UjneWt08D|&|_#5 zM%5c5NNx>gU0rP6HHa3;_r94ZIYx+@i~_EepjV(&_`lb6CFhVmTW$^=HVVC;4`D z_5(lRtJ5roY36*Gw02}ao!-Yk-|3x2(hN!Jxygqu0E zp60s_ROYoyD;i5y7K9WSBJ8u2yR=5KWL4#(m1w49zi8Z>+Eu!;F(xXhEIaL5aqw0} z7wNM$9ptLC)_1fp9_IRFJzr%#|H-=9(%+D0Br@i_rH46}H7nBWJsyRXp6#5DkS%e+ z%_yrl$F}2#x6R2Ho2r@XM-RWQ&&M-w;)qoqx>)7ztrCZP#nwn3ass+OAKqx|R^SDR zdMO8ZDcc_|^_~0XB0U`3e0lYAmU1e~T8~te>wA;ti&a=vipLH?$S4C=_ss;Abi=0&5*9(J-CSzsIw0$?>1nXfawaTSg)WZxQcjLhIK zzeY&ce6ksTY-Tw#_w)LEJ9D|qYTc631?nF5)L{F_XZK8c0dFErq2R|NCPOzISY?e% zDiCs|L45N;{V3f{$eT!t4@)s+9tFVFd?~HlJErp0$&`&HgRQ}rMJN97t%^hRg$?Ww zL6cC_h8@Pe0a1u@BSOPD^#Z$OljV+IkN@2Jno7fvNl6>mK9u~(dt3` z1v~~LjZ0###mIasJ|uP>d{GQqI&PNg{5=gb{x=I%bi+)sFOLo8l90c0+2<*{Iky!v zE$}RsEQCg9M8Y~RviZ*Um2*cr@O)UX;@7HZ0_kgLglvTAIB^$O<=aI>+1C$32}_O( zjEjI9(pWP%n>10`FyZjLU;$XvV*+Y66qzq*70u0C!aY!zpZ5NfCp(6gtcHq#mU-PZ z?FJT~yCfS80)_)ii1iGMV#fqk!pHnlA0l+UCi;qp5@i z?5+HZ4?)Q*xJfFE-Gum(SD29>=}UEDJZHulX^49XKNu*@v&?>9prn>{WY(&F7PCZH z%{sx_J0H#M(2{R=ocW0viBk`sS6b1~`Yf#>KMa=o>vP!`6a^zJkqNWJ@SjGlu<}u= zikTJLs06Z#G0M3saB=2UwLC24=xGjfic9xNAl9s-q>($wG?SmxA@otKKY*uUV7{=q z?3I?sXx~Z~;xRj-lA(qJ#WE#0J)h}LHb_Bbf{hoAQ?AT`_vHYp%7n@De%-K*Y5Zl` zP13j>OxYV`CtTTyM{Xnmnvyq>$FZ(RRC4yiRQ3{76uHHA20^@v8Z66%y-9kl!niEf zM5x6IMQyZslOyM0&pJlOUQmmaUUn$1mR+hAHL-}60iag0i1nv>&Zh$`3v*y1EYwUf z(McF31(=EoT>~bjgwtpU^j@Dg%KmgSL7tg(h#>;Q=P?_ijZTHPuR=8B==yQP6ocy31&bz&sceX0 zls*x=`4W|e>-!IsaL zD5#C-UW(S2kJ1;2VzY|vwTit1#vS2iAYP>UN9f|S6S7kC=_bPp73@H=Bt!U@aA7P# zn11}38!O~bfP;j7uy`I=@s&pQ^@CiLY{=woG+`7%(OGSG6G8)`S(LK_o!@6^CZHyK z2|h?cE;$+b{Oay0(!`o76Gr8N!i-9CC!|hi3bqt$}sEeolLK!@j|2A8Bf|(*h$Ubs&;rVKj$6n5Ktf@`<@M zyl+hk#zw_QovlLGicbRieOV`WdRimHrS&xK2Pw{bWe&{%iITV<1;X#Oi71$%Cs)!w z)l#vxoA^@AS^y#p3M#?cs70n$1Lz-iNRAM=pCZi210a#yYc%X(;HamQ+(b_&^EsYQ zLQQ~^s3#}+jO-je!`i=7X6&R#r?JY-X|?@n?I z8KKo36kFtEcG-;y5xWhZcw|~}+H!6t7lm4q(ZFh5L)E)JgQR`|_)r^q?Fn)&1s}H^ z;tV6joeW?9T&snxeFxM)8uDuxtvlorRN_AWOcZKPjs+%jwKTP8y8+1un$>%QSK-55 zd*EY5oockRVn!jm!rv+21q%L>FRlIx+4W6m?Flv3rc321C|pz^OZ|Fa>_-i9KLxgHA#y)6wrd(p zYFxOtvV@D%A0)Zvy-!V{gp-$9H;p|J?L9(P`jE}89-ul6SAeWc@n>gdSLUxHPdjT& zWMn~hIIhV80N!(Lda_xNCla~)heVb=k;sj7FhO_xA0|ol1TD$JpAv*qBS|p2b(Nth z<-lY4nG3menL95j8Q{1&3kUU@x@J6C{RlD_Z#dF;AQLl0x32YeEpaVq3Pl9BGJY@4 zOm;06fRWzbe;DPLKa7$QV3cZWdBEYsy<9U{mp}`c2ST9ijm5}gSXl}<=Paoj0WxU) z`jYhMT8<==KKT=&tXd8ZB}dL0gx1Euk6C$n@8oQY zdDWDKIttInoUyNv<3l8qi98Clbmspnc!Z6*jE_@fW+~L%28d*(6iY)TC>5ElM_tO8q6S48)zznq27`WU zB~sV4)@s`tQrQlPPfq3j8$x=KAC8q53bcM^xcg@CyfUnL#8#sTcY`Na7Doxp8pNgm zWCNR|E^+VKx}6ZnNgQw=DAvq3Q5;=&NQEE+tA!vN z{OTGM;S{y5k$>C zQC0H>(v(;M-e1AA^%}j4V#@5&8lYS^?!asTi+P$ zzVi|H&d;5RMAisp4ab?oZ4prx6MuC?%+Wb%T3Q`vpx7ZIW)d^*u@^L z*!2@+Oo?iCd^b$L&TUrrirw4~HsY9-Dt`RpW_7p-oPo^j5vHv;5|5VM%CvyPnsiu9 zplDMpwJ>6JnTL$4WZ?AqhC_UWxHgGbj_nZQc<9eb%t6{})cuzA)(bIL_tX^;oAtIP zWQtuba5Rc{1-ao;_k659D28=CcvhdX8a}MnA9d zK#?=#IUOLrBqDaW#T8P&FJ_ zi#Ud$k-#@!;lU9pKipczq=ZX!|L-hu(DAq(d@yQZUWB*7*V_|S!n5TPAD1%$EfThX zcBFA{n2w~a_ODUnl4Z{@7Kb}LZ%<+(i>W!?Lv@vdq>!n?x{qj@1&aGaci*>N(`~gb zB3r;{KbzV%ciHA`)+8RlC^=|)>4-|*P-NfzaurufARg4y;W6H1M0z312(6ADS%r{c zo$Q`?aUisi=j=Kz0!Wed#`q^yEft!ALoD2R&KMOorqQylQsf}r1sdhuMS9q+wJ~A+ zE-$KUNdf>AJG_4OHQt8BjWSSIeH?bD0=kFWqvnR%?esV9{j@A&l1q2yKbWYGAHYHz zC|V<^u0Q)A!Qh&3;WEgu#`QcSb)azI>JmjwNnII4xa82sk!5qNF-;U8kZLZA=Hu`2 zHX3_#&>5lR%uiVHZQxc*jgH=LA=5+dZ&_=+)|yw@64Km6(_Bk3Dhnq%0Ym%iXihSM$O*l6uw@#xLDOUg5<+wWdNd*+==zat(4)#Garg;=!>s7k4K-6( zMhP5TLu~ZJ49&|$5G~YN5%pGLSoTK5Kx#LDT(MU!Dvk`EQ7ah4&lFoz<1OK{^#QGD zNT5KQq^Li|Ys>XfD>xRU;XjxtOhn7r4CkeUYi%TvUyLqbP!;J9M@KeJT#L^m#|D8! zlS3T%ic|q8$g^V=$ITcD5hU3n$33~>b>g{@{EHB}eD1mM63Wh{$Td*@hDa0X5j^j} zHZ^YJ-93+CTf1-O@PP>Oaxny_$*d1d%4zwQ93sLxmi9YNP!9DQOYYmo_LM7wW5+1jCQ_E$&;dEmDxiBN1-@6$6M<|R7g>XlK#hx_Z>&@T)v zBBJIcEyLB16y}nSwU6uj7grB=UPZ~rudrgP$6XVfL}T{qc#WLKZZCdPrR5l!1zvIn z1!7PIkDt6gD5wdOjY>X9iK;Rm<__UXB7;;!BhvPyannFZfT(s*RkEV|-9ks4+=m+?GFI!nwR>ts*2Aq4Mt9 zgH4=b-hGil>r?fN^}7(F7uNFdiKm^%9)%uq_?J8n<;I+*0AqM4e+H-rLJXIqtd1~% zAR7ck4A@UhP$DNnzUzqNPJ{{$YMzCpFl`_O=6Q*tA%RLA#Xv1HL2qTRw+n&3oj&Rb z>}W{Ue(U4xni&0Bdq^lv%n`%NWmn(CRy+V z0&Pfx#%%A|+Cog}&wBHBW0|sb9cYhbC9iT7ts%;oU&JUSORY=24@XE!|9ST1P5+E=9uK5YZ33!fjRxEU4z zf@{Gp2KW`t$T?|A-3B;EEEf6C1xyeLR8{*h#j4gk2y_HYWC>LDG~R15505=Fy4=4g z^5w0H(wf!wHgo0^DM8GU>bc>?uqV;YB%b3@wkK({C-Jf;IVR3c!KlwK@ULa?ZgV*e?cJo5v=ES6j=mwf7(a8qsjN(t=m`;L>jFIWA~ZPT#ZvBY7#hJOk8Sx+Gs zohOSN=s#*_u+8$p$6r{lDV?BL3OQ53T&+mJG~eDZH9vn-MAZ>$wg78dlX}o{4eD`_F2JmMKcfKy@7h5CF1-|d7#1&5>t+SOp)}#Y4*gSV z#{IZFyKb)d9XY($PBMipf3ZKu4a#VS*-5(%?q~*^zpC?W+KxspnxT9etgk&9`5CrC z4sZ9(^V%9YJcOxo8a%`)78E?9sd7$0efFGs**OigqZ+ zzL;!9vdx`tmx%|7{a`*Tm9DHXHYgLw{}=ho7~!zIoVBWaRxrR|nWo~!+u%Da1Ijk@ zc#%~zq>^UIWVqp=J`usLx-5^U?ZG1nEW)hq@!a0=Iq9e!U&a&ui%$k@;)}kKEJcu? zG&j1@fgxUm%k%P~479`sN}R*?baV@x^xIuLfM{s^i0(s>p;R%`u66M=LV62Y-Ed0!)>#L;BN1lJth%m#?6q52$S8!HGcrnrp!-&|1-Mm8I z-u7J>enXLR#~T5L)+4*azKQwhf?85}Oa2%e`veNo$aHG@Pj%iSh8jIVA1yNSpUD&R zs%T6;EBM%uJ|c;~KJ#1_nfpb!PFR9HX*~;0@X>Qwej9C?p5xb%89Ckhjg#$pc7f2i zEENXJ!Hq~?l?ielK4zyMbND9pwfru@eoEYD88|K)S|0DHY$Efwh+t`6$kvZAwG$WB zjauc4&{`E2?{Q>I3G{dYlrR5`31bHgDJ{y#|7nTgBBKi6WXeqp3CL`UB=A~X5iymC zIk=){R9C=+0;rW77vZ_dO{UfD=xDYPqck2CKDB7S;)0p z>#(;MT92s+b)TqT@e}nkd-=4B^~3_XrZS)VEanHaRE55I&DW0(4gQAD{(fhVE3H6! z%d%rMD4tz%0cq!SaX^obX|XHI17}Bq_hUfkr_hA{gS+KMYmXN-?F+@|+l>P1uGnhU zq^7cpUn>;U?Q0{lDNx_A^OdYBl+IZ=G#x1jzmCt>e#zA+$#vXmw)C!h9ve4nMLp>} zHjjBxxi3&6NKHkf6?6U9b6IS7o8tUEb7EncRy!tN;#nTaLx7p~a;2bd=sm&lS{;zI zmewT~Bkm3lWsHOEe+A0T@DyyV;4|6(e8}vb2>oVodm|Lh15@@ryF`X_lyLeBPbPfZq z1Gv$jT}Oc#%s&T6EUNkOq0+PXnY*eHbyu}W0v0;l?8SWnQMZE``Ew~g96@p4gkp>4 zXRoAqb_k^O>?4o!xSK&i23i#O!{r3&m~kyTu6hBQTJo=Z_Isquon`QOS`@Bev!%*o zkMT84ybD2{bJ*J*XrejMS}5yJYCkx*zj&X>lkyP7_Xib)AINC}&>upBqCSIY{^EVc zPcRVNy7zSQEpU>7s*A>23R0thPMts_yL7r6N*O(mX^nN00Prvu00QQ1Q=3*lnt7qb zD3RiJlTjT&Uqf^Y#y?^ztJ6I99Jnqq?si!dtu zABsfvvf;5;9btqgf;YrlXm3QUE~K0ebODZ!i&c|Q!8z&n1@~lzvF{Wc z(fEpqb<=v$r&QhmdVDoXU059|(9k`70}6K)(DnxRQjn=I`B}a4oDY?b4HuXU?M(`Y zc$IAh!KyMhe_#qJ$PT}?CQI)eE~m^VJxdc*zY{k4Yj}yQor~8XaV4mM z{*N627Gz{9Lm6XwthG~_C3e6fFw#D;x0XM!j|G5ziQWtrvMzLgupjsd`}I>lB`^oW zev2e?DG!+eC|w5^g}_=3|8a38xVeeE%e-1(6tJ9?GC<1UzZ8n_fI^YZFt`%s8o-K= z1hy&g0*_y@z5+T$*LN1OHKMLv>Cz6WWG*|PObkF&Xcn~&a7G8NCxYk9dHT|&>oo!e z>a2o?LJiHSJU|*n+HuHSh_PQGAd~g=;sH89##41b&G_NC&qi07bl2H6XuPnEvT>q(-lp0Llb|pvb-pcQ@mm>)J#Py zORAOvezhx5qLwA)OR?xw#VLLT2;eZIeuKjPDoIJX=jUu}C2NiUY`R+Bj6tWqk0)p@l9EuA`6SYyQ*A_C8 z+o}=&A~HQES z)rNXVJ8z<+8&=Cf964lJxi_JV_XeN5K`)x!h+Wy^hwGCrE@ooZ&smS&-=n1$O%HEQ zZQqW}j)pJ0Y>l-K;3EGmG2AWs7l~mDTb{OuWz%*~&alJGug3fQ$W7I&fDXMqjpE^T z%UJ(7467FK;&qcTE(JWp&l%iCqPV>;aJY9O?|yd}N0$1;Ny#hx4>X0_a(*Z0y$~EF zK}%@Q*nHpEO~`s(Ufa`;5of*A*NBvQr!^titOCmxTXXFKn*r*El=8RU(Q{lA=q+1B z`95IB^6h(?TFec_divbJRjlk3#45vCT>bqIW+%1Di}U}h)r8Fay}9S;7ERne9?xO5zhE&vq4lIIH(jDMzBZ@_ySvl4Kql^9nW$wNZsaG z6m7E=|0fZl6b5Y*emr$jtC*<<#OsyQ%#n^_46MaOyNGpYAG5+d8O}xt7=~K`Pr^pL zVFMN_qvY9QY@3&@#p;y09D^en1LOK$CW!0}&6?21Exxy=&?}o-U|nR_O!M`xS|o1G z3JT+P8yuSBkm;kMu5>`o&pbaxuC#BfN zMUROeuG{j_ziJ7mt`-X$!{WYAHmnsoqf%!npsbs=?k;|czRSnkn3LOamct6axp*r~ z6taXhe$nXI@EelsR}~Pa4??7Cl!x_EvyBV?@X4uy{;O4j&->ViJ7uW{9*(F500A~@ z4d#p&nzjX48u?2N*d&7VzHJM}h2B~}crAUOKUZ#O*~B5(r8<7#@=OAloea5a81qh8 zbAV-^gv@+zwszk(liy(?sFS?Y*$I1IYeBm&TkE==FWJ@BXoLdUb(gXEsAjhZ)%7m` z7?UJQqzV=mKR2E)uXvXotJW`XJYF?gxp=-N?I&-u<_4yoh@})iyw+d0x~TkC8MXhPxuta^lo@Q2P_-U(~HyF@w*F$M~XAYoWi-XUW(|^bWQZ*gg_1!BfR{!-7pp-&N$p>38rb%|{@DJCeH)EAdS^%-zH7 zP`N>bmP+Kx-B=v*<~7R9u#|Q!dpV3sd+pWIujlP>5-iuOSU?*{+c<=T*i5lY>Ur8E zwn;1nm-CY`B318LPH|`=IepxAEw&K!x}09-JD@8}d%!Xd>%^-Cg>911rbzXH-^bV1 zhQ^N;PY?bj3jCY@wM%b22KK4ghz$rQNdWvWM%Q~qwd7)IlQ#;rRYE471C$wUeZPRg zNu0UP#zzhrJq_W0ZN#-f2t53o)`wN0@wQ({E+~2WnexO282CdS+;|5H*+Z4T^C&de zH}BC^eUAFCwSk#o2QzI8A+J^`-hG>+OD$nMeE#;XVU?Dxl)X`0B;ZSq_-fVX$;aXK zvnxTT^TvK06EBe^6i zCPU0C7shP~M0^VoFVpb2pXU+P-d5TmEQU29ut*|$I-mZK*k~pQ+kLb~`n^4`*>&dt zbB<_-v}|BnV<7u2U!PsI!8O^r@sACMJvDSZIc`uE%+E^QEsI1%{nN}JK59(G zN02om#3ZZq6Vj^wqQ~)cFjO>iP#pVup?SIw<6(Q7C!J#!zT0L-E*mTSarB}^yx-;I ze}O6xN4hH!H4-NMBT5`} z7g>p6+z9gy^obTFX|Ty0HjSmMb`CEsusM%E9CWW5zxZ_~XDqz`o>l;3L^W3;yc@N{ zB&NFBLi302E9dHA&zaV}gHIjQZBbJ#gH2WkV)Ayc=Lts~eL=Og2pI-k&KfIq)IvL6 z9o=aK9{Cj#jIEd+`RJ02t#}^!_CQ49asGvB&q@m>`_RnQ7yy9(xd`xYg*_gx(g zTuv1E#7s#>3^gZ(%Nur}L30JzQTDBbwq0QuMUW(DU4mSgC=UfB2o=O8_v1ar-0KfV zAO>Py-(IY&tul1Pz|`(%c5TQwkovcUoanl2e2nydXzuz7p0|Z$74pidP6ER^Vpnc! zU`CzC%eDkJ_wcJNu3-YK31zh^wU1u1G9ATwJxR<7zc--&LjkoYSEG8vXf46~JO2{_ zaYR`V+;rh3@(8fzo5(6BA(d2o>=_(v?(Qe}qy4KW?Rk;{vRE$|SVoBje9?C9^K=Ce z2KM3ThuzOzS_-#;AI#Z%-{5T;et6B^>&4#d#NJE3IhA0p>yb20pn7M#u8qK&bxPGH z)K)bq*h}2uY+{-gGn1Y7co zT*bt%-)*SezF_$8lbb8=Vj_H8Aw_{9`b~kDD)$M$@GXc3;C>BxET*@+n@m+WXpqruPTe&D|lmT6XbD42j6 zK2s3^D(~=DbwZ!1KuafNlAqy3l^ewKna$X37z0=npr2-jI#&5+hKS}H7KT^W7K+gf zg+>_0^MA?yGEjmNQ6&T<*?vgH4Fb$djIND-&uheAjlnkyH7+`N4tM(Bm|yncpz^g9 zfC6#S8G-i*^ThWv;7L31a6^Gexo0|4Bqd)yc)8TNErG2-gaOadJ>+bHYs8Q#5p_^7 zec;6A=X1Xr4XwllYsg9lM8^_;!3v{((5YD0IUOY*4^s63Pqzit9Yav#ZL*O!*Ag=*oMtal z|4H?S_uKz((O+87UI8kEg|NyAJy>J$Z_%If-t=IYUbgbcM6=yuN|J7$qBH7Hv9!lZ z%Ex;o*>AYhEd8x-Y>jXr=IFhZ^bQ2}M~AU-`He|sdYJt(P2|zb0?*o9pL=aSQ9uWk zNlR&N-oip1!dL@VMPiV)vX=HHiY1`(GqlA23<$}G1dsM|cHIeFWj+VOgG&KOi z>4PZB%!{gMOz{#A>t^udkH!)w)DK#*85nC+Gq+0jF?>~d%*eOryGG)0ab{*P<%Qz_ zcn>yIStIFzMxwCTE`{VhQGs@2x^j|j*vOOK&;6PFyy}wxkm7weSv*dIHoyuYurUK= zPY^H*7CiBtXW$tKJW#@HNT?iV3q>FCrIveF?eUgQdx!&9IbQLtyi`&8HtGxC%xrrp zZ}ok@r#k!Sj(4yCC?mh<8yPv0y9e06vWbMNjviosWPzl2kqFkLX^9*?WOhiOPntZ{ z&%H%E7aJi}NGbe_9(hZI$SlX}We&X+3T55|Pt>n=iuV6O_a_-LMH;F3i(9}tb8sFT z<*bZZv59)4ta#77YeXAR|5=7B5Mcs(KSKsE#UH&Nk0gT969jBT%eVUj0Zl_{P2ntX z09KpFk|wRgMJUU4EzR{au;ynVmwb|040rcD(mw)hqvRB|-w^ta3-QSQ3*t?xe+g;3 zaCHM7CDE=R$e&b{HdnOWK73a;evE zA{*mJcwxdWrtv$1GwR9U;@?EBXpR~_a@z?2QXB;LcBAI#n#_Gj}q`wRUS_80yS_Lm2+zvuUB;ZN+3 z7NaKA8zN0*hJ3cg@&T^+J?Q)o^lPjR^_G_Pc=cgTLK>x?0jDhW{Pqbv0){R-iVth)xg{1V=U|zcYgTLf^^DSyq z=_)uV$&_?EY>Oh^t}*u6&X`VyfW04>Uz15?Nb}W;p3pVw74?7@;cO zy81dbmY>V>yu{B7eO)CZy9^7&`x_XPif3fx>^@@1hq8!%XE(B&uV!t;dqvZ9_4U&)j7 zFT$2a7eW4y2r&2$4J7-+06!4Jd>Q~`Zu+8RF7r{3Nr3ck(`hIY?6(L@b{#pj1lS(M zEg-9T@RRgUI1bR|$oT6TE*AkgOKvURELtWUfferEAJ|6tIP51cWSQRx ztRZ;#@M}#^Zpy2M9@tFhAn#55kgw}hIRv{DZ;)>AdAfeMfW|4@kFfs5Cs_niazqwf zCWCWRD6&>~_{S%i%arOIH2%T!E~4OX(I3Vi(O==eivGU+C!#;+e~A7>W0ODj4Tnaf zC8`~J<1dkwWNZ80(RZ!8?VC#@qmw%vnrR`^hEG8L5BU54FZjbt{O^H3`QHBn{{Ba9 z#DBn_Jt^U*S8!AR4e$p@V!Gjxu&G8`le~AVhFjU*E*vk2{yc+H8o!co$<_!KF#ASm zLEKUV#>kt#I*YXU{L`(%san$w0~EEh%}n}SRkCLX3=)?`083`T@cb+zz@}m_EFaz$ zLO;qfbZtBIf}pq|fLZC_w$$-p=V0lZS}tg+_@mw@175Wl=$tu2|G5LPf{TZ4ZW=}1 z#HnV!i$G6L0V9-z+t5t_afDkb`0nu(Czt5>dt%&y6D1f{{k3vxcz5#nnxZ)sZ&uYR zLj!%-bV?N>V}R0MPQ;UsK|2%Pa}3_hQtw8|E+Ob+>KOMgOMD-i8x#F+MnU-`w{8l2A&jOW>|9w z$9OOq;9K0ZIop?FTRuu2TPgtXyYRAtC$HZhU|MBvM(7bKFA_};#c?4Ihx~$NZrJV7 zZGgL9SI$r|&v91WZUZ3vTeP?q00>{VWB3#5`^$y+ZQ)^yi`3Fx{BDn~3GikFe<86A zUoS_SR?hw8_UQb*lfs=iU8F3O^3>6@KOU70Osj zjd`yC;C%#t^S4w{Mb^UFIFL+fT+4H6k zh#!G1$kYWpNCXL)$}8{Jr${ujt06XXMnbA@E1ZMhlzSPcciS@-Z1}265_k4koac>+Lev8c8 zZfcV9o~EV_Tea$ABV_83dJ2(U^N;WTBJ1tI`^88%&oPvEPU~}w;`>OThyHKxiP=xO zcs3d@q8Clzm<=Z!e!P7n^jydzwjxw|Rb7a|Xnawu3U-531;tzr1NMK;7x=qUd7A+D z*|sQUe{x(3KgnAulxiE%bI9EBNViGcRR8eV)y28Da1I!6<8J%KwbgWnBZr@8VEY&H z{FsbE`8vk&7P0Z4{iLq{7hP`w6-Tgb4Wq#!cyK4UyK4vzgF6Iw*C4^&-6aeb+}#Iv z2=4B|UH-|H|E>4Fd)KNyyQ;cprdOAA&*{BSofYE7Y_hQM`#=$W_{L z(ZRH!c2WTLFNT~lDI8F5Bvd>T<^zp%SelMR`v)*A%zD}-Jx41(MZ1^Pzx`+~eDMIhUkq7Mcnm+Xd4FU~*Y{9r5y7Mdt#rBe5K z72v4ob^yf0mbY{t{kH2#3loo$1|hR9K0!oxL-yu-SMNY*kv@JcmYU(69Is_(H+x(Z zUGNC>%e>)(>Myc~io~q3y*NYc)aeNiq}#sSfx@Numiw3Q_lZ~9+~(M@AC$zp{bO`7 zJ6p!P7B^SgLi&S?Pdi`muAUlOyhqTa8BgcNCZq%tg~%>j2lXc8KQ+Jq^8Q_jG&4k~ ztwJlxjck^OxnZ*^<7ZU{Ab$S5^MOR;|CjxOU4NxXrsmO%PdAT%4!i{rtu>uMH8(-d zER-VI)-zs61M)BVC~Lf;2IQ3n-W|hPsSPSV{rPRBoJ+;epwy0M}dGxnH<-0Upjdl>rDTl zN45)~dk||j_s1VzVBVkZ%XchY{02bWx@h$&L=}K{r?q{POaOg%uSe+UiznF+b*WRr zN1E?=?ACN0-wk=Sy6Q!ity1YNT|MJ_y^Vd0+%Xw8WG?UF>5|f{Q;+px6{JV9W`7$5 z9<7vX*2AIM#3j)ydlSX9F!xGx??luA6^e^_Zm2(^K%LaXV4oL-x8Q;a!9!ssnzlID zq9ziDC6aQYHU4#9FnO#wddk0GR$xy@E)S?x6JZWmi*=_qVyx8SCx&NIQYabMLZ*Ge zj8xv11gPjrM4%J{nAi@~Y|0M*1k4|(MQmQvh`|A8NoP(QuM)#M>-084%QgB3$bFvP%CT{Ee9? zy8YGpCZ?YbOPJ)y!PE^)5NFCE*9`Z61OqFAiv5*l1Tn1J&y+Kn+Ni5Ex%zR00ja*f zYF}!~0~7MD%(L0ko-gw9W~r=R)@_uh_RDT!IH*%~U>kcsUl{ zZG8;3CQR<-8X-mP7tQS#W2k~yYL+t1=2k|SFSge6KYyfanX~4re8$vwWn-1vDX`T6 zXyeg$P|;+fPJ4po+5}jlvP!=QPs*}wC0Rlkk?T^b)yf;{gvBD30Y&ClbtIV9yL4H|II>+MKlMUndKz-(`(1fTD%f%+gBDzx-}w%WV4jdbBDHWl?Yp+z&~nF z3P5+d4z(j`pj0v{o!(ODm5rYJN2FiUO++v%87?cL)rEhvp?|Y!NAel%w$q)u3!)7i znLDuCA{G%TV$Lp~#-_=xYSzuVhf?xvpq(FVcj+;bYz-A!;hppFraCv~MTd88U+h-c zTJbg4ZDxa=bO=kDLyK!ss>p%cYLq1ni~S0*Fbz1Fv-Xs8)~t;yZiU(PUzN)!|Eh2A z4gS*pPT>#~>}g~k2RD;!sf~q6kAX!rYawC983mz`>?+D&N*@uq9tYU{XPr*!RS0$l zej0^AXZWl8U8D;u_=g|R3t_+uAxv`4j4iax5KQ~i!~!!3drqHw&Yyb-l>>MOV2la= zCoO)E4?U%2PU|ybDPH&|ukU}^9Hb%g*=()>QI>zCAC6N>Q3Li3nuRpc8x}QRmHx&2 z6XJSE$!mnLxr~lBiFJbqmeMYNVVi{bI02?1egISb;3djvy<|DfTY3bb!{Sv|m^@n_Ya6>OQn|-uX|66f_T(dxcxJ_mqG45O}vGk+~O;}TwhNH66Dfwa|KW#lK zfGhIVB6|>EbK*sYca7Ndi&OG`>XR;fPdP1GgsG$CHtfFeRpk%w@e2p$Kcs|v*;OaG z3oBW!57%#Wu?OZ9%Ho;$+BQ>sm9u^{^90{Cc*8XIg)vl!$+(I7nK21rSdEGLf1z9| z&<3ysVO>IVyu*)1)VM6jkWL=oax)xdF?Rms?k%d_ZS+D*I=26)jFFm=uoxHs` zPU_>=I-~o&mx4Z*xDZaFT~h^1ch?{S>cnxNgtLmJsQhr$pX=WD(1NbbFUp#Y;d|;y zh;((L>1cKLM1DvPcj@I$PWro|4@U1Ct?Q(qjj8plKn0hm&BAA>@z790qw}@@c0Ngn z_hxgdm^*&sk$GLD?wnrvcTsW{-coq6@pu3<6GGf{9gvSF`6$^7pkh~-{36?^K=|v zMZ*V*j$!-BA!<6BBd}K}I8wb8amNUJ@g`8i>$GEsMw2g*zO8pOGd63!Mpy@K5$U0B z?a-h((c4r70j3Vcb#ce_x^hUEAXrx7Zs?D{wZ zRx^}CU^BR|(4WE9T~`}A&&#|+v4?7KU#}W_mJ?-lcK{?89VrmS-TUV%4BXUS} zE#Zy`KmzVog%@p_8Z&I&G0;%G1Ixy&VOcI$fAR`FC!wTx;l4Rm)R}I}&ntSvR$$or z;n;!v$#bUP`y2XR`8Y<_x2S2ysNKdl|KA3=g)Xn(5~`mTk7qWqu_8gwgz8 zuo$guP&ge}f%>ab&*9_zw`Yh7xH_EF@3cb!sV5dR=>#K#M4z1_--gcoTF}DMu9{K9 zW*&`TQX!T3gkc&qAb;OfXyrb8pd|v|1nPxEPqG{BzHjT8yh)w>toM~$OSzqV)9^H| z_=6q$3rn&IMEw1zadC(JjKQ2a*kXa_dy7Qd;&@=m!&_v*VxtYQUaV^$yGF-3yYu6T z(25kCheAG0E8|_ec#~;PCd{=ux~G%H7g1sTsoON=er6^t$B@H+; zP_&uafFdN8WGs|oIkseMw&3HuvAN~48faH}f zqH?f&)o|So$pf0{kJ~9I_ss8DlGI{>y5h1?D4x%Dwn3*3Z8=a0wcI>57_S!SR4w%V za73v%>CD*r^ue$=_0B>w7)zD8Z?U~4BX6Su;V5!WcrZ5eg@}nccG5|a+?xoc=8AcA zt341^&t+D8FKJ!$PupQO%Yry7BXOAJW%m^HAThkwUAHaT>TUNq&!6vxxoxlMM-yeA z5{R&Ty>QP)G9CbYGy?0yd{u$o?)sThNx3HJQVl7_D&9o`gMHc|&){ccYrL{H5s#2# zF7zBD?NOFWQ+VsYPtcia`k}uGPuTe?_7^Mn7c2Igb;cdLGIop@r8M_6HIp~0M`mx; zFw{Nq|99f6ymHaqJ8B-8bxbbap;H`G%Tf46n5o_(RMAwsJZtG%KT9`Qq*keT!rmnG z{0oBve0-K?Yg#$w;M>LB!*kWu>Z@eVbJx&yb&ixrQ-=9Nq`(&QRg_=6`BS983b-EE zJ4LNFMe63!DyPdr_AceOfFG;d(t-N*3rIK2r!K=hB^u;>vgfHe>C~08XD2ucPHuvu z^*N@xlNj@L$R}EF-}b_b492f<0TgW$;BSe;UxL&Cf4td*TOVADufPxp{?Zygkc<|% z=#3L;$vJ6op;g`luI(|eq13qEJU!h*_?@W=13H?JbYyc;&Y~0K$hp_6Uoq$X<34q) zM-!lKpN(GcH5-_xrw>Z3j&$>+G9Q0&su%qQFOAb*vjM%u0{*vyFYr+T5v^R;6(`8J zA9@RL**zj<)>FwLO}dP_oD7ffbw#udgAB5U-$H|&lEn?~EJg#$#C_`gI38l2sD6|l zL1s;~tvz9L?jHrf-vXkZelSy&SgJ<;=&z~0-|Z`7GL^tCfsstJ4DEL9E4M$0#OmOH zWg9n+)604dPUh7Pt)31*pocHnI%nX z=uhIot=x0*0B9g;QfqwEDE{&D^L3jD?O1ML&wRn+XktI?a>M51+1ZbSdUQ2O_L1X? zZgQgAkL#$h%zi?XjV7?YLi?LJ^;-VbD9flmUHWfX2c~yfOu7!rnnX;9kswKdF2cq#Nqg);9Qcn`} zM}2kLnd*4y$&W=PsyapITSuU}`Q#oBsjqm+MxIZBg_Xa->*AfJdpIeTjw^|`caq)8 z&?}^+OGJBe{F{4(zTH>)5MO0AkWazWNJ(33k^9tHA)<174rK zs-~YSW6&s+jbWVfKmf#`RfZqob}HiE=nwkYc2dheHBj0F7YN_OPq(7@hBy(^pKvNH zD}2H_2^eTKXDp->snC6-_(WYkPgkSq>Ji|poXEYVVn$8{4eMprTaSNJ$OSJhE&l+R z0+pXFG*#}ovzqx#xp4*RLE{%SolhIA49%;*ekW+r!>ROty}6{mhB#2T#)r{3E6 zdHy05cKk?={Z*<$ds6J}4@=A0%V+U)=otU&H{6u!$lLN0ze!>@K6wqf+Q!-4)2f4| zfOU?e$il?i8z-=qc7r*W9U7h$o|O~KSL4kuo9kOxVHQ;>bG%EH8&uiD6V8d*2vPEW zcIMNzsY+iS48QM8`b8}bT`9&!e zjYTQ8Ek!Ae?L{ePokiOdNrN= zA_fwWFf`an0Q4rWU2arHZ(HNN?Z=_uwx2 zu6Lz?U#A}0V(hVU3L!hC`J@XD`DUeFIyPNX0`Hk`j%=G+%q#obU2&{9MLOFD%W^#; zqy{}{@aBrtke!$=c-@L)+0gGMgISZ^A+c&wC2`yZlRGIVUGI4!ob%Vmm+2k4Ad+5L z7H{~QuWEJEQH0P^hB;t*Mh8R*V4WyCEs&y^OSu-qItU)e*HmwL-JX%N1jfi?JNbts zwqy$qGvK;=sAaY!tm^*;8Bw~x56o`ux$wR$k@(qoZB9XpquJc%kBy&2$lAd_J`?n| zX1u}xULf<_tJfAiv&pI~N69!K?EkK-o7L2 zubrVIXVgy;BkR12n0$Jw|sKp>4T71USF&1bAIMLIlpQ_Gqc_E{RePDv~2)A%>GOTO& zXP-S#&{&5UF0qwEyDHy&H$S_{`90QC*|F9zml!=cw)oyoHilwj7vUJ7;p~nXRplGjTOvm6Um_KqKhL`%dQ< zy<&+u)_W7dj;np)!gQgVmrD9!|NiS_=2#)~dk)!TMVs5c6=wYGie7W0dfUD(+$ny5 z9_Ebp&^zZ{^8{j>j(PN5!vrF~wt2KUoD}lA;4e(V2WAfDv#X>+Df3a?1eK)h_Qje>nx&e3!j-CK8__p=wyOui7sa$e%iwmOL^OK_SJKD7K;gLScd{wHJ?N(mGh;^@j;$c59^CTa zt^TcfL)nW}a`|n_&Hh4Psg+xw{1KOY8W(J9#hA*4YVrHySUJEpjJBTAPyQXF;>e(Y z^HsLK$S=G|BlX4P?D#j8aClauu`CC9+u0&Ubjemqarl>cWqzq}bq`nNvh;{RX6mf- z@XXISs$(^$WtH#d5``2_avbUK?8@fkgBI_Y--hNmFqx@k+DEkyEdj z?9~f*3MpqtacKKui${fjN8$5bf)O?E8w|f!8|le*?SN zKRrynV?5hx9&P*sg|gf)^WTAYD`Ry+LKU0VXH^#(0=IhJ#=;vFmv5=d_OHwhdkrnb ztM33En@#Yn2dT4XvG6{kH#)S^)-j<+JNTvOzTl!u9)1N%TQ6yVb@ z;?hUYWP?NBu*taJG-(A4&hWD?V1u8X#HBn;mxvnG41!W0JDwH?k$KA*Yq(r(X+a$gnB ziIaG3`k=L+__q;;9QzxiAqzSj`mYacGW=n77M$rCyOnSsSYciG9(rDNa`L1Q z54L;`8?;w`4^MoZ_*uUn{n{QYLYLRA7J?CeE)(2pCYl^C>pYDq1xE%!i&4K|T%|6d zwD#4%Q<%BeYp-`kyRX>Ssg7}YG+a+U|6OZzH91$Uru2nybW+K86%BgUyJ@?V~Ct4pxVc?&m;v2!&q|V~pnfn`+ zryO6Ij?&M;pH;SoRXy0SbL9S=P4*gOgEmh0uc_xsnID*0*3>4DwKlf2WvGY-HYjN$ z{U1P3#xW&~HFavLODo z%l9p7rV84EJn%9#DY~oB=0$A;k?}3T8(e(#AegDrtlN$3qBM9>yYg3;q0xT0i}QVb zj7u@b%O5ZZe`1e4UValJ@naHweS6obPYPp?lQm%)a2(K1iTB=JitIvUl=-@^oZ}xG8?}uq zv#F7kY)ZUSMMFR8J!s%)_boisJ~YDqlKXhr8~l^{N3Jy5+DsiiRWy^bB-_kGmgm+lYP+m=en(rAx&|-77^Q2WH}qH3 zDd9Uh%io_bg9MFn{SXlTP)u6+JfFF$caOdY*zAv8NwmCt>XhGD?~MHJ{q}jTiDYr4 z@qr9xdiPo=!Ph30q-}3-?Mhsqw-@aQ!x#C=1>ayg%=u+qPeitaEh>=@FB! z`eTH?Lj-LPWOV@~Y>E$Li4i1hrT+j=0Ba?nOXgb|Rk%?Kp9 z-Z5c60pY=1_W}=8lIOyxeFJGrs%6euArbnn1U4&QQON=cZ2@_Nyaio$UuGB7MCQlq=3w7(ep zdyOq>G!(e<1sK?Sb&6yZjnCXhW!+lDy?%aRcu4+as-Ur9072P2mX1>Ad zDrjeV+^!PqYbP_9!?h)F1ddjfXryH9RI#DfhZqE_KOtjvUCgLYU)5Dy!QFYCJDK2r z%8{^TQmQ}7{S`!HUP(%W!!hPR_*4iPG4UT>+Sgjn`VN+h!Q2Mp%x>Ug>s; z>oeb7p3pQ68f{0<}BPuvsxyf-NR#L5WzT;p;f z&Or3?Q5H@(C8HR)Z&`|G7d{t1Vz2DUUY4Vt6FWiz@RUxm+iqvBg~R8M(vZ5Lvv0TY%WA-jc7SQc3p%LN@Yx=}1=eYNZ`GdAMapR` z+Sgd-imSR6X3!7c(^w{+F1V6T!t@({wEwOh!3=!RsGp>=H6E{;(WZ^R3*5(jDt?hr zx_=z|HQ7U>JTdwh)REPlYG4_tYeie^?ph!f?&LJ_27BflcAKm%Gv31KdK@yDpA+x5 z0u5;A0&(ZRVlUSzKYB+n?6F05ef5k_u(y1~25$X%p$DDh38E{1a2g+5QEmU4oW;n# ze4Rej$gFrn{n(ZME;!0g{(^Z+k_!cT2eH?9(BU%&&9PV~@RDuBhBi|O0-fI}TODxU zw^(%VJY3&Y2W?#4)CQ-|ba%1<#kQ{9oT2tf(vrmw_EGOaXqG0QEL1A^E`J%72$dMS zfZ}bPB$8aVl#*=$OGR`mN<%+KxYU=#$l#J0RhI_D^s^|bh+b**?jb#Z&15fGD!pru z8;vP&^_yPkY3@Hfal&Gac-z2H_-dtTt`T0Mamkogft>sNB`=tu*!-#HL+9}pztK_` zGkx9~@S7g}1WjhD@LNE_$C-qnPbri^^^~lZR0wsolq4qeWp*Mwzc>o3WyDv@>Y4ni zX54N03guz5ES<%~e%%z##8s71tLeAn%4^@NPKYLJkmjy6_~pIy5+2ljza+*o5V^#{ z`XkDFhN~#bdyo76;|?!RLZ}AUKm=TcCUS{~^)7M=o8;_w3HvuEaMJ;`S8t%O4Z`i7 z*iD}N;eqv3{OT0J{Q3L76wO=6wiHcg@OElRJNj`H$%0=wh>(x9evEWqfTl8d+fH-h zRaRD+%l3vhZ9;)76~1K$Thkjd(%vwgmsGewku=BU8r&5j zw`&%!KS|uY)8%&SEywm7fV&$n^O={Eo2;;`g2mv|OBclEZXlyBq_mXi}*{mzN_ z6J0WBd1Ka!kRh5@DGT}vS05y9arzgef(%H|-L~GIoxm93qSAVOH@l!S9DW{k?{}#) zOrKle<0DZ54h=7%Y5MRK7B@5e+&B4-+W{)4e|rj>nj-Rfx#hZk?t159ue)G2*g&Vd zIpObxeR+zhF5;(u8U3c{V&489$#}Qk@(kY5X|M~nsH^vp-ab+f2Il@J`My3?j7@6XOWyfT`GSUUp*KEJi+3dJJHwi9iuZWO-!18 z<5$aZ0|)(3bj0*$oM`_tw8o8UsN293LBF|`fQKDW(Sra{vpEg#Lm zI^;$!jpK`A_%MkR7d@w<5TwC7@y%*WWSrhbAUd#4pnhxyvF-4gv*Yvbff`Z0`M3kyme%ky@ z@+-$p4l#iFKKBXmx_Y2H63CFeuHsgXGpoyveWJ=EpnRj{D>Fsbu#^Y*9k^Tevq%hK zVPdfR_2SLRFoZQVg#| z6HZ^FK~hOQ74f@L508uFNu|ZwmxvFht|S&~aN74rDl`3TazgjFRigS9nQw%g!CCs6 zFG;NYckpE~YRWDagsFuMy~QmkiGx{p(npH6f3~mh?)W%;uALyvEYfD|*mZcz?=ryJwHV?Dfq!eUyl6XaN}`B+7k`rxW(zi(0j#10zo%cu9?I z8!NwKcGC~j<|D`jNPK~Z|H{|83?0UijVEFA4mS;AE8+5a+r>2HGKZo)w*D^bW8`05 z+zLT$s$MOa#px5(L58zsC9DTISSos13G|%&(RqT4po z$kMe7@Lp2)`*vY8u$Pv%t#Q;1H4?}e+g&_J+K{FbyU)YpOqj)sIzb3$9uvqu*pt4q zIp&x)R>ScCL-ch|R6Vd%_vWg&VrGak`FH42ZhJ?sX_4c_XVpy7(hq6xN1J|pg}J>% zh55BKwsxc>AM2(}@9W@8MHV6@sddtlxhU#p_S?qGa^kgK*x7zrd{GA>O@=LAyoH^$ zOV3uY0kca1qF>D$@gZ4iGX{Ehdp1sqLMXF#mCSe2%F1LuV#Th1TiF;y=r~8chT!gZ z;oq~dHa}-D!4W58SE1%WGEM}rUsKlQasj>#RA`Q(*;Wv`IyCgBh^*zUBH*0?kNY+7 zfhkC78J1cZ$@I2pU37Ywo_3YxVvfO@bbqir4aqnLiduNM)IMJjjye8La5x+H5>7+7;<6T%U< z>A%sIn_o`ioaAHN9VKeK)@0)5u`}DTFQS|-bNy6j+SJ5YZJSq+rvp-2+qS(T)V9+v zI_?M(rm(_OF_uGN<*Y(9v+{ePT~WZw<6&+N!_IAf`tuZgbr%pReRc5a`Pq8<4!ndw zG(-EBnQgLYb_zj<>%eBuSy1bh?G!B2uKmuaFho4}NDYkvTy zHMjE2rp3Zcw)_}nu!3v~$mBu?1ryX((%Vp=%1N5^?Ph%MxZL6uoj_@OWaLKxQlv$B zv$p$^;c=7AGp1LgY#8})Z4irfY>29KG0E}U?U~E8y>RjI6YFP$Xa+*I%$}&Rf*f=| zBA<)i^|5!GGy3telBt3q?$P4L%$b>_FDf&Zs#_IZmY7=}zk!uYYO%QnokOO51ET zvakK~1G=2&dE}7J;@tAm66bE7xle%ET<%CP=egz|_Cp`JrK_oPtVj;iQR~IB4x*X6 zgEC5I{#otzHme%o!E(k@1-{>RUMtxQ!03^y*~-+SnTi!}baO*f_3kR6JlRU?^1YU< z3>Q#z5;H4oBBVJl)VYWKjbvkG0^+_iO?UkI>fteFpo^4ow9L% z;9rSEi79UE$94p49ROyvv`ZVk9a0u6@U|qPTofayrf98N0d}c*&s2*80NY|O{ti7I z-FnafF0jC;f!=nydb3_Fqyb(5mr>VVmkUVi`cltC!J@eLcmS9&p(!FL7{e3y+>biw z@CcE$UCie|6SK$JRkVCcFcY?vx1|r@*b;Z zyQ1V6FSwQ^#Gt6dgT*4juKG4YX@EUtJ9|*lva(PA|Sp3NBIQ2WQka~?)%w_-DZ!}!9bLD+d zvE^#0dqXfoPtlc&z@V1#PE#e;wlwp)_|o#XN1MK44_D^1;q#>9+2twA@vv^ld}sZE zPv&g5g&0TqW!J-ym6OG_c22*YKoQwZ&=$fx^;Dvr`{$u6?#bFaavbQ3+MhrALf!1O z&^WhqoCj8ZI|_z6It_}V+#9%Xa8AmamW-S88|mORPCIlQDl;F?MXHN7j}gn?9xzin1Sh>Y2h>h`UO6JO4_nSjCoJq$5((M2Gw4;)QGzBvtMQ&g9ZyRy9`2s5bqj*cw)DZ4Ch2S=Hq~=;6xq7r*(i@aJrMZ$4RNx_o-DZe(pb6 z9`l8U6dj&+thk}|-AcGwk5cd1u}X`-+LT!zl*v9uMRa*4EsTY9I5!x`(-k4rXXg~h z>3^%s#jL@J5;uKd=CifS9xtD|S$U5M{1q5#25pf@j(TOAp;+v_cVnfJ0hf!kDk~CF z2sJZP`ax{?al!l5NvwgkAxm(HZmW+bxLO`gC{v{JbX^OBbRnemS`XNDTdB>q@O!qZ zacpk~ZDOXcTF#d#Ie^U8R-6Dbw4gNmn{Sc8{oTodq+Z69Ttlue1dB?Jdwcn+KjWg9)*QS>F z*kHFPubX}q9{p59e?cSfPG}q5xYBoHqFjV|J{N!G@!ZqYzx5KsMP`1N$ z5)U(bKaH-ygI?j0x*wK2pWvarbeqW7O}85YJjb3@m z6J%x?=eUe)KDp=EUo)rW{`4GdJn^a|EL)YADC#E}9f&U^k`AxoF#J`fKOaZ63=l$P z|K2fX!2aoAYzueBS7j%9t?6;ZPeCTu4Cx(sWUeEp_tof!~8m2ZLJLRo@FSmT^}0q5^kFou~XG-2$9*EG_Os!Y+- zl=n}cF%l|pj%u3ygt2j`&fh{!pjPT7nS16(6D3s}p3O{vE3G$?*fw4?gQV}8F*O#i z_WN*BDs}0_qbHSb!sAP?2kefb3dmB<=3(XK!9^8A!cWZ~b=le=e-84+iyEIWEQ-`w z$>%UO6w8-Evb7B<6vP%@m5Iu^JAvJSx!D2iFEkra4@7H7ebS1!Iw&;gp{8-;E^>qD&83_ z>7(XCOR&C-?~+iH{GozoeGD5GO^teiOAMx6x^FNcR)pcQ6(4*Bni%||(;;$pO&jgg zCE14(*^Ns?x!7twZayFewqYuOvN06U%+&LXB) zW2O}I$=u;`Y-b}KYNpe^yh_epu@*V{?PQx?ql!_udnrM zU1#nYyf&8$u_yN7ZVhRKj&T_$cpYbzO)GanQ@4dulZ^FArq2G27@J5{99(08`Vy%+0P{S3FgLA{Ya%L)8?QclY zlLEsogR|9T!<`6={A9%(a55;4P)UMD^}yHt^BLcLd2=9}t9d^M-Y&}nbi0#JJRckC z^$_2OM##m~-a8Pi;W4}w-)A;oav>H_3)^|#=~whw0@*1^&`?x?TX>O!+$O(AeBc6R zc?7pWu#r5CwLJEc4fgFP0;fz<^;}+Zbk4svD2FceeP{VjG_BX>ca2s z-|yYSF2~`aIR2OTQrAv#vmQk+5T-jkMYWC$DoaCt*E@S2t3**R>}G_EH~c%@MQm43 zDI(1wHHlGpgQ;dn8dA=z04{}xBFfCL`;y!l z8@0k4M5ed?;%BYwGSYDbKNoH!k&zfF-M{6Hk6zZcoo9U>FZC<`wcqc^?P=<5a-XGc z(55C~0TqpIw?5Y7fRlK6fvSos_9>kzk}3|}Ent<0cho~l_4;h5z??_U`EJ7A z;C|HYypG*ygka@(oqV@K8a|!0OicLBeggk05|3f`^Wf+gX9w7ob4fr^yUk!PSJgd4 z4gGY-&~$W4$Eh@}%|gX@<||cOe`9&0bEq`j9Hy<1U%jzdu8Fs{ZN=CNSSS+JY_eX% zYuu8`wmO71j`fmtG>Z|B4U%osiSc0k`M3W-XTt(tlho>)oE%&BL`##QBlUfGp%U1|TE`P;tPi{NHiMb@=5EcKuewQ6Jo@%-g7hwM zS|t4=@kDO^L<3+x%13MQO|h>G+nPT12=InG(4Aqx;F&qm((@)}7Biwbb>e)kNl}Xb zlVg7#MugX% z>bX){E?#|4kN>)IIA*|L2}w5rli0YzDW#$q>5yeAHFs`=FsnYQ$ zG4BuUN*!8Hw5}x5s;Yz5)+EBX!9~H<+b;+r-^b+YmcQMPCcTFm$i!_dTr;M~6%Bev zQe6kj?4~`RMXVYp#nlb2+Dl2B%L1lrV(GCecT9To5qUoTNO+( z$zvG)p?!Se`g*@G`xXGB$r$pOQq9_3E{8V7Gqw?^l~b53uzy}dzGDs=(DL2mGwk%? z^Cbk=B*DZE>ORlpQ-wZ0vsIu+8el{kl!2hXl=BiDLTS~YR~Cm7?)$bSL30yd+onKs z5j)!|z||A(FSD@`%wQ^`IxpyqXyL^^a*Zy1imh1Tbm8+jVrn9<^aAO&64#dfTDpJb zA}PZk11y!hz%$hG`TyL*$n9};6f3)@_EulB5n3+g>6VWx?aBSwvVQ8dyl49Zjyuk` zhQMMlo!jXoShoF;of$PvZ^K2q_VKjBo@}{VdB{2whLwzHLB($#y zG}m!$)|D9Ov!Nb&OG@hoEBEbw>zXb7``4psCzaudvd=G_QzK^IleuTsV!puEME`C&777YVqvt}! zxSHWk{>kP1DaE=D@_Swj$P9`t-B(FS{|Ek%H45ZIjbhSO|5uo0f>SThHKZDW{cm7F zmAWXy6rU^rlEJ1^DpT?Y1S2NVsphHXlLP5+Y1CRoN=IO2)R>gYocsaFNJ(Y7d8&I* ze|O!|s+TF{1NwmBNHcrPAlgQ_nC;}hMvKeCv?oS? zq_qGvrb>-T*5y-oI?^1bs>g7&@=q@5iY)!tMHZnPjUi(erVwXB>I>UGqZyqFLwsTw zKU8`NkhwIY0TEQGvRDKmeu!4;$wR06#32S7_@#{y8GkQ8^rtS1MH147Xs7(({=hFS zhEp`S|Ef2P|K%|SkF6Q5N3<-pci4T>FQ-ifa zCJz~+z>jRY0@)P?ORQh8NRmzc@RfC&0xe3#FOld#nfX}u?SOpeuOV1Y`OZ8pS>o;I z045jI%U0QzDc=4Qrah>G>SG?%z2Tclp}#pFt)Gse)4bf(Bb#x)~mwu0Fv5om|y|YKvT=WJ;!2GEB*z!z2aioJ!S! zIX~b#0=8!1#JayE9j<1f(|?6y()zEi?yTTrmHZ_U{|(yG7V-b+7Lg63`TuUGe>PfS zu-H(rdO`}732dS2kOTYSC&ikUu+I5WK$!SyVe4-WvkPX^&TJM@m;-c&KMRsENj z$<3N^t5!t@lFliB{Q3f3*-|C#;YBf~Bz3m4I3!^52f&b{eG<=kG{)dTdH*FZz2oXx z$$oR4j7&7G(0VfBEz!6Z$XyDn%P^gmJc7G(Omu>@TG@;PX@f^U5nam|M9SAnL=?Jp#H$AdO6-$rE?~uW+ z?K0eu6Pc=o{D9%FCEXo}*v%UG-<10UP`nEx3O*Mp>_BT&3L`Pdw{$1dFIbyxjwB=L zc{Y2<=^j=xA6JI6nD!EiQ)@eY2`s_PV{}{#+x`G37(xf#YJHnW$M!6k>Y@J)mRuRH zJ|bazh7LUL3CVekn71w7Va@^%_M~kg z@zQQ{%9u>RcJt&{!h5{%9U%%w@5fZ0b4857SLyACD`v;lTGPUd={#c^lV%V?Uxn%? zyQSWXX;Neo(cTN?&&VXDo>EGItsVs7h*_4~2(>J)~J8G9U)ahC>%uqm<7b!37TJx=xd>I|R0P zdVg)Y-q8P^;J`DHyqpZ!p7|w#=cDB)I&fd-s5sbF=yq^Vp8X2hw^qQinvB@DR>iVv zY8^mj(#*tBI|N(#-MUg2_@Ja5fyM%Ss8)>_wRk|jro5t9X9PlFVn*C+mUj0CA<8i{ z2^M(7CT}|dB4jmanNXsU9W4vPX+Xeytke)dJV(Ok@DdBHpT3la%^gU6gJCS* zx(I!P1uQkTUyOy8&30{!y8AVD*nVG*@+VzqL1Mm#ATbpiL5Hm9ecsW*0HK4VzDUa^ zs)y0>2}j&6Si&?p03Vu_B4Vk551&*cGMklje~7Od0X>?M5OLV}vI2smoO;B-GZooS z-Rd}HCe0xlTn3XQBpkL8SR}l?zq|eO1}BHA=s>PzSWPg%=2sd}g#zt4bP}Q&UOp5( zI$bpe7^eT@ErW^%*U7)plp3+VB2iTib{U6ms!_?mF&MF)Q8mvtAIcU9+d`*AWMz3z zWMu?)S)FOB5qx|yQhkMPp6#AkuEXI&khlfa2)IecJ^wb0o!#FX#p)_NwstrZwdUZ! zqJNA#uP;BaStMy2N;v{ag4T|*lxX!U#=Jez(Kt5dL3^LUzkNoCRI_yIg{sCH}fB__I7cH7j<2Wi-0|m>p3_9L%s?`fjT#t`? zP-q2&O{hdct3@*pQMBNtv6{7$wnxAh^ao(oA!!?5CBj;+)G!Bp8Lp$8^5NFkc1=~ME1z^iR7J!nLquatSN9g`De8){0=Lb57v5DcGJVM7#>qSzv zIC#}*^lJ=_U5hCp=F&l)Y_|jbC|T-{E%g*=Ch)M6`($U@>p+hgqLa?Dnrf-oB1r>c z6r;66mvM|#iqHuHtdb{fK7G>ZU79MMQ8{J%rE5|H%?{$N>!hW`)X z7J5n;c9;}Vbhk|`7LzHz-Mj=I_x~5f;)9X8AF7K0-tcrFxJrO`Gd(C9e5MUFAfg1o zYBVjj_Aq#Y&v%yAwfJ9lxFxOXLA71?b`jY9fILnJ&J}|BSf*DcmO=LE_pqUqz6vKPU(2zUa$b;?RMffmrv5 zrNO$LPjw2I{9W62jBDkCj@L>t1j&F^tOl}dqz@Q45&WSq_|ZSM+>hI9ezqS{6nH$3 zSWAK%RKzn}TEdYrRij7(i=@U_pN~xjC#!u_=8}mX)K-r5rxx(ML80?Sn$UoYis?dd1eThf zkfdAYlGC5Zy)$9hJ`wp-XF~ih5OP-r%W5=2-vUo>|Ld066!)6rG%W}&3gDe~bb|lT ztQHZg10D#w<4R?-9=^=LW+91C?^ayuMtGqL_*qLmDYDf;I*?B}01Viua}J+N*kLvx z;X&>wy$(oNAvzT-tAm9e%=hx(>7CKh5$Q1ule#v-;+|a21Uq$#u5}b&h`?=~SwmkI zS9#TNMD~KbY!+FlIe8mef%Y#1>XZ&a{472V3P)x^{QpRM%dondCT*19?gY2s?(XjH z?(XjH5G=tVxVyUs2rj`Lf;$9<9mrWc^S<-Vd^6|IIoH+IRd-dd>Si~4?OwH77~lpC zY6!>=W3eOY3{i-q5Vib|wEcLHh*k8v4$cF_T`tnFficjsqYtS$0BDC>=rFM(d+B52 z3<8I+m=LwPne~5vcV;3*d_@25Y=%bZ$iel|#x^Z^4VvBG!f0{FoQ zJYu66t1XB~1PvTUb(41GmMYT0h&3Pce103?tUAcFBfL+siTyX265_wn$}x#UWC5mb zj+PvRMcEiV+6RxJBi@|xF>*qrt*pCA)&-4bn5kSb!cI15n4<*qZ>nLEUWDpKTFS0y zIu?OeO6|olp7c8Q1x)ImU0Ji-rNP&_5%iH|ml%Y!{BbBhiG$FdLe~L9l@3GVb`0eN zz7HksyD9MDh2Ie!DGB;?e3(4NU7F~eegXG{SJ~ezMVQ1kvMz0fm~EN`?GXrro3YFr zHL(9?RCp#&;$-sHR=+wGmT&ZLdFMJt?_>XHjXBelVDF!5*fMONsGoKVT{#BDucxn1 zEPzMWQg@~)^*0}1)~}zpMQzeIe9lwq^Gv@uN%!}sG=^?pas^@^jeVs~8W`P*2T(#i zc_^UtJxi+XH5*);vr*w!T9i;Z=vtg;1;b^^?9!SwMQB7%H;%YD_`p=C8D(`g?lQ@mL;i*(l}<+)IySRBaxK8P&;Trw(F3PL_~kn*dO>b(aY+nbrmE%rGaI5 z12PMU5-sGI8X3y!UZOwQ(PQ_#a6fNba0k+bg_&S|-VQAisV+?Ia(KyGH8F_C_8^=#F3;qWr{yPZ#;%1H-g@tdVT{X;MObtOcc5ag3t@YzwJ zS{usx@|BPm{;P3`h$gZQuep14;Ncv}6s*u^ASUMHwUPT)PU(rm6!dMot}T7NmSq## zVN!qg^o)qMb3G^UlCkd~&Kaq7U1{gi)n<*I=wU=iaQbHP3Rg$pGia%*f^eTHB-_M! z^-s4wlmWhapQZzCZ=Zhe)q$E1d~`#u{9$k+?iOgK^Nv4gt-4EeUPi1;wP$d2`AA(h4~J;3aP!I zR4(BQH?YJz=%QVbb3rD_Hf9nlOfA;C>S+H^b&KUH%Mrd_HA*`W=1?&h?fTaCD*rGUHdoAT! z@S-?=(!KSRZ?mOW#(2BI46M9pd`x}_qlf2+*} zbOm+h)vrU0?Luo{Tgg|XnQ_o#Gc}bm5HWC7h}gd|?tnAW?63{gPlO7fBMy_`#ELMZ zh9x+1?usDBhO}dvEr)?W{rE*o7 z94*o27|<4Q>-n4svP6WDImv`^`HW?gDQDThI~grxCaHkSb~>4%;`c)tQC?rMy5uKh zSPZPYPo@=J)WC3eycHtekpsWktd7$jF2pY%{281lKGQuY$k%Dk%T0>gd%452^vPwW z%sL}hqrPG>A?&lc6_?RqL=Zq}bey>P877|sf$`G6z*bKbUoB;Jp`z=H{Ne|_E9wiIzAsi!&ctnK zgT^>U7bbTjQBQ7!nTd{>z7ID{;Ug*)i;P(l>Y%(4NE5xz1myxMA#v=(9J)vkZGTlo zZ8L(`6m!s<5iToGUXBx9hHCTe8jXBmMr*_KR(ui*u7mK$+L^#@%aX0&Td);Xse+TJ zp^eqmKy9fcvDFY+s0=OFfKsV~FVw^muPI$(Lv7)W)Fp(d`AA?fNW!Tja-I`P z4GpDY3~YysB~C&8gqWqU%=76;oi#b)yk zINws?*pWL%qL1=kdX~epnyhJvebDhbuPg|b7x!FL7G5IjvZW?DOQv7s*Tx7loxtXK z5q^C@-HAJ$S??i(?7i6}(r&Y7uMU=1=fu+#rVPYKq9efwj*rAjf?Jdvgdg=aFEYVx z{+SKl*tH(53{-BPQV}dK%jv2iyr#HBhR;Q}X)e&%(Ei@IC&PF9WPLD-o9~$?k728V zFMTQub)vGNs1MWuN6PQOMCHKHn-$h?Q9Lq_T zdQuNu&k3SO(-|u%$DPk*);7ZB>=Wup5YqQcJ z6i}~#D2S*aAaS-=%6glu`3i0A5%$6_{9kG%ZqJ>!Mpib9_P*-h^0=2wRT65EpotCB zaT4N86?xn>s@rH9F_8HKGw^3j;+B4XfW7!C^F5^?fR!TysDTnvE%2#z($W0(A)pIH zGvXsy>om{qvSP4LKjrAQ?$F0@o46*MJujhnxxo8uFJ0NhL+F$~|4Xb=-}7kxpj9X^ zZ;8_^H&MRkHZgtg;*RL&$o#!G?>8#nv6CXsl?}=~R#vQSqqoj;!`Af8yuBXy!5;Xy z`tQ#NkH793o(3JdYbWs+SsF zcjNZ%^-G5BoWJkhZz5DPfsO6P@u;)@!r)%joD;)(2=6^-6rvPr>>^Oy zuIs|b^Kz{xj(6fPWB)mPae+syk1V)lp6tzkrYo4(j`*t0J+zEV2+U?2C$)>>=N_Wh zEAyzXhG1YO37)XhLDB_FpK1Km491vepBG2Uwc}*^oh;gjJ?>fSDP%lV$RWR zRbB||K;3No>2SpHc4Vj@C#?Zu^s88I51nrF?1AsA1Ft zkdH1jERQ|Q{N<8XTdXF}*VrsQFL2qqJXQWaxbn75<(wZ}-+(-`s8NjgH*#j{uAf~y zzj0Er%(d!aUuY)yqG@}wArf)(y8>_Hu%ea;0(Y*-qVl*W{b*P|K;Ih5h6fLL2&nF` zo1VUm?*0&Vf2wrNn%cU&vy{yD&N5o}49vdG8|tmm7i(>L#Ye(22Xx?X;}cFCcP zDB`zKv#{TxHG|N?#cv;PkD#C&{WsG65>iEh+YT@vCW`1$<1INj8g%VXafpXKDcodX zfU@yBpp0o^&UjQUWJIj(;V&-ppA&6u9qMn{gs$yjcTSB7!LDHn3|LiMS0TjqiO&_r zm58;R_?y%+;GvtEZtK8w3f?CH6Pti(pz1F1lS6`-`bGLDRjg~+SDvhajU8x5X9zBC z84Tu?>O~6tUtmq%*!}cdP|R^&A96n=CFu0iPeS3KF|Q+jnB)`#v#;>xM=+Kfp3ni) zqs^THUs3&S`LRDO7N!ZTRlPe6s`58=QdvO+61L##m@$Z56!&|g5^A7-==B~(xKthq z{|n@=pH#4kf?}ZDf`FOXUMuTuw&p9`wMWDYKj20Ps8zBN77oE%I)s76G}#EfjW&m5 z5VxrcY{a-3b@o}$qy7FC^OI80hi7e;f~?F}7VpN##>_-Spu>3n>9EM)f$sD# zOvcPtCFUqNfVu;cHT-OHq0qyU6}`avTc&RFv^;~ZRq4lr>4>&;x{}OH#K}}v=MqGH znyE>1OT4Ca6_s6Ge z?z3*!BzpYYyPliS^;}rd`eGq$s|K%{t(Vjy_8&v{(VZlyPo@LG?VJ-CU_&iBlDH(b zQ(<;Zh|a?L+1VUMlBef6A)R;=!UlB~`L$nJ>vLyNa<+pM&z5=0b-?YN=I0)+Z}UM> z==nW_l4DEz+Ovm^>z7V=27Iq9Bb)YwNOGwG4<;!+FNfU_$5DdOp|d*$KgHxwYWSNU zX2YV01n%|=j_?XHvO7sugzJ-y<=#h)lq(PeL~xqhxt@a3?oNMQlX^-@uRanw9y{MN zs2vUdI%w}Y2c9FA(+5E|bgOkqRT$4YOb-EG1YAraqUkX8c@bWh{+dr!5py>}Wk9O|;=s_Tc{HTrCB z;rgj8d@#tX+EwT{?wFHYIMnX0%kN+!F(rT=$EfFtQj61WzBAg-+s9>W(5_j}=uGz@Uf(%et!!1NU#Pk@X43|h|i z!LVJIkP&B4h8tw;6**D>PWqv~^dMrccZVXj+9$ng^z1W??pYhPlMQPa+42_!|e8wXu* z;D*N>$4pwRu&U6@wNurHrG?BjPMPOWbbj%Ez7x}&h#t#YGbtu+6!g+|JC<#DOdni7 zxnV4$yj50wI$-iizgYiuSM@sJldv^z(}(_+vX_{BD}u~RCZ4s31NzJnR%p84Hbqi} zqoTlOO(&^7&m9trw#cqnVp>cb|Fsh5B+8zb5NJQXc2siUsO1V!)zri`EuhJEf7e8A zn|KtJTav(-5vsN&K>hBkT<-{7U@#IPT06L$nR2*wyM1##JkBbli)EzYRdvrlE)=*D zm>M0aswOWTyL$9lt~J7^?Rld;JdKj#*An92?RfcxHnXVQb2Nzz*)Gv#;n4oj+)@2F z5xY~rF8{ahic{zzYU;xIja$ErLNZma>2K#-+Mvx;fg8`G!4Z~LQ;p{OS>=J+uImGC zkGjF;w6X!jjIsd@KuQ340F*s5`!y=dgxhb%5@%Z5zzbU*{10rhZg2X{B!VcAFZ`2l zARtb!y?FW9EDlQ|&^~Mke$Qh8aVCH-2}?jMB}qao?MOi^G0Q+K1ZvR@ zJl7Z9_f+P%f~w%Zfsx#BBH;RSnd1hkg8vO1%N!R_6+{8WCLh41o3Oy9?V*C%LP&mb zF7M?i=Hy@8ulQq7LXd$&fFppBW15C!(M<_YrCR16%Cgl<8b<)boI(*`#3QRe28Qn6 z2*B^!?@)LW7z?dh=>fwcK2KnTWO3#6!M;KqjeZv2d}51R_u#<+5fk+K%hivE|7XWc7&{@q~u#0D7)w; zAY}iYzdw^1#d(4=>fW={-qV5T(0p!FmWwB2eto_Bo2=GbJAsJtJdxbPvoBv;G$;A# zR#Lg2Sm7m7OefdB!0xfN%)2Q8S?qy)Kc}1&I6sqUDMQp|(W$!WFW~-9Z84_DN;<}}j z`83I1|0t0$B|zC~XCF)0EA2yad|BUlXO?BSN+TktUG7|~HGO#paIPpz%4QI|y8&*U z8Q7i*Nq%C}j(+w^tI}9(2tuB#QH))eja7_Y=Z$9Rgq`PwBjfOugMuEbwbkZmizZ!- zUo6z4F2-d)suH%+(dozzpBK_qNoZ-t)mDEr&|&Co)OELLIUcwDnYsuVpcJbaK%X(H zNc}LX^ycszK@(dk=V^D5=L%zvGESra1#Ew5FLvV5pKBvvJkdi=zhj7!dr2Fl2$*2t zBZ#zgVgdyLz``3!lt{;f+Q`R)2|iS0a6za+c>Yw40Ki+RPZH;+~0`-*wukxiqcP01y&QnKu4Lf4i17#|;j zlS$oef9Ei4(ALgyFPFF55YiTbOBk2b#?BPl|7UnTg$BOhtpRN-P6xqpm>PnIH6a|I zZILgz#HviDi(Af8hZszLK^O0IExU)`J@*7%$KE^eKjDq6nO@|>s+RBePU;qycgZ7? z;YmQ){|`8?<-5F$P|UR|L+L;KizAAd`_JgFowOOu+&@?mc8#0;WKrn^hdl&7Oj28N zAjUNKTf;T4hRON>Y`KU4ritv2xBeu~foDZ3^xZ^?tt!{P?fAV!iePpDfTRcPQx(z7 zb5ZA*-a&u0wMKBNNABvAV>N=9!_>8$*yiKPT7EbAL=SqQ`Y@Y`d@K*+UTV7YQP_%B z(%}Ojj(!wwWBOqS+!1i9q3VI3v-f8v6Wtw`v^wzBtW(=^-~mk&spa?!@XJ(7^CGOW zwM7+s^TB+ZTFpc#81v9kw3;0Ml9D1gU;mp6?eecLxN?Jk;KQyn_}c?B%l# z1ir+1R#d8x(4?w0y2$DUHlvEvUV?zu(Jp#?ZC>f2Y662`3{CsH_76keNHi zp}-765lZfie2Hvp<>OUSC1fA>(J-+kw1xi{{eqSM0mp2EM7Xd)i%TtzY8Jcns? ze1Op50)dS&k&)}orDnL;2HKw?dwcqpw?dClEl=KDJBO&R zWdlugM6Vbb)JyQN?o*P|w?KVmux>u>>#N-kM(0g zEF=0G?~p;{;XLs(Wv%~ME(wYQBGht!KmI2nbbnq_8pE^0C9}irXfemBp%_%eozzWR z(zQRsR@a1+(4oMzNL5bJ5LI~xiztzLIt-t57}~2@KR1pukJ}EIQ*4W%NNL_CyT|T% zk8N90y;o08Y%@)gK!1~bh`2lY=|91ock{=G2se5r?& zj2xd8Y9ypSZEH74C2460nl@TYQiOmNzOaQldZ~~^H!jqg0RY86idx8ZV_Kkl+})gdo9=(y*X{)5GNW*9koVcz?NakEp|~CuU=OULetKs z-RmmS}YV7+f3Om+p1=Yu^v+7&Fh1;u{DnBR~O&WiAb`!h^tE5)Zhky3}4 zDet7MZ>x3Tw)~^#TA`do!0_)n9tNl*X-{N{4DQ4`>3%fuvNyO|>cQ<13W%QPbuqm+ zWFz1`wtsYq00iBIyo`X@J*Wc&#MOTtU5;@ejQ&XOvHWntvC7?;+a7FBprfQOe2803 z#Ryz_KlJVfP*+PWVPGfSm-FP2e9hRmy{PUwp6V2B)M?w{PCrez2;diU6 zNNRs#4sm3Kwo9s^E?dLz;wgxf+QCKhIh#Y16u6+ULex)p1W$rhyD^fmft}?bjLNU^ z9wF);=>zS0hrZ_D+<^AJ+s~3crr#R?TmUqH2WY@3FK})jkPBr&(4aTgbm(H$YQuJa`9&pQ;z;&7V&^_+w3MdiaYp|Y<$BK?dxfG>hQ88 zovY;ZvrV!xWvj03^)PY!q=$XDaw|T?@#5^9-GBq*#OmJNrFEv$uTp!{LZkZf2dySZ zzoE0M3g@@R43Y26Y*7@^dwgdc2=v4gv6h+cpHv&APt=**y7v5GJ*sDG@mtMJh}u5% zDG|R&Ku)Q%U*>|ha0bb3@jp!dqCysOeF_=0L$2fQ-oGCG6*3sN1dF-#bvf^H>`du# z|Gv!c=4HO8+J!)~?G=j+I+w+42lro$>@|sk#I#Y<9p_|3_=P1Ya3v&*W6w~Ie-XA**vhMcnSn}UoZ zz|dF5uz73u#KE{Ln}5`B8Sdzjm?PsV~_jjAQj z9D3Ztv-ES&t*hsV;H4%@9_5b450J*BUYSp-Esf2@Lx0gU?vINDh&W!Mhlf7V##g5S z7cCIA-Cbw5t*|ZzemTiy5Z2IZU1e7?w~TM!Vk?8J3=k>d(;gRSJG~*UQMz!jeli2s=*)jIw?v~dT}wl2w1o&RS>PZ zhB%z5Y={kHm`A**N4)4qS#fNG)YFJX+{^aY0(a1PN%q%R1W^lNcOPN$fLk1=vIv1c z{O*n#Dge2kFoy=pNRS1gT&_!g?Cr==Blm_E0y7b6Cd@oulizyUXgT0lAmRKHJ(X!Tpr^d z$lZFj7s~&lo;wf+L&N2$&X>y$f0_5dNR;-qh zj&uYGv+vIG2gE!Ky4SY^r{`zaryO#U&fcD0>-}B>0y_+;?k~^A9Y1lE?XACoMh+y5 zR1N4=VR3mnm{fDlciZ{omn-pQA(pXPL(bsW`#mBYb~?XvIvbeax7XGqA-);g#L?;L zukVI22(ImZWe}W6#$n)uF<0{mzJWcUNYPTxT}#_MQcr7FVtB3GM#_E3b?3wX@%Fk` zcW`u|<`}Kak%wEU*A6bgw?9Vek*$@~IYlSEd5Bf)cnh!E<^x4qW9VC+kcL|+l7m}W zkc(USp#ZniqzJe1lXd`+U`+-jE`h3)sfhg}WJL%>&uUZO`rM`hsvnog`6i@@$>}DT zNC`pCF0x_=j1?FR3p!bIm@c}gwG)g}DoovW*z(oD+2hW=-}Q@t_bXBN5R>9Ac9{_& z&Qt_sh#;Lgs5G~mb+LTz!&`ZmP!|Hh*+aP z^_}#1cvc3%l!FmgmVgRUM0uCDfj!<((77C1)Jl3`r=DYg2=+mm`PwQm&vpqm1O*+| zKS_8NDkL8P+yomljFB4JKpC+!KjSR)6pnNmY7tYk7C{jKykNp8sIq|svIZ4amlW08 zF(e-gT!aqti^)DSYOyJa^l&aLbg2JzD+#K*2nlMj@N~{M2H5b{{0ufLCxloYw}(~| z%FE83W)jqmotRN+miB?$GDSq#0RgB`KX}M2Y}g@6)My2gg>F+4&f$EmSdltRw;tCA z`lPeeuf@W(TGP2uu01yo)fs20ZB{@5UfFMIzxy;Md9dn^sOqdjgg=3Yh(m(Mz(S&< z!IFPMl@(_>PBoqO?a9Rg{P=zxy&pf(Az7v?vkK9#>wZ_tcG@zQ5!NSYk#@nWjMj=` zHg3{?ml*YIivCEte*4KjJa?*^iLkK>^`cPhoR*vp7PSk0?DWG>t!QH}n2&383yK4& z?4MnVK$RG-^zpBBB&{EOTA_wGgC|?ySD~rRHENSIIz%-OhGj_1H4OD3-$fgokX=o& zi|?f6t{b+np|m3#O`zV5WT8 zM})%mR=3q=oxdjKNbL;ab#7Hhv(iPEx-lCMOOiO4B9wQhyD>i%VQgmEg^M09>62lK zwjJUXpjHw(=sOIOmU}k4h$vrJ?}g^C{rmwX(xQeh)zTFsPO9FOEsZJqk4X2sky&b# zwt#*Stx+~9wN4|nHiFPJl_Xk2)bJX@G&Lz56s%3Le=kI$31@Min4}RZstE_LLqTnx zn*R^jh1ZFp3rF{gS03dQC0&P&um@Vv(j`ePj%TvSm}b0K+xiO?(V}1iYpBuywaQbZ zdJU?<7W+SdLxDz@sOANH!O*uhHCT$=Zi9JfIJA|wLmU{AH?8(i5w;mp6k%s5xBfTU za5@|-6Zl{7KXkNXzLESNltFJ>9dW`sLK=7w^#M$z zFA|y&_JxDpS!A}32SN+>HH*zT;y*+vFOdkk@W6{ucr9401hyF62rz-N{jLw3`3j$L*+AT5I` z^A5@R=$xiJYV#pX1)hh&nAw&@XO8qp94(Xx*icP$mMmoHUL!I}XeeoHxIhS8BnZ+q z{!vhig_(4?KO7SRL$!MPOGk?>jxi@6;Vj2OI)$D{d)b*0^ z2H$PZYz)ptx1R1h{VM z8DG3#n~kN@np4^|?2Ouo&SV*ulJ*Cj@EqrZB827R5hIql}@sQf;(Sk#s#MqWToGu~MzP zRbRY<@h7PUB~$||nvLG->G&+EW^3rvnf5BYsRdnhY7GfDta5AUPQ7!Z25eNs*BVi7 z`W$<#?JPMo9)mv1MCJLhVw=vw@5diav5t4*;$x3kWIL(iYQQWQb** z&l@H?ym6U)lNV%PSP7u#vrL(5XrSlubfym)!cpbzeAr1LtBgX7OEF+AdEeQn%>V;q zbA=*8V4>Qi4XFYK(C5+^5ra7&=hS~Oug4b(hui;+djZ17GK`6C5DAnb&W`;*$zi9F zxU(P!IzC8!CE@1UX|81$>L9g2B+bG9(u?{X5D`dIyyvh)sedEjGCAUw$No;j2RQY6 zZt)*^M%X^=Dj%aogVR+xsy5fyxDAJ&Ttsz0W+KQUb^1(5`D09B-`KAf;j?A6G zl`IKJ?gG3$I9!L60uhfs-7LPzkn(lmSSr>mLdCe;kUg52(3foJE`t9lO;77U22$&hss3)BPrLrXc#E~rQpXtS-K z@ALOj34YWbP2#rHp*~532+xCuV5GF_NY$a@XmO%-f0ULc!4bX@!@&a|$e2^~h3))y zs{=b=d{-o4$D`s`CU!ISqJ~;1Y+OiTv`O2;-?PK4on?!i6RINqq6R+jnMSC2YEjqm zMHHk0d+68ku~`EI=P-3tte>vUC1M{t(5{TQR2mzSuA}>Iif*X!B$s> z62z%@)~GNm0TqPFeJ?~Rz$u3xs<2%t>I65wfI_d6oE>GFi0I-Y6v!Q`;3 z3S^+H{wcxP&|<;iVztwTqdGE{l98C16>ggRNE($Ej~e8@;GF zMj04E*v}4T%hvDiJ~9jH9_!mYxUgsf@ykD*u0P!&?aV*E9^T%$bOjRq_>C;I@e4a3 z5Zx^=sB=(gTaVV~Q_`4^hTj3t!J;pRYShSGa7Tb7>7d6a+`#lF0kJAsPr`8C2C z6t8N_8`OZe1P#Ta{28IU=Z4mEK=@&kKLySM$=+r&fh zva7yY(%!6T=+-j>QoCMJZwX^%LuXyLMgWw7_Car;*5i7kf3MNONnq*-NQ3B7OJ}=I z)#w^qvx8~#AmAk7(TRP_>C(GzelOr>{38}~ph&zCb0mn8V*`8B+33SyzzOnW3ExKg zrL$4zzra@drMFS%UcgDGBdld*?Tfug6ol)1Ki?91n8uWG@dtk}jb*k*w zPd9Q2yRt?VZ2kq)PZ7KmOba>z1=BAG9z?y$N52s~asl>?FrEbU<5kv3rRwP$D7Dwr zcGvGxOE;)wZcvL>0B|&~MH?Wo%ldGo^&&fH@n^g44$EZR_b9NWj#_((|B)_W_}F~Y zt#3|i;gek+;NX*sj@t%MsAaTs4=BX0zeEHSlF2YqozTLhpJ;op!@V)5+eqfI!-Qg$ z_Tl)Y!bNr&B~|TZy2m4taK3XS!DiEJ!6v*ap)IxHY(s4_f33)z}W#48Yg92lbre z|7{dC_fXP$F6XeCzP9q;6MKhZO_Myn3dld8UF+9x!-z6JEcUFW zs-#b@n|OF3r%SWcnr)Gi-#a+~ZA}4Mha*z_sfV4ldA*)kQQRh;mjx}~cRr5GarK8X zFI)Pa8zYpmDPp(y;eRIc0aNH?AZQE|g}W9JQFqXYP@T(a`97R^K@ew<_h+ z<27_jw?gK6oNSh;|1heNsQ)+`MYMWxq?ULt*H)KVt7*aa)5L=ir_n&~Yma!;VvF4n z<&`A8KGpJ9%j`?_+0XiAp_X^N-G{*W8GD3=Y_J-IbnOe} z{cafH$D6|8))rcw1;TQVS*Xg7t2Tr(D7~kxzS28FuXZ zE+8^y+GX^sw(+tOlsga&Z0^!dW$R+|3jat>lTc&yE0^4&@f^^}WLAQ(E@f0d!vAC9 zdk&273;nX`-zUmdlP(&ud8bi1rx02~yQ!{QIVZ55uW`A2YzdloxLi8U<((}L9aWAN=h{q1dr3_ zTm+iB$Rm)^=q2f7qTcDn14TIk7Rp5-u$0QF|vMIWMBfLD#@NM?;xq^ph*`zv3Q z?C(wAf#nZ#CDF#=3xe4+d)dS#btU;nrJ%kCr69SFB{O!_C4+X=r2v%yR1Q!DK$QSh z0aQJ>oxJ_ciiq53?&xZi$>Nb=o1B&WaJwi<5D4@CP3A#ASEf_=#87lDs8GB(C$7d2 zP-b)H#AE3Ehnt|6q4xmn=)(Q&;jTMN2!l6OX#4Rhy^rywVK+&8W}CBR>4jznF=BzW z^hXAssVz&;<<{u4TCP@nhQ;V-QnqmU1@Kg{esKn68pwC?16rb>%7{h*rj|rtrj)dc z#=e(3B(NGsHexE8UbLbfw}5?Lf-ae5Vsav8B-%SR`Y1RF3yLZ-8i&jj7fl7mB49YC z{HQT)Pkg~mhf7sdGdm~Rmyu3SQCuKhgPp1{cOv#1hRTfAKWSNI=3mL9i%k5*^rbTJ zxc6D*a9@kmroNqd?=AYi+ zDm?FUiAS*X>W}WxQ^valA(ds=E>dxKnw6wGT}H7VxI8uC;^prnFbY$C1}@_A{PgsD zy^-bnx`}^B?sgsV^6h2&roQL;tHZ5X+w)+#OQlCHoq=c24+l8)FNUsSG%lwh3G-E5 zqLpp~!bwWM`6<;`KF=d?|HuYjTO}c{^z9L!pGG>XLRYO)uD{YZDGWUDDyK;E^S_%4?tiCS5EIU+ ziwfqh3rR+<3rPhi6S=Q*(9YT9&})7D?CN&no|Yx}K})F2#-{I^Cupj>E8vxJeiOW; zj_+(@-ks`?$nhcnZCtv!0wN@NXo(|0c_V^@kS5&ddeYYGn2GB<} z>nZ=4%ZeF(#AFvM&&NGEkRiolC+qR3G4Nf8FCO~JgLWZ95jqLi)oUAV&9!CD5}x6I zbLj5B9`v`pd*gws>nLM1@%FB0b3;l5CF(aX&w2V;^Vg)P3jP)hC3j zjNlHAXbQKZN9_28#<|t+!a7kKwA`!~J5>BQKm#+}GePT6kALpZ);g9`9Yj3!JHdm!!Mw{eg7$FXP4M(Gn+A1Q| z$p^IKk`LWZd5w2LknwQ>>Qp6!SDd$6Vq&zj>fOZCMi@vH`MAFm41nCnG#2Q8AKP7Z z>Ue%03BZXmUc=0JvDK~&36&#wHb6mOYa`h(Xh(Nu5>@qT1##byU6Zu{XlR^-&63cf?Y)|6h#%4&p;xj ztnT&iGUc54%A9>9qaDEIJ#4>4ZE|0-hE-LkUkAK%kbWU;pr))RSlLy#9{fGf^k=aq z3HYByy6rB4#}U+b{mf+iq&*#)FTc;$hyxCMiu!TtvS!=8tI^eeNq-LTsT)Ep?q==bd@k3sTLp5+uQ4F ztAN5ik07+S`?oA+g_#L*H#3bA2+THOuThBPrRME#()iHgg^{)3{)9UyAKq|wls*vM z>G~TMy~3sUp@8;?59$r0YTQgswz-xko&(zWI=3xK2|x`r;X}EB7mNpxCh2X&STcFd zBGUTVAzxrzld32#=R}n0hWXQ`Xx(j#qb=Ncw;0R92tX4-$lIC#%N`%+RqQ-F8$f52I$am{k1q2ztBFQ) zXb$V1K^GeuZ#lN3&oa%4rArpKYaKr`cosKx&)2}s!Bl;8EU0sfM5u{kckr%zaHnM2W!w$~uy1S8B|N(5`qj^~hashhTC_H;OVcxkVLu=< zPfN8F9PLiTT8w5P0oIp*K!^q-cohC(-@>aSN70qv&8<_v^e73_1TS8CgEDIyphozuniJ zB38Ojd z$Gon1jT;}qpI(h|k1^C#FH>o%M1R^}@84XXdxh4fEGHJg=vfim#F;!~OKE8F9%c*B z&z~hIR?LcGq7f4Xva+J3zn=eI78j4C5~;C7J*;yEH?@kz)RQ!~C~YHH^h)}M?e?Qz zL=L56Vy`(H;sDt-edIMq)cM)nctQlog(pNfWi=!m!kDqzM6!Pjfp0)Z;1R;KBgt!Q zK!2m1mY2xoNB#RNkOb1Md`IPV0EOM)3ODXMmRu{5@GPr~M~VWB{bB?W6U7~MB861S z1d00i0U_-{BUY*_Pl9a6q;!eCV2LUts$NC=#7()6`0!j3)$S+Sw8*Fa=-3j{K;}Y8 zp)US)&A%-|{sd(li_k9}VyD9}Dm39uI$CWs1`< zGZJEKo_Z(z^83Fh(nMKqVEQmojPQA8^TGd}S|Ud8V*VrD?Zy0W>1Uu5ztH_YNmun) zVi*r>U`9e0?x@s&+e@p#k1~nw?xM+}Mha8v8afy~Slc{4n(~0l;{1n>uc2#79YI^d?*oIVC{(FQBUMadrru2pzpV_*$&v2QhBX+tM` z{3->q3)cSPR?_X`Hl7{dpynz_ziw=B3UOUA9r><6XIhL7X*K!vr0EzpAA0)m7#eZj z>}*^-u1eV9>1x(r+Su)E&M)=XU0zS{{qc?>zP$FlY33LF91z%NA865 znEX>LWW?%hp1&z)ff|_;utGNxLJAC87fVev*#q6ych5QGY!k8f;sda4L%B_zl%)r5|3ugGv z&53th*v<`4o&)K!Z_vu8JTb4Nu5P;?p^{`2LB7#Tmi?3MwyC%FzWC)sLfJIDa8~S_ zisC=CBrqcLZjx+byvj^gJP0ebXLEnx%ovX>(L&FT=KIBO;%1vCg^&Zg84zXfB6eC9fh)4SN8+LURv!LN0-D z@Ym7I-CC1RdWQEW>w|3*Z^guT3u26_PyRv*X#t)7xrD4!1948^Is4@Y-&26|cXWCC z>@ik9Mmf#suJr|Ww*;M;s>83puIqImliUV4_Oi|c2Q5j8S5rjyd^(K|>W9Cu-BP)Bk`aMajj)eVYA6m0}?(H zR1YvRXLo!Q65@)AyKF&SDEW#k!iL5z|Fs@YY$iAAI*@4TrnJ^S3 z9?F+2TQ(wcjzv>`Y1_&k@1zCw?K9vc4S_ls8HM{UB6TEstjopFTXh%<9*QOb3@|%WqcYG0#H#I)4<0YQY8kwh$BPOQ*>7 zf9<>+K02f>OB39`Q%#)LH|{?v6o@CLh*BDpR1nua;ut)=e^dyZ?MMR_vpI4op+5Tt z*&gm zZrW5Y4O4z*7<&kbA#g&Lrv_?3!HAgaJtnQEEB-`S`6vaihMO}2IqV_zwJ;c;Emz93T zwo?wsK#xXs?V2h<4`Qo{k zI72rA@YJGs!!nFs_qXd|e=Zs;ux_sq(rkc%#1kfm*+8>vZ7_AM_Ra}Cr zx$X}0Dg;U8QV`K{LO_#b8Bp}zVzXl}pw4slhB6tp%^tYGWE6qG1OkDjiND+->t-4) z+59R}##I($#`7pF>%nX!8+$<=&*p;7$TwzTJxEA_F;Q>jsd?mjYGwPC7QtfWSyUO- zDbe-}Mb*x*_L41+`}1}hu@4WN`gvviMBMD(r8Nas6YRBx$Z8Xx6_0}mP@i68u4t4i()G7hvoXO zzt0-aZAx>yrjkZ6o6>x{SvQH4?$oMUTD19>ltF6BTHBO)Tld=5T5+i%N>iTOD^i5g z+@!acqlS~4(mcty8@jg7kqIY98QxaRfBEBrl3dj-;7fwWg3uN92bl^R1+25qcB8+!Y;XmttNSb{c|pp7MHV+q<=f;N_* zjU{Mf3DQjo-lhz_OUdHe9i`jiVqOgB6sjrjkMsHCaMcj5SwNSE0FC9 zWWQ3~)XS;p+M;2hvR__Q@WDH5E& zp(jw%c_F+ktr{Fy;zyqNp(%c-gdaxU&`wa09(7O8JPmAQn+El&+=}7=lRWENBbG>{YWS$Mz%Yx6@bBx2@ z;d%!HAj8S~K)3}tCXgGRfj%SmdhIb!lDXF)%i%9gGd;AWWSJq&Cw+b1Ke?(T?PT;z+J|xvXpgOrV*; zOAf1xhjURpvf*yi}kh1%XDqk&GoYRwvu-(rdw!?W{y(vh>a=Mr_1`! znep(-zv}Z~JjO&HAyEl?kkq8n8G20`vVmym=YQ%6F}x@ZBT@PNOFR$8gK7WxGhRHP z_qU&dB*8C_4gY@1>!0$J7Y$+l`-v<-`%h%0X0`Chz-96I^K_o-+zF@BXQ*h5l|Gl% zcvg7UtKDSz=OE~_5)fq6Cw5GfwVtLsxo4CN*LyCg_dF3qgAKQEt%HDPdISg%2zcuA zJN=Mh@(<0<_*9>h!R@sdgW-BX>RxcW7Ze9EXapA#ALaFtU>_~^5oI5B_L1qq2e{*C z_&+K74t5c95Siz8NH}NoBkw-!ZL6rGG!u^xwx{QcSWF&% zs?l4F^^+hyULJ$-@EjG1{F|H9X}Ab)9(;MFUG7uj_~rL=!U3MUvxB*L=&ik8lNT_* zmrk4VFoz@a6D?t6b7gC){@R+&3F89Q6UG&>CyWbTgG@$N^f8=4!j&xAzu>S=f*#i3 zkcG?fWsvZkkO0wOcWcmeM&`_jX~F!sOrGTC>gn-`NCR_#)l=BV{&PD2{IrOFIEg;P zWPX~5Ghigk685?ihu4Kmy~w;!n1_c)Tqfr4q{PZ#C@|(K^lWrlS@TsWOg{2V zCCpLWd^H7%h#Q<}z6)o*|47c$_T8CplzGhkD-#MzxZwYO^yqItdh}0u#IH;V<$;(^ zUe>ZQ37Isl4u7#-z-OE0Eynb1eT1=u`|P_j{IpZm zU0v~o@4b3e|Aof5xxLi=aMsDxVC#b`@}P~#9X&auv%B=x?yW5Bm{*g0{(gFtXR>Xl zhrr^TnCM`fL7FKC;qHGp@@RxIX!%S|7gfOc(-F}`P+L<2enH&Ky+sm5GzMkz?PY)bTcdw@h zmZrPe(*sY_-SKJr(+G{}fvai6#`M6}G=k$V^+dyDOPy_}>@-N?RL5+lK^D`qYSa3r zXWgbj7}K+I)1Zv$S-WXO%7jq3k~W)tJ&WO(o)etLbWFdW#&}H6DNYDscFu7!d(*RJ zv$JQ@vuV?)j_I+Z|0|9eW%<&`U?X0btB@n6i^q;)&X&x>r;Grl!l-g`&$5$nrwLz8 zVq{2avEp2r=AW ztmq?Hcz3e6mXn=~Jy}f8oVq{8K&EL_#>t{K%#wyE5x+YSwN;G%n1{0j9b`1ytk^85 zW-0bYLv~IXL!(hK3n4lg*W__E*>FrS%fVbRVbCcN91!Z^J+`48ZXftwg=)fL#Rn&e z@=$RepU-!QQ@70Jk=df96KnBt+TIP5H^0bkx(mJ(&oI60Zoc`+{q+Moe3Iaxd0YHF z=;gvEIaxW2K$u1VEN$w}%fS7ghc#u{GRuOS@_>^-tU)N3itM@TG3Pc1&4wihF_vK3F-b4CpI)r=UXQ2^EKuyoiD!fY-_(0I_8 zKMtVNJdK-0pi`|4PFwCUx2{mq0~asI1VE@X?5;$&+~6GH-SvjAQog zG-xBcxHAyUbe{hLafI`D!F)MBmdioQC35@Azb-U%@g#9alW(JEBbJ=&8Ouf|VZM(g z5^1VWKf%p@Kg#rEDehyskU>h#0!gNE5oX%5?k~>A{MFyA5SgHr{sxAtEIl@jhR1o} zY*^bFSxi^aK&k_YaI%VvIA#9oBvjo@0}lSb4mz1U`nWC-$jQJ&aW99gOc;1sA{-D2 zmO{Ih9!FdlmUOyyUK7~0iy;>F&Y2Roe`Xz07z9~MX?^8sYXsm+{J>DUava|TC{NrgL z#AI5{*P5Mvo#&@v8q+Y2X_&_}3}m{<)1gjTeadVT=AA_VOd|xQp>282E|IzampS`x z8Z0u6Q<%>2Vz7y+ZTdFPe@vk#(*Tf|vn`pJHRkeqrgJ$FgtHKp>G#tRmx&xE2WsOV zLolDs*>`_AA$gNP5EH+W$LpOcVr3d(vJ^t{35Gw~%08hO$J9%W?#S}7*D;1uNGNW% zZSJ5i?`lQ6M|SqF-QC*Rg>SaSGxq1|tL`hWy79U79WtloX8L~>{-P)o{V-N# z*EgF@)pkQYY&K(C0+P1Xu&$Y_H`ilRwxv|vv~|~4GI@=pnqGP{RP9jr)#lK&W!coG zNIh0#In<`e*tZRA>{z5~s&Z)CRHR$?%`o)RY_sk+*HyXh>QJY2kRPmlt8G_pw%s^% zQe`uCqkPp$FJ#@TvK-249A!{rS(PKNOb&BWVoqv57d8F~b0F0Z{kk10jv9>(y|f$p z+ccYf*ERhZx;t*la5^buN>nmyy?hgk$dtD2IxA9^>$+=orZK~1CI1Uw44cEyG<7?g zFKQVhMj0!WxgN$rSEt+T`)VzH4bxPkiFWMPeqLpcWS;73Jz_|qNLRN_>HCQi`TDU$ zQ;sbOqFduw?|P_)Smm(U_EMn3Qgv%wA&=J}Nlv}2QC!N#PyKx-?tjHy59}WmN&DR4 zj{Q6DzW;&!JNKLYyM@JM<@}0}hPfck0H3H-+hIZK$`6awQ43EB0d>`y^C?Ys<$6;V zuOBPW!9tT`Y*NIxwi&2kowwP@cx6bO37N%8iM`Qwg{Ia`jYilBNmg~3mU0*(NoZMR z9A6giM_CnFaUtq@-O8%9zWa^XtnFI(r2+XyV(o=kr84bi#r~##R=v;y)`xS0*$68v zy_Qi`LzfzF`a#y$bhKHoh1xw~@&g^1CvO(PH*u)LupWhl{RdsoRg<)mZr`ub6=k<( z7=@Hr-w+n4s@JhXGr|EQ?7|3>Na6@v;e#fwK7<8%9lcrCLi&OAwEHqsB693ftjjfq z&JV_+>YE_LM9!CuO+ZK{l+M8TNA$l3s;7CIn8p{0B^PB6K1Jz4+`~h)<9y zI*4&ntI5;&T9{5mDTWFr6iL^Mh%xQ8r3gQ-lKN5DOL(ZSDQoco^x_EJ5V^L)z(MV& zpo-B`Md0Qn=tvbpyaVP}HBTpkK+uqq1qgqHUPqI{Kxv3eqP34rw!X!n_ziba;TuBq zstv27N=HD42-m?+9Mn?Zk8SUDPVI#Y7EINJlwV7isMR>{T_eP1mPJT;9H6?$V$4C7 zcuft_P_`|YYiUeLT?F1D5oNOofl;mdz8o-%5E#l%%xH%JAd<2es}9)1&}j=g9m8xf zVKh-w^_4OO)V%N}bWoDEq|-o|ggMgASVoQ$S>3NiUBww`#=h?Xr8pzqDEisIg?ddY zp2ABOqc_rBdN4L!DdZQW7b>VJ?#sH{9E5PX!Gj2XAnY&tCY5IiE(nATF+_{L5>bE{ z!28tgB$Oe{9d!GGrs^-yeVqL0S^AIWE8_r!{^<_hr1&g8a#BcRbH9#(2 zi=iultf-=(g37SS0ubtwKar8=W{Tt9wrp~S!{pjo>x4uf8U803*&;c#<2W}b2;KjS{QL4tsvnA-SrDn#&YsC5*S9B?hfwKx9=YlAJ}`vfOM z8CVv53SNj)%*o*AB$(1<-PFTKIOt&{9JJVqhO4}7S8a}M*Fu^2-oTQ}Kz7tvDz+>2 z;|Q?w&DNGF5Z#`@S~~-4(vwb%7B4-(i)AZWqk#K<7`)*app?~xprE>pE}IFaPz7wz z78R;TTSnz#Hn1>ibJC3QeIT275MVSgMMe;^!Zc!Alc*_ksi=(KNic($KH)W+AHx{v zBC=y3D9FZuB6bXP5kwGJK^`adx{YY)QI|Eb8YQU52vO&bsvJPEXBlW}^` zl2o-{lfX|C(H5mCrcKejGLLtnM6E`69>fT>n#bp6fhsIe^l9b3w}h3|<72%6uoTp$ zf==^nArhw+%+;tqQp+VQh(g`qjzj+xnRug#crB`ET3NM-$~L;W8x^X-xu8f}{mq8a zfwVir=#?l4y0#>lY9taoUu=N$I971%n^|oztI@||oeS3f zQnEMpmts`(FhcubW=}v!$+9*^Ly4XD*;j*UUEjLIh|D}z&|MMFWxFh-v^JBl;v z0UrmaOQjCh>Z*J0EvZnF58l{BvZhHgieT7GI1|#CZILlZQ9v=2Hep<#G0GFWPZM3x zYF3psJl;uCpnPw%d@4^tAxctA4D}*0vVld?=wWV;#g@uRq8ZK_J#;dp<-zhRsaMi^}U$gJ|{501evEPp}zLx z!M0mOf%*Xzao}XKn%BhHl8jiAU|1%YOz|Aa68lDxEV0lc-iC^56jY1c@E4`F5o8<~Cf+d%XN`efkSiejv5ro?Nzz`Iu zTzI=(A5A|BR65y>OD8QW8qh7=oce1aE-aQEFqG*Ka7m>8V5+7aZdkmkK>}rgHn4UX zS_AbZ_irNqR78!t=pY=DhlA6^xQZ&7dZ6Eu=!_pg)&+#A>#Qt=PLkOHrPhHWCO#iJ z$Uz7m6Laq;S}EKbYK%vSJ9Zg7Av6q~Q|5vowp-Up5R86w5e+xP>hX8|DV$eWPy2og%X39*j7OLmsANj4J1+KOsp z0HP3{DJ)5`OvJ5m+XrvMzJWpM-YCpO3rwE4wO;4lx31ynFi*WvbP#sdbw}2iZ(&0= zeIPn(6iB7i0GkC6z_U5o(#v8qVD1?!AjEwXHIRL1VpP(PR*OQ!pd>Rm$LBemqnI<> zD#D%tpN1aq8AdiAh+l!@j^l}7_OaN_!!fMaK0U4o#OfIs`UsgW}RnIu>g9; zsSFrv~g)%WCygYLl0_e2u6(@%J6r{7C;ppt08T2w-}Gzfs)6;KI%ZOs}LTU1#%|=mS z6p=bjaEFYd6Ad!ZpQ>wt>e(2_5+c|ze>AouqN_w*tm)5FtAK8j($JJ4IO`7%Gam>@ zcXH-4U!u=Z+2k`XeQqj^jNr_#dn~x`vz>WTOt1@-MpUPQ^vGVh>4qKsu-kzlt4Cj5 zhLu(X4XVSS3n0i{4kMUIW*9Yw5v*Xd-Oo`#OmkO9xgY^b!v&0{RdYSeE=^#D&BUmi z%7o3(1ZE^g@L0eL7X7QHb1ga-@U?M(lei(%xl^lTA>e_l4Hh+ljg=}}Z<=}*44?{J z73Gm>cSO4ZR3-15wmVc%!J*x?fGF>_D6?p%;6hiWP%Cf^0bfKEFqFYxYTyP6q@9-S zJ12)r-pd}n3}AfP%We=YfkBf1eTbpXPH>xg2}X>jTvMrsD{c)#Su)A;6r}DUuA+#%#puTGVe-H7!IRJw))j^#Zr) z+QNM^!&);sL%nnrc9 zMk=CViAJ?VG#HC$0A9u_uw58CsaRXS(_K$y%TY>N>^%v?0%D>+h@dO#1%+T^&CoV& zOTnS3PVsEiIs8NjN7gdJ#W6KUm8YhAG#y&M=NtT|v^F$IO-b8!iMh}vpUs-%hG-le z!8)fV*cowZQd{)zuD#_#cx%TqnLah^%=A?XXlNueq?Q6A0GiY|b6MjGY_rCRd5i)# zjR)Rc$JWJ63(UJO|6Q{gWr%L>31O}40sO|DF-)V#Fu&cu7G&I2AvcO~TlXo4$X}Bq z*H$9Vx)FQ!n(agcQPDCR=Kaej&@r;#Cn`BipGp0&o zoBBFM=w5x|ffv5y=BsWvoJFy~k$u3PZ;C5}k0C?Y8d$49L`O)ohTg4cTUqaxf>vQ4 z@4!~8C-W&Npr=(_`b`>Gf{|2In+D)Bi4C0^k}9Zu=e%(jNyWgZHPR=c8D#-nZzT9ATT4&bknF&ewg@4czdG%miY|PIH;P#m>WV@HD0c z8}l(RdTplVMfco&f)N*3Z$BjouW&{J3vfn4XeDPP9@G$UQK|O~Fj9brfFcBxb9E8Y zD*cl#@Evguj0-RYvtzZc@seFF*8l}{Zt?1tDN3Hi2Mc{q)u5gwJ-q%z@Rj1BA zm~a4*qz{7ABz+Lvl7{QCfC1#uGO+>F-V+L6rP;sBjzh8to&=0XJr>-Ru>@PrFcEhJ z4NA#(1z7@-e+H-I6U`Eg{xhDue4;6ZiGPCM%1Zg?nXxSi)R2(3(+J*y7 z!o8bpvQdIG96aJ$!hn4(GTFXqR7r#l6k^wKRwWjpSO}Y(>JQ}hxY@#quKrj=o$)c; zAUWex5jsQQdEygVve=E}X1wn9_}xgqm%~#5Uf0sj%}&J9M=#>X9t6rZrFFZAo6@!t zyD9C9AZFHVDTR6Uu{Io-X=Gtw)FYu|8s7s4u5l8jy+p=0^6;SvB@0BJ>LiY*QLnwt za6Bg*I@TbOq(10sqI@$rCPHhzX54?=`Qz#5bqc~_^j}7Pj1Q#$D2~bcj}Vf=K%Yo8 za5xDB>sn|oFm~|zXwJJc&1UN)%oP|C(kEis6pxrn&<`FpXmCi_61tslDw!|@D#20( zUS^zRw!SDrkzry-(s4DoI+W8f4H`@)CJ;R^sReGsn&6V?I!gWIMx54S1Uo4bf%nowf4NtOj-jaH3Zms`w9E;vQQZUWWrX4B>Lt};#&gU^x>*?#>8a?#Wq9Zzsj0cLos-%I*Q?%6V9yBer$YN<1j=+tZPEmm1 zTP~LRp)xBEPNf6JS!0}wn}9YV5)_#tB1w`k#N#OhdP~6aO>}DomiOJ`3S$=dQUn*n zYm+oIi>6Gcv3*)q#&j4=V7kd*S_~%c1x_v?h>J$ogf3cIChcIH`>cZ$Z?S`TuXY4v zB4b$N*+|kS4-)8t&nS*Z|Cd*yLFZ${bG{PkFf>*o9)`w3NM-A2dZ;oY+6i-6LGERz z7CVOhg_Y5W^yt7$6v>4^OdvH3U&=o%cP`^XaIhUv+f*#q6R{jdmWyQtoiK@Md}5f8 zYN|qT94$)T5Srx0GRLUm+>9}a9X95MZvoQd0KBq9$>QdG;o; zfy(OS#}45;v%#fV z=V%18bGAsEZFHOZv@AfvmY#C5gv<# zqa=YXl(@W;LT$AO`Jfpzj3k22F>?;qdVD7f-BTZc#eDgdNDV@iVLW5isEKI9MNm0T zj5XpyX&Nabh4CSDaSk(?Q7Yg%W9 znq=0Q;cZPEO~ByQ))c-iJ_Hf{TO-|NHC~qtnzr2u@dt`EbNkXUC>Ho z=%XYi)WPdS_i9G=2&DDZ(R?D}jrLWxr@#U_)zL9h znL+ZT8%JQ?X0~>)G{-PYG|=)(47?$k4j|#Yo+WISAb}VwF>`e3Na#_F?1Z5kql!f+ zK8-ON#HSfNbZmH??@nY!QzZIeGm$Dig?XyS#KDpauk(#xHS&zuQX|itf+M`mR1Ljj z4IGx%B{z|V-o+L$0E*;_gbp+c3hRc;#5s%bn5cEbnc!W$n!;Xo=JjN7?GffpE?M&u z%t}!c-2>Q&i&o6*bkPc}L@SlO*oTpE7PZ^mr6f(an!@a*{dE^J&75Mq(cmkJK2s6F##Q-ey@NtpBi&uGjNeD>rNSdW>WL3|lH3T7Y$ze=WT zu8fgDA;}2QtUZ4QDSj~F705GkgOz8I8`vWBxOtmm)4?m@PA9`FU5I5-%Af7OW$tY? zK<#Rxh>c}Y@{hWJ+Xps|#Y3E`4E|wC1iKxe3mJn|pfU+PjLy#2HLoA~(+rAeCtFuS zCOaq=$<`G`vOy8=7%g6V+6y0W%N&T+S4pv-IagINq_-*3jYx)90bnP-^bur1LD$wk zw9Xy4S>k0G#>|Cp!rts+?ulc+DbRa}+%~A`jyZo640>UW;~dH^)WVI~jFg-~7tHDA zp*PLNh92Su;(@(~$DvsY+8fNh8!&VI@iA}aB8D9LVwA!7;{ybjf^8{5IH7Aen!L_v zg!)Bzb-jQjKxk%T6bt(c2Gt$xlgxGxyL=(cRW)L8Du~2Po**7rU`ymah*;jjg@P4a zTs$v{?pO4MA@~X1XRe9CPspkXNFNODjfSGwqh|vUfk!GLa#gTMyT|*=t z2#>ULjX;*Pb3G#XIqqDKK&ydR#*$&N3~mb9XnU}~aB1qDG3C@3!a0v8InrI30n4b% z5_6thmOANhzXkLFwE-I<$rf&!usig(ac?fmj~mO?dD{Un$fI?t&Y*+T1y{ciGgw_ln1BwV)BB z6V<OR97kbpwwu6e@m;MCGw_@+s+aNk)NgXy|y3y>9&2& zYigf@5YBqm&ubLSIa^OZ5UZLC%dgXl4U#ym2Z@3XlNyAzQRp}V9JvbHOOdP4Cl#)W zU3GhUq)9b$ zlTmrlc_|wdK>&+^*#z$)k~y&#;>)>JM?WoNW0WpBfA2`3cQO)PUrGg@Q4@iaXaGF( zkzxK-gxHaJSz>M_i1B$=mWc6-17g~H^^d6Jq1tRHp5s{JUMG8~ml;ybBl?m-87de3 zi70=2YuHB6deQxC8-bT~gl&X_XbhVp&HRpUfX0LexJwoB08t|cjE1oz!?<+r+6)kY zJe5ee)?4*R1(S#j*^J1%g7Fb|2Xz?xhw*l~DMpWr0*oGlCMiA%t$-7W(N(+CZ`F8e z0jCm`E5|6z4KNX?oS-*$pV_?o3VJ<{=q+_+E+#jj(X{9G@*%s2i%UTaJNqldaL-GU z8^LIwwLzyv9e0H6nX4h9P%rCn|7}2`3a5d)jPo&hW3c?e9`VHB_mlV~!)M?uQb_@} z565DcddMpQd=E9;S=SHr6DdxRK`t)nkk$J>KubK62G@9%Zi`D~n z6Qe+u8Wa8*1HesC8{#i*W<&hN&1_P;KZ2Vyl*Zs22UCi{R~U!`0w92h0>cFY8y;+GETuq$H3lhjhPh#sH@z8<9a7-X#UXs|b zW`vRIC>}tIuE56opKLH#wojl%CR>I?!mQK!cChBy>j{4owC+zZh}f1vJj1ae@c zNO1Yjnq&+>y$<0@8aI+uow)+G&2BQ{(DlMCHBIzv6y?K!V`>`gS$gRc{K$be2AqXf zZH6OS(3;WdE3{FLV;$lzy2;^s>@ccmQ|3|do_<3o-8+#wI@zY4-F)Y7GfH&CDn0Fy zjxq-=1-QN2OQmy&45S6fP3)j&5e$6rvh!#Hrg?b{%w3g`tL8o(j!lod>rm|Na2S|w z?AXoTJO~sfUUU`#i|cl=nt!#w97a)lMTw=pM=s&mcf8?Am(a&5nI$CP585%m^hXuo z0A?^Vod2K?uo0p`z(*Ve+b4h&ZgrG~&11~M ztVNM5LP_hTd(e&Hr!7X?0WGHRN1D*sC{ExJWZ(mBmRf{zp9fs>A{P^eW*{`Ah~6rW zSMV9+w~F&>>HJplyhWk-GDy$Kjo(qRVT|!6KUy2446AzdLMH=+bsv(k^L~?+MY1y` z+(G31VY(2Nk9H2Iu#ris(Y9xC3rAIh3*F?=+2MyZVvFh4Pwu|aYp8f3h{z1J94I1t zpq8eLfq}`Cq}wv8DYz};jtSkCJb$NRDCE!`hU4(mGH60$IJ46Z@!BsaAPiX#gM=So z;-(eCGdykTtp_1KW7hvc7d}P>CoIULfS@<;MvqumXBC>?xEOFk6KXcZ#>oFX+@%K6 zWvgW#N!?sF!q^Pk;~J)9CD8DOfWaYey}%%HpE+@)4!!6!BdbDLV6!W&KSKgds9UgV zv_5q&WZWDgFlIBx-w42A4V^Kg6ggv2Wbq;^MsI4jyiXwciUUFD>nd&XalpC}8faWd zUI2|ZvqAE3+FM{!)m52CjQBfGRD5aV0t(^_?gVEyLg@~Y6*WA7Wk7EHWed`4et3z% zBpzO(noEJI#J9A}tWu3`fnYJlUr*rqB!8r2KKrd&3BdtkW}iPJVO%#1B8dJpqBB1w zp_ADaFzi)2!h^kS?mXMOaS8M%mMtv}thWMn{2HLyKMy|x;q5~NbcEy7MC3vDkMR7C zzzQ+m2u6usW&o-un5I`c_MTwcLct&d2E@bYuB!qj+|}nPr|EF52(bzY34EH}McY?6 zs|+%o&3&)Vr=U5lsIYnruFR;%KzejJ1nWgZNDyY=V^bMq67FoFO3@pa13hSzjn31& zPov7O08tYv;+AP72Z-P3$)m)_ImvKlL+`iur!nLx`;YJIEscqgMWN06LyhiWXgEPASp)r{1!67R3P7r9pMmLB$(r z(kv38L?s4<5|tR*82*!g({|CM$ zx$y(fw~9zWZWfWmD}q!L<32txZxsIC^9YjNyym*2+gsP|Uw8DHy~F6c%=Tu!ks@m) zW?vOg)Azivg11j#Mm6X8?+ z#BLDjC%rIYJY&sPNPlJ`dLgDUI$yL5LyaS=q6{`wuwfL-sOu>!Q{##l+m?Djqecl* z4BG{<47o7tj?9+cZyBId-ilxj{hep84Yd`QwiWN zsf@-PwV+s4CGM`82mrAENanW|5>%F8=rP9c>FE%mZlmM!E5doigyLMgrD`yUbE-jx zt_I;sE$Fp{RlKvNxo-#y%s6G+%mNGNslu@Xh7Ow3sCDdEGJs;wlGtPXhHu*IA8j_N zpU`e^uc09?XFaB@=K1X4!ja~19j0b=nZI;)>E^8oe2 zu91T%ryw%)TQNFuF-iCqhOZSMB6Iq91v9@@6sgX6&-b|9)K@@%LiF#Ug0UKSjbLh= z?xZ+)Q3!Q7KeqM}SlQr(R7`|9DxmcehcEbJ2<{(wX+K4%Q97!_PlbDk+VxYQmk16a zYBIB0P`|nG0-tlU3&K-B??fF$yIj$({a!k00^jjH;Ab7@PK0?M&M>Z3OWV2U=6t?x zyn-gt4b(T~Ug*Ac-tr6#?&~w(BR+@HRV7cOztYl=387AXUZExMdT8Hd`dkQ}zYP=1(9ks6XoPK-6ex?pwlt!DECqwn z-it+O652uOCV7~dOg2Jbwqp_5sNN9=qH0Vdq*tJ~?tYH?NHw=b4r&3B5Al+T2HoK4{x6zU}+k<9H{Deyr;#tFg7>I}R z$@HTeMFwMS()Y?dv4rT^fg(GVn9HLIcg{o-(lQfyBoeD_;=MZ_*eRRXwt!IrX!CN$ ziU3ip0GW2{SdB9?3u>H2xlOw&$!#^WrgB?kO;_9VZH5T+lO(r&4KpGb5q2CMY;|HI zGfy&;5&dhK=^ThHA{=dw(Is}W^DSCDu)LP>t8VJ4rTw%Oi87w8Og)f{fpvWuWgT0O zkY*fspj5jkB0dnMouWBM%ur654MLN`nhZEOn$|?K3v1Gj1CN@pKw?T3PfY2**7Yzf z(+Z7oKQhwFz}UTrXel%;qU8DtPSY0+RXns48hxOgv#V^}N`a9g~ix1f+!yWEu z@xfEp#@}^y&nkZP&_$~UYsq`=MG&t0{YzVQzCg5m?GtdBq1>UN4>UmkFuZ)Lid z6u-D!aPkxM?v2H-*xs~vxThCiV4rk%xMvriZ=Y0mxb5PLPE|dB?b^Me_$B*kbBB9w z@!>GogML5RFU2#9?>)^oa!^T~m1~RdKJDSFi?m?2zwUO6cPzZZE`z(Pc>BUDT>0o; zRJ`-FCoHu*`Q2XkmW|@OmisvQxn1|V;yV{wLo}d!S@Bt?s)IjI>+UJO{WL$B`pdEI zX~o-4)lp@DH*XZ*VZRl2x}|u_X^RUn{XI?hn&Nxxx3d0Q#arzYS=Q$iZ?;c_jy|>c z^RV>#OMLFS;?Dv%grB5yR}_DcKa<)YU;JVIOnC0e#c$`&gfp)!ekXq>y!4dfxAJGw zwkIG zT=R1it}TAzH2X+u>x&=D9?8(l;%Bl)QrA_*&t{Kg(yHR8vq#d4rufP1k#v73el&X| zW9*6_&mPI-)WuJocFyXw7vA+!cV=oMk>A3aNon1WX+|?QP;l^^e zDmL9}#k7_?r?~Q>)p^-%&F+k1ykK>XQWeH^e({8qm)ZEF;;|_&eSKtcS<1@@U2#Rq zOD`W%JU-=RQXX79G390W7Z;C9c^PO?T%PhW)ekQo^XSz>7mh|C+SQ#X-nH?V)g$xQ z`PH=U<;AydELTC1akzNz#=}+@rPuMcRCiW!-=hxtuymhNJTPxMyVuLzSA5&Xm8-`t z2U}0B*m8Fi-+XZ4$<aR4;R}=FkN&u;i}xJdm@woe#apM1Nf&P_UNw0rMQPV24O^u<(lPe`)dc%SdVxoaUZa{K7&Vh$(Zg zD!z8%6@tIqtBY@1e$}|+#n&vq>fDQqZ(n$YFedk?;wzQ_ia>S0U)ApU#kVcILJW+1 zbn#^iuL#2)TYUAxD?}o=%ZsmCc!iB}_dxNj3$L(W?d~nUba_T6`^@h4;^&tOPWEoy zD~n%SXbgLm%U(_cW%t9tRag(f8+Aiqx3~Ci6UYY)c)RuuSKq0R(w#j-ATW0L>RyBfPS?i z?B2HV*wv#?RzXz@dV2doLmPyT-o4N{ksc?C4=oSPfPO&_Z#}5$P88}pPT9Lbc;;OT z9T1_tS-k(Cx=X=NpM9#S>qK3@esKiGBoLGD&CA0~g=(SX&)Imya#w2MfH%$iKft{F zyyY&Y32X(eym9`qD3`tBbCH68xEN7 zMl6B1h*mmJ@M?M^3g#ml7I30t0yr-fO%*|xK0LE{Qn7kShD`Ov>BW@-))r<*fWTue zSzT~nM7R3%tYULg-XkdiqK})r0c^yZvx_HA-uSf@aC_P0jW^!~CSN{z<0oD~_7f&= zyn+yD^Z3adFX#lRUom;(C7bBr$I5!-aDf$yNNbB{JbrcQvieRUv=Sra@PXx$@~Xr# zX$~xx@MtAge3v0C_6bu)ged0O(?5o4YO&q>+0cUAytUYyHxWUoXvC`zY$7>WDlx3a zSrfh*F{ic_^I>xinfWnrMPzfI$BKvf3G=kh@MH<%2SJ{&3gcTly|Qzs`)!CH__rV=kK(6 zfwNDUzrz~JyXO|={2fPJi-BLy-|2|m(~6w~$77sVf{)jiSyLw$N-T0y@#F)mN~_ws z-QrUYESFG9B^KLoU^zo2Vwyd5K0H(;?{aZ&A%1gbWib$ zhp#Ty=b57F#8mtARnm9Xt6U%*3|Ch#ohDHh_1c6c;HksSc%c}+{Fgc zXa_OjKW(v0lBtb*PH}9pOlqum*A>T?$62|)xNGrq1IXRei#r#~7>BZ$zBetFN!?hB zrSY8keAVz+zUox-HM++YFIj9Lb+mR@7B?)GF&=pLsm1db5vX->yNksRK1EH9*i^Tl zs@t7=Xz_yOsUO9<`po55Yq73gI-e=}_Fp}JF2M4x;=bjoT6ab9yyaK5*o(I;4$_#n z?uo^TQwRRQ0t1~g{Nq(aZf64Tr6XDM%+t_o0kVPxT}g6&!;-p?P1yziAKUi6el9eU7%9(3;Ntlhg#yzIo?54hXUUY)+xU46B?OdcX} z{mr+zopV-aY<1V&eB3<|sUE2(py1)#OLqhXclIh2yz#8nX4~? z*S?us@C`i08>oiuJyRYXMthr54hfXIMqVFgdyhkk?LAhiJiK-6*zK)*(gmw?HMzfq z;)BWGcJ!EQQhponN0Z0=&luXNE8Doht=n!vqs|O#x?%7+%cI4l^Be%GR>VxfDkNF1=M`2Aq8}0Zx zJpSO-*+_Hto`vaQ>KSP8_Prx}$FDiE;~p7vX#UaC-yO{HCrdp@pq{Jc(;d$7|K5J-u~VZwzxuNzEj6g1)o0s{MBmrhzx7@2zvfW z%*voNdx_L{^}t1Y3X@!)C(GAYk4Rm4(dq&iU^{y^ZEat-yN|J)HFcYJv$9IoiZ$CSG_7oqUFHP;SqvuHKC{quXp2#?)Hg}qg`-ZI@cZPIZ z{)TD3K&JDCW4k(|?o(x!Zn*in>)e^ri(^~YZ&%}P<=n+9cWn0>UDL3J7fL<)a2wf+ zQRb%O^39h1!NZ54409}VkuS4*4a@9agNG0CWp=M&nL_$=(=l`nBYi~7VG6e|T%Cte z?;pKo_t>$$on3djj8w;Qt}NNDTLaUd!t}`W)1<_$yZHLr3uL`i;@oiO-R|c$&RA`f z?(8#H8*8Oi#N^|Vbk5{eu@uz_az%bJ6k(D z$7E*kr*!A#4=Q)Q^x8fs-9sl&DpyRN)b3%ECyje}UUBU%$R9M$B!PmHLo=-lP`gWf$he=xYm z+ZebKg(exy^kmh-q7h=_f>IoDMzXegLnw_uSv#Scw+7{%}*dALOIk z-1nmNVJZD%8|R6bRGD46@7fTu_Bl}bKQMU+^dH9Ohj-m~@b8}cJFI5!i2D{kc((g# zKDh4L?n^fyS%chmPZlW{bNk!wgZ{NjarYE`tvPx91?aIRzne*R{`=U-ZSH&c_jdO~ z8^Ufo?q|^Ej*R6;@O)>_{arqg3HUNi)b7hSAfNX{$(Jgsk*r(^$;@Qw)-f$McTCsr zX))bU6lhvdY)p6V>7mM+3Z2P@5Q53pH6hu#HY9u3h2-G+kR06*l500Ixs6^wBP1*L zOty@q=%1Lb+_Pf3rXtjIL*=XKmKa3SojVe$tld^fHf}p4TX#4lJGT>(z1t1R!Cf7a zqq`;~*X~-8_CW!<)0hzzv3Eo1j;2iIZqUp&-`tool{+RYzQYy&dt5hc#+UBSkgVKi zQllbSy8C0gau39G?OqYnjeBKGx9(Lj-MLqXDr@)BkZjytA=$c@g=FXM4$0o#6Ox0w zHzY^*@{nA+`yd)r3LRPOC5Q@eMhOyfQ)Wm@;nlyv|f^F~OI?kzFBc5j79*f|QdE-UkHldIf&d~TcX z-fMD|`)sn`ZSFgzuCqL}aW|d6I>)DlnLnVfwgGe8alT?&UcDb*Up=@V=ikx&r2IV% zTKgQ)tb1;C=IW5JfykqC4aRY&ZkE|(YTMl+?PgfU-Forr zg6xSj{5cr4M>p;^8MRN#tIyj&4HoIR+fjpkBE>$RH7NFR&p{2EmRFw}YKS<69JfBe{SO|rwBmyY3t0!Rfc|Zk6s1O;qmFG*P>KO*HP)Wm0e5-M)3V zbk7gT%Do^YYxlyCY}|`NvUMjyvU8silD&IzNDl5L(iv#39~I^~`=&b|IC1=4=yub%Ll&c+CKcRt-QHmV!e@l!_3m`hQlW|?CmwL`J0t|M<^DOwDTDeN{;g#u z@VAkPz~5HqL8j^(1l^tM4bpwk+5Z63;lcim?oYu=v{3hjB!7XQ^g^hje`&4mlW_kL zOEE75`zy?e8N%BB*9<&${(~5pZNz} z#Ap6N?>6%XL&R17!5DFsf3S9snSsa4XW;R%Gw}Gh8F-vvtI}POKd5F zClcVE$V8EuG(&%rPi}O7#=p0T)D+_1cK-s|?MeaQcCEJ0b|Y{Ue_Ij1_}hu_l@`65 zgf0Ac5I-IW@&7ppnSK)x|1a{BUO=Ywi9Qr1{-0&3K>WYPTp<46X7y%3|B@f4=Kd!u z-4o>mbc_N0D?Yi=y%}miAn|u$K!@GG#^b}4;7YfO0sS1YcNoyGZ;047-Wpc=&O;Aboo8G&`$yfo4yAG! z2+O_Ol!NO=%Du;wgZGBz-g_tk`ojWGe$W8?!-7$MD9hKG0dQFG#Sd?!cHjb%c0Sv* z1H+o_e2(c4TtQOqeTPKr_(#(6Ki)9e%Ka0Yt=&Jh*~a}mXDTfA?{ezRV*d^&cvlP^ zv>JR%eD!ZQtHHsvf33)lO4Jxem6qKr5L)@7`i>{u|MslJ46;y7YH}bbpDT^zNt7fBL?Z zbpIidUm)FIWiBkw@3CyE{XepfJ+Tb|L)8dV%LWq27+$3@yeeaO)yD8@jN#R~r^j^X zEu<}&GtUgk##=U9FlW@V*@8KvmdzH-8MSP-V9uyzvjuZTEt@TvGtsgs-L)ZE8N;hI zhF4__ui6-1jWN7h`kR9hb~IF3yBk8XaW{r!3u8!=ox3R{dv`n}2f|TrgxwO7Yj>+P z!p^vO<*ZAR%kp6VaGy>vLCUcTY^$?%tSg+{^v7kZ~Zbam0hv(5U>7g!U#+kCK^*@0(2V)f9O&)Zjf8XBB>zFCix zdY-+zd&BOrz!aX3v$yQD3;b5PtBT@a;vSm7-UiMgId- z#tk=z0vEg7!u)K=V{c-94s=>>W4>?WVXKR?M`1naF9??A=VHNpCKPxlYs>7-&tq-& zQ7HT_*5<9w_p>(5gaYplwI$p01EDtSmS^GjgxX+%elXOgj(Qe&FZ6`iq3-~oh+ccY zR$qapqVt~R{}9x4++8A5Nv}O0K18O{d+wS4Bj`HszUPBKMk`{Ke%7ILyjA)kXkeP9 zpYKz(`vRY8+=sCi-ZK5dn6BIx#dPgH64Q_GA_*QXIV1_uz%|QAqH*VFqNRZ=iO!v^iQb(l z3GC$3BNq+b)8*;$JMTK-9t1=D&igb0BiB7>^}hj7O9KQH000080Ox*wPGvX|8XZ0W z02n?101E&B07Fn!Ibvyab1ryp0T@2#!B}i~Rxvn5LLeX@AZ24RG9VxzATwn!Fi-r8 zks{eID_N!+%6wA{S3KsSG8k?j>ua!y0G8w&0UJe6Rmqq4sPrzYI|tCxaYtt5=|<*< ziv==8Qi1IDkD%82-DQh-!B5Wz$WxJuxSM}dR7nOMbP^Am*x!I3U{Qr>1%9SgLDVOt zT;}>US-f!`=b4i84%joNG0p(@S123|uBp04M#E&7!RdL~v__)1_g4b6YoNVbR}_CA zA3`%l0h4k%1~m#(j=q$g53vKdlM?hXz(6p{BW*(CMOG96k-dy8r^ZfC`_>%@=rRks zZWQbA#OEn_PY<4ZkTNrYfr6M9Sn|g9zqBBJT7sS<5!FIFnQwQg^x$~!4>bbT1Bnpj zTSwK&aKNma51{jTSZ!;sl6;f5k3ekf{V?NTK6QNy9h*L$ssi46cijN}5}5j)NTdi@ zsylzL2aCh0q}0&gS|FAROH#nBdjp(@nNsATyNwh&Vcz1)cCBXxWxR|ttXtIUl^5+` zaXRw~hf^|5Cn?|nf}eZ_X0kjIIK~WI-|pFHkh(phYPGq)xscCY= zIhl$LsFpEGBT%f@rdhX&0KUB;JwSyml%s-%2yzjl5y_mxcqQCX;-cX$%JCvFR=Vqd ztN&%@HX4`cC!ccx!@BqQm}{Vd=fElV#5E0C%2gf&yC+sZe{LChwx?&sr*mHd>vfXl zOQ3a~>)Tlz*@D0Z4UmXtP|H9d5jmDiaxXr!{04K&Zt;4K9<3tS0bmw$_QzYFVInWZ z{Ptt_!-l`we8RpLk&!>C4}f21S9zF?30)H0uFG{i5ACW|j^l}jPHmugQ=n?5_ir_^ zy*8t|I(9bL;F7C4b_K$2(Y5);JKIB?gCk_2I+7Bt1Atfuhz23PrjgM=?^Jus98GHl z>JlxsjNG^{Kg8nYh~|9e(#HrAmzKkL*0BIVmtT1g9N|-8 zZF9O$*1Pkw>1*EjmV5;0E85$E*B;6V`?>vB#Cvc0i$^Ly@lLxFrGt z|Ez%~I~vy{mBQTAXuOU)!o%b)ES}_-ZD&kvaq!}v$*#jOy^n2EPd$9bT4E&N|i8S=emgo@on{DYBz z15hA(2H>z(2#p>A_yFsxOvjhC@}?5zJQBOLM}LAEgA%1Sv0-k8&iJ{ZS4@YxhcxYN z5ZU|&eedH1PqoH)t8n0qT7^!wX+iGcg6i_-R;jpl0dl{IZtm1o#B(6&;R$L1FDAUt z-5Mg9z_1qwRUy|>Jwi-j=b-2N#9N`9O)$#R;*7zGXcxX^Fpz08BzZmZfpsMVo=mtPc@ z{^Mt}gFez~@x@xVc&VO}iet5UT*A=eWl}lO&tB89E9=Z9E3cxd_Dp|JiP0U=aycby zRA^8q2yQ<7u?*zu%GiL&dubnz(vXN@GIVA|j>W*O3Lmfh(7Z+ayc_MC;T@x^CiAZ)KE{hCxVV2seA;#u zu6Lc;KmVq`sRfnD%a)(VDzmyE()yIB_I-EXTkE3^W=O-?9_a)j2l{-Ruco1Z$`=aw z>QwsL1awZ}Afe2llPdsh>|k!^5Sw$XRjW3{vXYix2*61%KHw`>8S1Gm*-aWo3KSP& zX>l*-1PA^C|G)l487ICQ!-A0hZc?Np#RHLAt0PnE1?wRH7yV-=&%l4d%SL!93^2}# z2K%!2rc`F(`;v@Al7WcsA?H9)I!USA$gOi>P!riB%o%w*@6NQz;N{dpp3Tnc8BM5_ z(08@UgV(DdqRiruoPy{taa{XtqHQf6d#3}hFs1VaJ|&8f&QuL6kD8VB=x+)Iaz)oz zN6l1HyJ^pT1eUvlfUbz4<*M<+v=!TZlP+|nKaoXl-vH{Gg+F+PSx%D2p+c3^!HO47 zfkDS_k$q`y>%H+o+hLIyvdJ-yKLT#KD?BAGm}V(r`GglOD67C5hrOG;CDD)$f6i|XQ)1f%b7@ED!A)Erpq;V zkZ}XTW;EOm%3g-)2IUVSuYzc-JdrLKHZv?>;cNO-MFeQb@ zu7%}-rgMDlE`+Y8E&)o`PBv7$FMI`BVxLnLl;IS(!D1y96kIx2=9rl%Yfrp_!b;0@ zq}bn+B+VFFzcLp$>+;^+X-Hz(2u(?%GrD<(eeRE~SEgz|P?R zlWh)sK{^rNrJw$&NcnsK34c1#$^n0C#&-OfYS>Hw)~F}n0C0imPQUxqt;S0iJd8QY zvlqA@uoA*DS!&Re6eJNK0{tDBfyY*Q*H(EEhv%-QZnmInl;s6IbE;H<{BwkhpP-79 z3CXqPkIy{o3$K^a4Z5v6yy#VEn?>?uFMbXzB6Zft<{>{Uc^(Lp&o1k=bz9#3VbLO!*oyzCC4@V_w_SMQY((_V|nZ%5G zTf&hZ#~{m%1Ade^r#d8=24i1P2C+swZDtN%w=X%d%~8_oZG50iCTdLKnn3RdpRi#S z_{-`sgOkuX(lD$!52d+8FA=DRu=dJhiHBLsEQ-`rTEjhSZCuP~YOVl>e{qd*0FYo= zOf+2sl`A1f*4w2yD#icL-iNMyw*`(ATFy3Hsjy6@f;VS5F$m`T>y!dzgdTt(ntOTY zklX$y@;&V(ULc>unIVMuCI%e`SV8+mBczg5VEec5-fpY37L{Vez#+Tp@HU0G?|X61 zHy9YATxe)zif{~oY@J z;Ze)}NJ`ojUqi;8oU49*5-n(gI@VmCWu6qqlx^D+& zTorxM&mHx!oV2XMeds`0 zp(p(F1jn#$0_xt)@sBVsJ()^q%+|H76LBjml3xtN4O6-=0H zC;lejPYu5**ZCT}rpD-w+IOe9s(l|^pdYA};H++4?GD$N3g<-)Tzzy7*;funn5jEOKF%l5eT`d6592S?X~m2Mz$@#I`}9q$K+#Tx&kPTihd?*)L+ zSoc^bnhDMEbK)}VcqAxP?C!c$`eF_Jfh2CCL+KFxG>uuHVxh|}rBbNOCF-0=s1J{0 zNp%F;p0Rx9jjz!x`lt?WosnoXGG0%wRe51OU{?HzWh(qC%ghmV+;klBU z+TU?3sre!AC^E!@KAuU@j?6D%h|y|>3cBlq?U6uL_#*aRxEy2%ZC07MVxu)(bLRy? zIAp5F@2!1-@^0pWCUoI%HxtwHz;H7jMy~^NE7EUI$&St&*OQ$TWH! zOUub>+DVMt7iqE3#P^ijYrHgpA-R7{8BzLcJghZmQEJGhTHaQgKV1C*!!3*xrY(Vx z3(k`ttrCP2rc{$HiqP3h1ibf81U3OOKGgLYLYa7|?7Oo;F8|bMFHkOo;}A)L%I=E> zPgW(j?6Qx-qHRX2=^dIBgTVLdQ@$4g(<()3VI;Rx2Bq+~Q5$jBDr*Sqy@BOs>UyCf z-vOJJ4?uObxG{VI@>ct;a9LfZ7P7{alMfoaf%ix%8-p@O7|`ZBoEFyfR&sU?B{X%8 zP!iEL$n$-dL;FhHe8nRusBz5WNDI}<-bO=Jk7y|v!SID&MtJsOCTyp2gKmCRwcyZZ zXDkqlv3E@zZH+rL{TRk42d&grUz==0+;5}9MUOF>nVZ#ijS~Rc6p_qcZ#S@Yy1r}W z)HsSN4)K9?6uU95fElrW$~sNA5Bfm?rM3iAkSCL9VhIUK?0d$o`f(ky_4j29RMZQ= zh|;vBE9aE>>S!^)k1t4|eat=XlFYt&n;e!_pKRl(QbL@}jnHlE6DN&xRkhI$-u1b% zy)a9%MTypMS?J5|92z;tDTh>H&l^i=g0t<(=0 ziV6Pkv(B209$gN&3aa2bViLZ@%C z{insDV4uiO5zGVN_!&!Oxd{1Bp+fYZDie zPEB|!K|HF(fc%D6f*@8alFyjbP$v@A5!`4yVF0RL0cvJe$QpQG)p=bOW?)p!!Te72 zKPweg?s-X+Qoc`KiBdPGY-Bp%YA7y103MFFpDv(Mc}d>QFv>QWIz9@_CMNBn9U{SzXUNv4*k@bT>u26mnf5o zZ(qWzq2aTvYT?^sMX}(cIa@JMC%4anA;x%(>ob50^xH`rs8`4K5t$~Pjs+e^n6A~NR%ycq}+I0Nv`eg(= zkmMpT25T)Ko7s03N7mb7oWCEOq=T(dJ(V!@8Tnw9mp z`|l5-6iq!q)(Rp^}7uu<+1!mn@Fm1RO7Su82w1G>UuGG=^_KAr~m>Tl%!PKKa?~kB1?f@$`gx zMI?N3Ju~>Q1lio$r;B2zB6FIH8h2X{-0ym+2S>&)59mZH2s%vo9-=Z-ApeC9V9n4` z+jQ+eY?A;bVd%BxV^^ss;}D4br6_a1iXrJFAQK-=Kim4B=#(aVi@G~_E5YPIT{FR| zoLr~VQmJUehHk|OsVdhK4z$nH~UTn#|DMbTo48P_z?-=D>Y@bVxNHPYZoZ5?^)GgU zu7vp{Ka(RQT})4^vrFA(r!^o`8n3-tjM)6)xZJQ;rhinR?aJDQ93(ZOoZjCOv$~;$ zAvwi*l=?(2F#H$M0M;eZYXd*}Rs)eMT|YOL5vhj;JDmoVx|qVLcN`U_cyVHETC7EW zR!#S5H)-rURrr{lXsNo!wqdz6EfZ`h@K;Q>J}o!9Q9xB2_dQ(H9@~?l&$O<#xk;h} z3fZh-8(ws~5lDzX%8RHoFhLWej1Qhi0VPhk#37V1PC3_e_k+CwKIZQ%3_sE_Y~`3*uhXnVsxH{LtrZCNUKyXSm=LZ98zURWN3xRjjF?r_=$GsW8@1#CGO^VR1pfCa(I^imJ2_{)6-0%qk-md~mY`t-W4T|?_S1B>KyNt{z;nFovfBu`u7Lz>0yBHpWFL9I_RZ0x?AwU(&nx#6pR zRz)LI<{9`lc8(f*c&P$Ao06nu1{w%cc;OnZN?uG&!@>7C;~&kgq;ll+tyx7R_P%)8 zNXK*8?Z=$aDQn^or7ieQ0O|i6AKCAb^+@84kI3_QnQx}pw#}vOuusJa2r;{fLm>&L zqo`vS7L-|4?Oc0sVz_7_gOH7lu= z4TV4TwLHhLPlS}yh<%jmwEaLded3WUhH2h6iS`kNAVD zt)k2khap$|8on(fetqrNR1X}O?cGsKVr<@B3%)=vY$-so-Bz%3cdGkE;lw-gVuQI& zcQx>U;8uhu32SI7)B!#ouF8T0edK+Sg{P7Kraym=ygB@)FWBE)nbHEn+TC>Yh%^bp8AonvfIPLCQ2 zkx9X;=>efPuoa3KY{6z1Eu~qAMpxq_y#$ClN#JTOw^{jzy0-&3W&e9KGDqljtz7CP z)n8N2J(rSM10?-qaPMkyoSb_~o~Gr`eg?QCaaD`ZDAn5QdHkD)=~gS%_1#BcjU#ik z_qpT3M!W&F2Pj5nYB&f3zFNOutA2w9|2tT=GTjFLZh;+w%Xy7IKX{Jf2dQLxp621g zYG&r$Ia;E|s;;UZZiCupztPzsivZ4h5guP=PCI6gDfj<-+0^q4H=GH*P5V`aND8gOjyBbmHB98 z*=v-5Gt0+fN4NLL5kr#G$F{2SJEq;(+4UAy)s*My(o3N7&&Pg&gMMaSf#CA*-{)E{ zI#fn$lQY`BdjZgR=`JX4cgO1I=XT2kI9Zq ziYbi)Fvbc5-)OH(2_RmQj6^C6Pk;yA%0B5K)f~ZxDmM$|_NKC}0@?J95NXsHH&T!z zubuRXI+olOXV?SaTn-RAe|Xghf)mvZXG6 zK8a|P_EU;j>EHy%utM+*{aDkSNdHTQE%*CTl)v!43zop;D)GJUeKg%je0KaQ8#X=o z-=RO3DB6gIJ?DpDfwP=%Y+{oNXELHhoKJY=*F^R^nq zcOT0Bv?7XNVn1Z_SQae4M62>>#(6z3!us*OOINjzk?la=Aud&VDrFY~qxQ}!3OJJ@ zM$2u3@@06^lTtj!tnQLx2bFSG5l{0JF>gP}j&&vU_`KobxlWk8u!^G!GYVN&elqX&i`hv$pw@?w;juJ4*0pb&^N2k zU?vVo!-Q8NqUC;OJ-<|gXjS0~Ckt!tEa)Bn{=-_~we}{hSeEkmW`r1k%>X$BLJ~6}U(i3xW+I<_FQgllWm}dJ*%z?l4%GtU z`v;K+dzdsZu{-vraM#0rHB34)a)-(`oJz0=G9pPSEwtUof-4@x4j*#jQFaw6Wsl!( zcKE~a0rp-4`u?Eh=Sm$zG{5eq~5XVX%bJpctFGTJuTX0eY_;L~k{xr!ML zvU~GmbYJr}f3?z4&u5DOLcI758z%;zZvG3|e!Eqh+|@{PDJtw#D0wLgWku;RVT!<%3h{uzEEI&>)twSaKYH47 zy9tz^(6CZ_;ZrPI8q1q2K!xgL9cP!=o-vLxu3|TDiL8acChdoD+*`A2<=&3<0%q{p zYJfa8J$l0tm@L{?<}(QdEw-z6#OP9yp`hiduaQ=Sp~TL|j;}YgKXcfdOSGlu z1lNrU93I-o4wEPNE2|Obh1qq5CY%>iQVW_mp3#BU&>3Tmwlr=aOEn8$*u+q8@l?89 zcsk&a{vgqh!PVOvE~zeBs@ii)_Y<*X(d2JL=`!cQBm(-_tdc7Y1OWYIY%ucbl$?c? z#^{MuF%O;GBk(|KG1Q#bt;G6KCk(sq(3;?x;fW8>+bP&`+NpV}_5yZT!9Z|pYaSvn&RdOKrT3veAm1?N@kAu2orZOYRkNV` zRR(=T0*i?z8hvz9Q7NwG&{_`EXVcOv2(*{GwhEtUH&m&F$}h4~QBz)Hi6j~0CioFL zsM*1fTgYIp{A!n&ax2Q%sjDI!@)`7uoK zk8F`?*e$|GjsDr!ExJ>voAd~Hxe5x4bp+$WV2$M;0g0?v!sl^jpLQOQ==#g|dkR!B zKO|#P4ez0X=4!pVmMj5v+U9M5P?>&GMDzw7*2ABl=uzUIpkz5ufSTM1)1o}@iDx9$ zKR|Oh{$htIkS!qQYP`8ag7~Y_K)T8Sd=7a9D_W&Qchz2&(-YD>;VfWQpWY4_0fo+b zED>4~w_O);Oop17l{m{{vx9l;t&k;&ij`<$m3_u$07vOcy*ZP=S9Gk&^WJ;xh{3bF znhza4#p=k)L#_qJCL&t&mQn*t zS`&VoU(X@!g#fcIh&W=9HI*e+Ud5@~58ApmY^%%+UFFgmSA>UXfmrAJcQGD2@cFXm zxY2*Yq6a+&d}2x((p4VzCP2Y}Gk#?k#`t~spfq`$(>u*P9Ikl`Hah;p+MqGBr}IX9 z!);SW3&T~KWTdV;m}1=Q;fl>YeUp5S*uQb_jEW^6276V+WL|n?9+%3v`B0H(@;Pq4 z(}wvV2=)utBs3vJfgu&9D!$r(5{asSK}11Xt0;3 zm-`2|IX8j3!(%L0a2@5gDpbT?-;^-Qk=E>0oztHyl$z;R?@K1wt-Vf}1+Sw9#;C(e zWagwESIJgP?1$_rcc{h_bL;YZWUq_-qSRwl0cf5}XiE1i`yzQXi#EBjP4p3Qy492cb=TV3cg zp6&c=xOGOaCTCnq#*4@_J=YqcY6iC%Xhy=X%kvhW8uc8A_Qy~Sdz z^}#aU^I}`ChxPCrW0c7bSB8}OcwoOW{)m5t$`~yEt zjTkLm-S2y*t95)>Rv5ml^(=C)UIE;tc(|buh@_j$`86~>XWujEB%dZkcpTsa@5)c` zRr~02&`98i>g0_-h3HC!Xo;W$uZ?L0IvWuuf5|=Nkxt= zF+|S(wsBw?7;EOh#V1|la~vrYQt-B&2xFe5Zr@J|r>73pjZp>X=`Gvd8_FukNGw|W zo(QI<;Ko9v@cWVfgq0C^XU~LPyw4bM*A~!csh?pcg&RYHxxK&5HZWqfdjWJTdZ(wP zNBwG8+9^}*xeT{(xCY1^7E*@8I17VgcJKm0XldBDbQjJ{gm7g+-ra`R7!CCgT`$YA5gc_i+uMD%{gVwbevVr`zs>o&2ZCp=na1% z)LuX_OvPfJP$rx3B_G~VSQvs#7gf=79M#A+x5ht|^{xtM*ODFm9|4$s03%Y7?+L!G z5h9=Mh0%WC-j4s)3Nt^0)v?M>lo>!s#NTr|y}mH*(qp*^b)Qgwk4?*gNMe7ID}>t7 zSo(V*+kP$DzE^tpxLv5w6XZX5T$UH=L_Xn;)ntJwgeK8F9+I`EMt0mpjSkxMO4c(>)T04n0zO0Ys|2ohoSHK4s!>j&$4{@OJ{< zTT-t(d(t!2w!~g@5Uwck{xLj0pfK$x?m&}l_M#ge#Kuxk<8_wsAEw}Y++nCiKc+&W zFal-t2^0JVo5JucHf3H{9ZXAW=?c=OexW}oTYv#IB?K$|m1-3ZiHXTSDvdmrY6whl z21sv8z1j#8Zk8)^M#GL;Hv7))lO8%sbMj85a^(|3%T5QVX$Y+1aw&zZt?5ct;&7TD z?EjjyEOr}!sdPJYPvN(fTnQ#o%dlX!XCAT>xWOW84+;_dbY2-@X4{E(PqT`A*I+&pi7j%NX^ls znT+K{>L-UETTT96x3ta+94NoLpXiOjE&acedsBtk{ki9rutf}WGHYo0 zzS&GLb!AI4ncgXY9KfV=9bQyw5&g=XK%BqBBx#Y(XeSl9C?EMlkjmEf{9nD!N55Pr z6J3-)$bk>0bOjOQJij3dLSF)REvekTZFqd>C?^4+c}`(XQ~Fv&WXvgM%cCv-b!Lw6 zAw)z!_C0FVf8NsI@l={wCX*b->9`KZrh~WxJbC@dz_>)LhAu-`C45@s5eB~VlyDcO zvXc)kg)?{w0w?2;>(i|!%-E9qW`%Ztv@yPH#!$EKT=*mwkfN(1Q*TB5d1X4xBK>nR zP&v6EgKmeYKnjaS21-kdt` z1NN>C<+oTEtKj8eJ`aDF`4U1wWW=Z%)w_7UKnFM?-qN`H46rzV^nD^COy9k%g$1P-+0WW__ z!G%fPU74nR2ey70=JpPQoDjM0UcvFrbjEihNA29Da*K=KRfZyfYq56M9Y#8hL?qRH zRU>Y5#gF{)3Uwg_9l&^6JYvk3GNY|DQ4Te|n!2~#_5m^u%dG{ls*O0wLSgZQf%m3U z!jQPLPRP%_6I!1)DZXxr6ksRC--hp3Y(MZ;<+J-S*4e0r-J0kC=>?MKudpTZ$7Y4s z6JAWv6dE>hUsj95{dDjl*-#W9j|k=#(*HfpW7Kp-0xi{*GHN7*}^ScM}R zE?F{sk({S+?35IUy7}OmIG#XqlJ*uU^tBSqY;64@8ialL~4+p;u7QpHq%O zS4Byf-Eq<=PNO8}532ACb+n#B$t=*UPCm4FFavs?T91W z1=E7XShligkO9sh>(7pT6`h+G@Ncr`IN$2V^n!|KuUFazwLP2R=H#nF7}!a&dk^1;|_=44mbCs4K9{RFK>bkkNJ zhwcHch$r(vHK35LeAiq+J%P3tp!aiV=YnS1!qRzF%Ti#jvKwlW8?ihLS;6atCwtFQ z=3J9X`b#CPn13wkdl;UsJdy{~GzJyam}P2%HOyZ4x8zQfh)GE4Ao1^`1!d5PtJE|$ z^)9yK%0#PKVNYCzgnXjz$hr!(OOX3j^iOd`Own#(T|OuOTWSXl#`XLxsL5e&^sxQ; zV`g|MHMKu!BkvOA`(w^sCr(8;dQHYdR8+TRMN=LWnd;c){p!h!#k=fN)~jXNbIzZW{YKG5Ri_cbEsh$Q5qzr85#tn z1sq}+M|ubeN$CbbP>@DC6r@vHVx$LDQV=PrALl*q^Bf<}UTd%WzSr8HtM0w`f7kbP z3_DF)@)j=`F}_At8?N$-XwWWXk3TP=0gle^FMSZjMY{%gfSyq6+E)v0Vfs43B0XJm z#I}^++Dk~lnD}CNWjFz*$2>O!p4@(sVF=0(bSRtP9X>UiJQxS60iLltqTL z$Sx&tM}T$vFV_;xbmHWOu)(JYg^xG1fhZh;C%g?RxFez&<36D05k=aB{sjTg7kCu6 zk)@yu&jr#`GKJc^>meYRuO#E_{v>j1{=~o{FHmW(wgnkz9$45wU;+~3&dqRu9x@ZP zsre6h=cFd}QXE=nTEdhCOw1-raC%dW zjnayoN4k{UBMb5_iGPfdRmTk?iSe4nKUhgfyGh0AK7_TB(eZsoDX)-tFmJwgHZGvF zQQRubY2j9>bY>=$TjBG8(z|c(;ms<>i{|}byI0z`dtyj;9aF@CGg~O_1XZdYt75OW zB_Tu#2to)sXBBjy{XEdMG;!ON=5n&wcWxvEb-@;~7Ph1TzbRN~qSA|?*f;N#on12F z@i^MS$wv`JuEBZ${Tg+(PviTB@8~Pj)82&OhO0yooXgWUdK1XgnpX4 zs_TDQ7)U9Vh4pR;lt9zJGJ+gT26jK23gq|5h_Ji1FyPlnjbf17NjW6XYb>W7r`)Dd^e_Eo4`hq ztZa3bc$@BkijD3r{QOEbcJoR{ru*|&8@_ANFyr>YbYaiKEF3g2gg0|9^K#+&!p@Sx zxW=ky*9OtCZY>|v;9`vHaRRDF(o>2mD?d)Z9#hT}>z^jC=OyMrbC~WXaqsN&5HSxs zVM;jFJoh6k=EGPAh{K?v$?6E$&t&hnybd)^zE?5qr=0XecCMV`rdIW1r{t}j9(&@S zGm<}Tl#hNY5udArXMx3){esmEZJmahr5}cL7 zpDfQsgj&V~yo(4x&fO+&DAoMAROclv7>D}U6qP={lKZesJKUsCxh+uo#mWMT=1^I; zHlL43?ZJ)>1D6aN%G^CplZ!>e4o=$Yp0_yxW zeOQNbBVS3>awhf(HU#tYoF%^VCY-%2Joi9Ekl863 zJg-h7hNk!$UDpuZ80`Kv);xY2L4et4`ErbWD*b7SP5c~q*}8j_EE>9Im+@7en|_eX zob&wA!PLHPKFx=eRWrhDypftW-=_k{9EFvb zv{QA*U+Rs_%=o+@R+r6g-j{2(ECi9BCcjgIm)TV7fOj8a@c!jzG>{DvOkI$HWB&-J7#7Nw>{?V{Y21-Fg7X>Mnp z(j=&i2AfUvFp2dpa_@q%z z4nAUUiNCo3ySv6Tgx-jVMem)b3iz_=jh3rQpkH+)PFDFh;eLKLy~-mno)T<;mn|cA z`u>YUkgUKnEI~5%q7Ps9(vDn9ronu zYTU^s$#batmSAMG)9T@(L0dF|9#e3w+P*ye0oww$8Mo_z>Y8r zn4|86+B|CtYX@WKCeLOZp-Mz5f2G`Z2TtdPfDvD4*8+)pKV;35P3DHJh9=XR737wU z{N{I;9Oevej6aY8FEN*ld#oa5ZLBFq zPW9z9R+XpGJsoAs)AQ|uaduncqp1r9zt5yu-XLNb@PwlSR)fALd`W>I5=AU^rHbjV zVUcK4$-s%Z>dtg8tdN5E*v-p z4H~R#loG&$1$J>goEcFp8EWpGp37a&lK43$^GS-xI8z@waUM$DJgg(nXM3$>!3qYL zug1Kc1m1In$U@7XIz1f;xAz=_D9j`8(_|#(Z0EsA1hLB<8b%swH-vY8o0M>Lht(=l z$J}!M{lOP=kFdVzL06riSmid2XPf-i>?Ifsb{9`8cePVGz8_ZuhTsJ8wQ$7%+@@E}rCOSx0u3*}+)$pW zOgo=jxR;Y)IC%Q9^MS1ngl0dz{g!7qfivN3n@5DNbX^f)&mG=939Cy-Rm>M6!&j5P zj57HcjoFrM5R?M+4<9eZCppqVXC1YBH9n;z$T>I)UiAu1EvqFpzc$Y3WXBhsKcerk zO{G&XR!tmrS;hD4W#5kRy+B8I9vL zyDvn5#wi4qMuJo?^n}Xy#b@zX)|P);j8VxX1=={VJ(MG~(071a?@>lgiL4Bd$G^L! zdX$IL^P7AqZ9F#=MZ;f4Pi`_g?F3xl`4tfjm9Sn}?s%`06X}&jvWwHldw<)Hz0R}a zv5lq#y+Zv(^V?#)Xel4#yqDc_SfpbY-9^9I*CNW?Rbi!_^U)u~`RHxm60sf<{;=fk>Um z5_O@vIuT}^TS zdyGFp_j)7FqRV?0)y7Jar%HTWguVedLp3`tz2W`P^4Yq8r@&yH_M@8prp530js9>|h^|a?S2lrd-PUwN z6`%VGXh%w>6$EgKRVqf=-&gkLDH{_u5@t#7l~yTIe)J!lhONdX{uJYU}%y%^BC+wM6j3*L9 zpJ%(^y`H%AF=>l)tgV0lZ1!DLaG0O$XzJbrS(f($JQ`-7b)_wxdF9XK4CJz6#|EqU zR_RMeWTv?!Y{W?EDx=2ZE`7AiPw8B1uxpUjq$WfJl@L2l0*PoyNHJIq^kSwzgVHZnlkX;2M&1*k)Ff6>y~DYKJj3m7}!i#PkzR z11Y!|55|?t2#N-Rd$m^UiZ|h2F#wTY>+JJRZCy#UkEUe8Hs#)W{@}J--t;5=3@q@n ztC&j|sv4)j4$#b8#LVMPll1jo4E)mqc(r8Uz@TfoucT^B%kc6WD!W2!c_mG z)3f8#IOnYuyQ;`4b5_Hs+s@8t4;9*8`K7^5t|H=jI^>B4YNGMw6HKs{;E*l4E7bJady~&$!3y(}Ga%NjBBS?p%nL4D@-B^-?5A`Ql8~ zes>6ckq_hS%wHKMm)v}I3_jI)`syO!yYYMWfJZ^(b~k?&CAme1YtBa&gw zdCBVaa?$2>xENza+H3Zqw`wV{&C6wuDB|cYIi!h^LIZA29p4GM4Kcei+U2n!U9c!= zI8vt=EL&In-h%LqYGm@*sQJhJE;Pr5T@=B{<^C9S$Z=!_s zhm5^;-1SLNr^Ae0|B-PIu8B%erD8G34>P;|9GS4099*dkCOWPs|Jy|#MVGYqBOMSfHa^%j66{(v(V&o~R=)}8HWX4jdfQm7#PF?}z^5T~ zy}l6xqJEGOLS5S!1~Gs@>!I~kP^if+8Mz*ym^P6}M@Vm1TSy;r7X}flgWh=u6(j67 z6@UN${~OJf*y8fhRTSPh0070G(L6ytwFP?`#fj>6LTEz0E~9`7BisnQoDZ4fXm0l{ zd_i_J2IF%33Vx>iAaA#e(^3Iu$>CunPKwd$TWzz(Lcx2g?WTeEe({4cH<8aKe`{FO z#l%;b7RHY+gu^|)_<#9O;oG?fUKK$SIKOfP zP`w!%`fO!x#@q>`B@+JnwRqO~Q8bGLi(gB>vZLrA%n}CyA}GGFWmCT>ma89eXq>J1`l;e0d%hX+2Cye{7QEuF`7m&gB{2gEsR_ zxBivnl>IsIT#te5JX5pjxXd5TK6U3t<(LNy!6v>Y_()d(Vdy8AQoK=MxI?o(AXy-o zWoZVkb}3b@-%C~r^{qaIPYZ)~fQMkjM3__+g%q{MkN%f%#}yz<69*Rn_(wBgRqB;k z#8usX;{gCSu4?z!xcUUt2mkeEZ-)STySiM5vNF}%-%DR@$~U-xn}4ml8h=COSC9Y7 zE;!gfx=yY{>wWoowL1T59ohfm{zE3o{y{ps*&(l^>z^?7#DoBV%~kOKar&2q`rxW7 zy@&rgMcUh3Cl^7ARwY-05IHOW0QvuS`ZtMH{)2qtWP@vzsyR{qY}w&o8jf8RjY;p>O-A2_`BU+~q@glQ67{nWn%J4gWu zS6lOA9WDS2P`Rz?s;gq7t#}6xhnd#rXx@#LCk@r>9oy2^gCO)?%A3520+nU - Ruledeck: default - Max violations: - Violations found: 1 -+----------+----------+-----------------------------------------------------+------------+ -| Rule | Severity | Description | Violations | -+----------+----------+-----------------------------------------------------+------------+ -| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | -+----------+----------+-----------------------------------------------------+------------+ - -2. REPORT DETAILS ------------------ -CFGBVS-1#1 Warning -Missing CFGBVS and CONFIG_VOLTAGE Design Properties -Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: - - set_property CFGBVS value1 [current_design] - #where value1 is either VCCO or GND - - set_property CONFIG_VOLTAGE value2 [current_design] - #where value2 is the voltage provided to configuration bank 0 - -Refer to the device configuration user guide for more information. -Related violations: - - diff --git a/lab2CA.runs/impl_1/CPU9bits_tb_opt.dcp b/lab2CA.runs/impl_1/CPU9bits_tb_opt.dcp deleted file mode 100644 index a888ad70601491891872dbbbf48bddb830ca3a16..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3472 zcmZ{n2{hDQAIHZwmaz;W;(25%lWmM`2uaB@_9c6k89QSML&$Ej45E$5k|iNRXhaeQ z8A_JOSR#@wYLN9E@AI7VyyiUbJ?EbLKmYUpoO^%w_x&w@69gSSHvj-&0?gkDHImvF z<8h?{0Itvh0F0EkD5SqksILz$%g`@Y6P!5k)ye?=g7LQOy66+mtCGyI7uzP8n1*oO zr|c{Dw}$mUD`ehVdNrU{*PLZZScn9c6~kRJIc#EP$JTF|l6`B({$T2dY)@skwbL#X z^VO=IclpzTC~v@RJ*o9j)hS^Y)twBRTHIo2h$Ol{@&x9a+ zie>uq9@z;B;++SW$izDOiLJLKFzv3?mVBakz>P3#uIB9=ro1#UNrysF67ya;^1NH0ud` z3J>|`h%#8m1 z$}T>BSOdfesO`?n7%7(0WaJq#%@6(&KSqvdo3|f?b3EOGH(yH!1`=sQDj84bL!4Pb zF-~FxY0w5skfmL;SF{F=FMAiQ!s8X253rJp?1LZ`h5$&+#Lo;kN<*pG)b8Znurvm` z#dTd6aPQ`~mGqhpCMOpBx>KLfFaFAgN1x2W zMHqS_OhOdP3Y_9?z~}CH@^8tL`)A}XEX`L37(TWwe!Dx7Z! zu_=^(AYW3uv?@q?;CbWZi_Fo?SYg9hrb1&JFmj03-|t*;Ea1F7bS~_GVdWP^=u=?mMVg{FG#C(+bpDXgS?+fp^L5h$D^Jr*@ds{tfI*tzUUJe zyG7ZZq}QoCuWSy<_cs~aqIkcDr=KfW2DV(Ti&L`X*J}@gEh;r;S zr${B4GQm`m@kAp7K|OuMK14c3Pf9Np^G-H-s}CWgPc$S->h%S@>yed3NW+HYm0@NQ zM$)|oeLa=>QaybGb+!6Z`u*Kf2urAfCDa5;<8rzkh%*i48`RAJQEB$uK-QfoO7>8s z=$2ZufhcEJQ=->rO>i1cm~7#@=%On*o_XmR#7Gz`NaAzg8tXFZHm$5T_jE4dIbRpD z!xt8<=Kjo~Cm#Q+;jQxSKGD9mk87!x9C44Y`Eyb8o<@S)=gpyMUc)_w*v8R{-3oho z*IWW8U?1HyUV}<@OazmWr5QnEw=n*%HrbX=b^dTUH`%6(&+IS-bm^a$vi6fpk%st7GQ=!PU zQ6(MxK{O_$)rQ>7<{}CFXw*3PZ4fnPNLvI}y_=roQ@Lu$OVQkG_NQx#QEf1XZNP9=2L{mab#(mk>lYmCc89M&Mdhsd2t5+l zh%|%Ao z&5mQQ(epYy$wtO0<*jhyOXG$+uaOZs&(oUc#Z<&y(^i+>Hzc?f>I=Ji;Yh9GVYafM z9^Tmc@taC@nP>Cef2Xf%u_i&?cn=xUlb-uxXe7|1OgnsR> zHd3ciOaUTT4+YcuPIQvF$P6)kdi&~{crm7}FaA(csUYBbdD=!qtad}^2;Fw)`dX^B zF7V}cuzA{#A)yl-Q5KaR=r1a*>8v*95Kr`XB%#F$AbtXz3m%t9j zN~wB@O)fI20qy%`Q3WfEs`jey_0s9#<~ed~%g@YyLZjG3TWg=*Y&PwBxRQ=E1<@BV zst*HF+Ykf@QgNn;K+<=Y?hcq?R$x!MfEtnj?08&K+}Ep01HsQi~*ebXLE!wX2 zifXH7@Amc@@DXU)+q9Rn=SGvJfeCFfUzh?q6Wg)4(nyB${UIyb=So)nT@#bZR_(j8 z^6$-fC0!=hls><=XdK*|=3G~4;|oj50JYLKSghaDNj8%BaiRN4Ds84%sALOq%zD#)>-)vB)5e8%m6M~NHtlj-pd2i?q zDW-a?b@Z@$b{sR(^xdABPP^^r`C3S|De2S8N++g=quH7R^Z3U2L~H>Kqw2>&a&i4T zP$Zfh;nt%+ly{@QLQkRbiqbnI*=)2`M%{dYbk2PyZVfL`U$=E**Kg2gVY9c;frQC9 z5Et>0A>0iRte1(PwaR{tcdDn|D_qg^$2%u;iyo@aT5a5dl(G^=%!{Pt zoH9gga_;ir3}M4=y`-A-&4$1 zrqo=cu{WP^4d=Fe)RZIVZ4|s!zg!Lp}23MvhbLlds0SfJt>GDf&c&+fVRAk vq*s7;kjEus_uv3`Tem={oVha6M&9c(TnBSe(ehJ3U|7ycJ?#h;Z5~%)oQZy;UScF=}GiX#g0j4bcDq delta 41 zcmV+^0M`GZ0-*wsHy@}$oecmCMo8riB&aDo?GgY0l0v-`01U=Qg%p`^laW@-60!~; diff --git a/lab2CA.runs/impl_1/CPU9bits_utilization_placed.rpt b/lab2CA.runs/impl_1/CPU9bits_utilization_placed.rpt index e85b036..0b2ed7b 100644 --- a/lab2CA.runs/impl_1/CPU9bits_utilization_placed.rpt +++ b/lab2CA.runs/impl_1/CPU9bits_utilization_placed.rpt @@ -1,8 +1,8 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Sat Mar 30 15:54:32 2019 -| Host : WM-G75VW running 64-bit major release (build 9200) +| Date : Sat Apr 6 17:34:31 2019 +| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) | Command : report_utilization -file CPU9bits_utilization_placed.rpt -pb CPU9bits_utilization_placed.pb | Design : CPU9bits | Device : 7k160tifbg484-2L @@ -31,11 +31,11 @@ Table of Contents +-------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-------------------------+------+-------+-----------+-------+ -| Slice LUTs | 73 | 0 | 101400 | 0.07 | -| LUT as Logic | 73 | 0 | 101400 | 0.07 | +| Slice LUTs | 54 | 0 | 101400 | 0.05 | +| LUT as Logic | 54 | 0 | 101400 | 0.05 | | LUT as Memory | 0 | 0 | 35000 | 0.00 | -| Slice Registers | 21 | 0 | 202800 | 0.01 | -| Register as Flip Flop | 21 | 0 | 202800 | 0.01 | +| Slice Registers | 58 | 0 | 202800 | 0.03 | +| Register as Flip Flop | 58 | 0 | 202800 | 0.03 | | Register as Latch | 0 | 0 | 202800 | 0.00 | | F7 Muxes | 0 | 0 | 50700 | 0.00 | | F8 Muxes | 0 | 0 | 25350 | 0.00 | @@ -57,7 +57,7 @@ Table of Contents | 0 | Yes | - | Set | | 0 | Yes | - | Reset | | 0 | Yes | Set | - | -| 21 | Yes | Reset | - | +| 58 | Yes | Reset | - | +-------+--------------+-------------+--------------+ @@ -68,20 +68,20 @@ Table of Contents | Site Type | Used | Fixed | Available | Util% | +--------------------------------------------+------+-------+-----------+-------+ | Slice | 21 | 0 | 25350 | 0.08 | -| SLICEL | 11 | 0 | | | -| SLICEM | 10 | 0 | | | -| LUT as Logic | 73 | 0 | 101400 | 0.07 | +| SLICEL | 12 | 0 | | | +| SLICEM | 9 | 0 | | | +| LUT as Logic | 54 | 0 | 101400 | 0.05 | | using O5 output only | 0 | | | | -| using O6 output only | 65 | | | | -| using O5 and O6 | 8 | | | | +| using O6 output only | 40 | | | | +| using O5 and O6 | 14 | | | | | LUT as Memory | 0 | 0 | 35000 | 0.00 | | LUT as Distributed RAM | 0 | 0 | | | | LUT as Shift Register | 0 | 0 | | | -| Slice Registers | 21 | 0 | 202800 | 0.01 | -| Register driven from within the Slice | 4 | | | | -| Register driven from outside the Slice | 17 | | | | -| LUT in front of the register is unused | 0 | | | | -| LUT in front of the register is used | 17 | | | | +| Slice Registers | 58 | 0 | 202800 | 0.03 | +| Register driven from within the Slice | 34 | | | | +| Register driven from outside the Slice | 24 | | | | +| LUT in front of the register is unused | 17 | | | | +| LUT in front of the register is used | 7 | | | | | Unique Control Sets | 3 | | 25350 | 0.01 | +--------------------------------------------+------+-------+-----------+-------+ * Note: Available Control Sets calculated as Slice Registers / 8, Review the Control Sets Report for more information regarding control sets. @@ -180,15 +180,16 @@ Table of Contents +----------+------+---------------------+ | Ref Name | Used | Functional Category | +----------+------+---------------------+ -| LUT6 | 37 | LUT | -| LUT4 | 27 | LUT | -| FDRE | 21 | Flop & Latch | +| FDRE | 58 | Flop & Latch | +| LUT4 | 23 | LUT | +| LUT3 | 18 | LUT | +| LUT5 | 11 | LUT | | OBUF | 10 | IO | -| LUT5 | 10 | LUT | -| LUT2 | 4 | LUT | -| LUT3 | 3 | LUT | +| LUT6 | 9 | LUT | +| LUT2 | 6 | LUT | | IBUF | 2 | IO | | RAMB18E1 | 1 | Block Memory | +| LUT1 | 1 | LUT | | BUFG | 1 | Clock | +----------+------+---------------------+ diff --git a/lab2CA.runs/impl_1/gen_run.xml b/lab2CA.runs/impl_1/gen_run.xml index fdb8b46..f988e68 100644 --- a/lab2CA.runs/impl_1/gen_run.xml +++ b/lab2CA.runs/impl_1/gen_run.xml @@ -1,5 +1,5 @@ - + @@ -92,6 +92,20 @@ + + + + + + + + + + + + + + @@ -106,6 +120,13 @@ + + + + + + + @@ -135,6 +156,14 @@ + + + + + + + + b(`_R=hE1`kVUnNsIa+x;5R`7|0A)zLh)f#LtFar;I3^ z?P13Py6Y_Q@{rd0b1GQg(zVJx8@lh~ATt&kR_^08Lx?$g!%5&d3(hOo>?u4Z0mse= zY`o0@n7lo7GypnV?cUs7`E$6Z#q1g*S>ZI)PlIELTZVn#s$#QHO*e#e+dVEd+e0q3 z)Z$iy2aX3k+O18es?7tZDuzb8fm6FDF17ZHV~t-Q#~M2Py&+3G(Dqf%620|~X1(?8 zc!BVhJrcVbC%OSfhdBX8E*_A$YJN|>_47JlBja0OBd3n&>{XxLfkwq|urbMRa4}1V z9M&2i_>d#gZUlI=ZbW#M6XC0MuU^P8OBYh3V-BbF{C|_-#Gxm<`=M>P+46yGVSnB^ z7abO;{WUS2kl!};8&}Mz+E}d1$Z#xeStK3`aF9bQKQqKWhPN@?u+PINR#fI;{5LGO zUQ#0_TPulS{msglsJ=E}d~DFw?hT}f(;znZ%d@AR*N1@e1m7C(x!3keMUJVYJmJ@} zVV2^ys3WuyHfEh6y}qD!D5n4X3%9O?826ejK|oZ9?>z3T5;qsaVZu!_Y95-wjE`>I zR4AJs1H-%yTp}w0j(xGJR8kli<*GrwpeQ8H+pa`?B1pIvui9*Hi*{;cYm7s~k|Uw& zg6Ub_jY#*JQ+$l9x)rQjbp;yP&3EF#s_K@XdiK_Y=`Zh*AWmRW8|a%iv!9#C0A2J%&Gl`mee4f8pDI zaD4&*Hemb*Q~iZs|G@@-;l2OBqyU&R@E?r)7dE2$qs=r{Dsiie^>-T0ByxvqF?Se_ zbMZqZTpAxsC6phl?yhKEvBRJ58K@C-5=I;{k{&_^d{Yg8lO9R~2LhYNET-Yzn%|Z8 z>x|s6ltRyQqSZcUI`Xk7G0@IQ)DI^aFbDZA)E2ihbS+g$NCl;uwAvEcx0k-A!?z z3=0={G*q3884}M~Teq;`W?HbbZ)8Nv0W908;Szw=YHFkmu(TTM%T0|Is@GOk8tYG% zt*tB8R#{u3*>-YHR^AGAKm9wNJ~(0HtRDI@ybF7cE!*@}LBSPjLVv?TxZJtnkUcx% z9P=Sbfmp=fLrB$@T4&1;#)oNayS)q(+kV6N9W27WcfSEkDU<7meSU?w>UZ-@r>mxN zJ+`B2yx+KzGh=-HKH4!{k!1p3V%Ywmdsr(lJ zy0qsE=H*XGpQ1rTv(Z;?A6yFg@F_u#(<&|cEsM)gCLQ0Floi2h&R8JCh>84W$9mfE zwdSYzytRUEB+y`p7b6~8IN%TK7x=4MJhkx@Z|lMR3)=MB!JJ=9gC?U_Ek%(nzbhO| zc)l67LWP8wycDHcN;GlIm=!zvHx*cAohn+O4i}Cxv0(;8pAB0@mg;et?GEeUoV!|2 zl{rXE1{OtP$!&}QyKRG zkP{DniWn;3BZmaSef3VL27IsnA49KP=jC{h+ITe@M_HpS~SM>GkbjZBm=UMVkPuj z391RB_v_0&OEspc(+>zNn6o3kjBuEjIJk5cGM-J4*Z$=37=DegZB~>za#!ej`L12s zakpIm$vUJH2WgVz?^Dxzu##_WDM6QO<>1RAaNWrAF?b=t20x2C#me?|SkN`RcrUk| zJpo@aMs-)2R%?0rvX12;=phZ>iI3c2Tl*O#MA%{1+Pz>ST<86W{&L=N&`9CKnI?-U z0ht)}v4f8|9ruC8?!$^7YBA4Trw^3V_d*5Ine#~?Tu0S4IP8I0+ z1MhadJVHcK;Gv20z(gHAwBY`<+#yX1mrGOcK!3G$L4N1ciW|-VeGjpTgu0faGA=TM zCCrm@Bs8@`6)(cExpZi+yMON!^+3Vk`s60{hWS3BPu5t8pB}*j+-oSm%+T)#9*uMc zpBVk2%N;=8_0z(kOf+i^HHwpk{P$#z{$M&ave_dT>9lP4S_36kqlxrn3SVCuy|Q7; zIrOkKA9=|MpjlEn$l67TwQ!GSH|G_&E&Q#x!%oVNkGp}X_sepCQQ%I#{qAtbl8fx z&~pg=te}iAYintVtRN)L$EelkRZH1&)Ub9nO3TY26|MjrR5J+pGdc+PVk_AOTLCXs z0JH!>-c)e0--BtE#h|Nx{micleJ9NkhO3{Z-<&F42M|I08L3% zTY`wDLUIyKydGxmXSnJ$S)}SBzem^-Sa%H$=`P3U5~d$99kidK>wdrv>#$gTD0XN3 zemi%BFc}T>BpL;FdQ!iZcp#;wctO~Zh`9K*>I))pk5J9_b8wyUd%-;knxATuaIYN} zlihp&qO%EvC_DMrcwc~`j2I3furF{v{AW>kuHRv=9bSOo`~4dmxuIA9m@grG|1{_e zLShdG^R5U8!+nzi737Mpp-u6!qpV8U5+zl?WwmbVd5{1d2@R<~h3{uFs2{}M?9IXz zOiI|B-1|E%q;HkLZ8MGU^NBjM%wW)eD1`m_`=vYH^vH;r&oAnI&x*2^2L8SS?sA)~ zk^E}N-}MUg(6N5(+N~23-UU^2lT)Dr{qVQLAtc-gI%dH3#$8wd+~pPmX@vl=I~G`Z z_|+gGS&Xl3qU0Bjnpn617Tk0haE{+TP%I@KSCk4U8P4+ug!^YSC~r=k@pXmU9r#R3 z=I+G|)^jkh_ze|{ZF8eS(KS1N6xyX#LAG^sqkhpJhykD?^H}u@IxOn;hi^dC>%Y`_ zV8tVB$KeEFTKkfx1LF%e#6aT;5@G()#g~DK{`?fZphKhX1YF5N%NZ`u1}CkqGyF}tzA5{Ly9wG>y-Ya(g?U~{Zs7Ri0=pXEd_`l)d z_x}xVGb8ygo)o&9^-0cUVuQqS`=DI`I`+;4$EThoPyj?$yp)~769G$R^GCR0M4@$2 zK$418qNdU3yDmeN-?%ViQ74sS&Xb{0w|Qm8ELapkVMu#XBS1KT_4W=?lK5K*O8SR@ zqY&AFLdS^`12=TV0i_E{3R)u;T1ooDL6H%O2on>MC!XOoin z^TVJhNZ_msiTeL6!ovI&e6RUB3ZL+9%WNIZ0E}WDjRf2z9tQ*si9(be1SXjk2Xul* zCdds59d?n=76>LOK@5zI(jXq^Ux7V~BLq@mDrg1vSGpRR#D5v;uk;U6fV7Gh#>>p# z^hD{q;qYomb#T*j-MP~{ZJYXFw!Om@uD4*pXJ5P${nn`VC}bSfvsq#s^(AaD`JR9` zi3MYpKgGa9{tO)5ePi4`{76Gc057mbuYzLw$yTT<5I z%JxI3yR2OBpmA3^KqKC-Sg@%o+>sN zOctz+Z#0O#IW!AG(E_nUFn^xF!4E{$3y%#>oTNk4IuQdt*HN;`q!G z%J_?v%7De5SfMH$w16XrLo}+{UbH-*L2GHeW^GB# zU0*F_%uz9(WQMv(D;gc7r)33~00vV=MUyVss4IIvImYx+gNX_%m*P%67kX&0@ zlr&IQ=4{&5QrzQFeE+=iG|%=@q^RdHF-PmPN{-M0#?x0G#H8@JvdOyE$r4=~mg;|W z(2k_qxBo?EN7itOm_`**Pcj6t+)SeeRY&x*@T@BqwL3bI>Wx!sRy-z|t8ua#gjG)D z7-gPSUcQ+HdF=r>Ci#g$=9xmK;Jks%V1q8^@TW;zndtIEN4&5ke{W)7-Ue^?@=|Gh zpc{z3Q1JD32J}w4R8n<1nYG<;a~5;N7L5pLu#JOp&JBYco5x&T{_Qq9^bVU;l1(C+ z_0C{B*^pA}=xo^~Lo^f0O^*Lu6CC<16srnTYtc8Ay-b|&hRXP+)n&mO!Wg8mGm|u~ z1tdt1JEE&V6B#%_%Iz%Nl3{VS3{U%UHf*WxVDXz&f@Ld*M)`S^O9~WL8 zT#Pjd;ZduODd|G$iPvMgA(^HZ|I!-du{Osm)-gnW_$WD&5!PPDFL9F8`HXP9apNeo z3tamW^P%oBvm*O6AC~w5Jlt^Ylu_BiP;{oB1&~d~KA`KFSq&B$ozGI1vo~#*9UOR% z9ZR3UfHSB)bq}|rQV%#Mt}i-YosSQjZ4WlCOl<-}bg*|A`*Q5JWDcH8?QFcBUlsm0 z1pj%+09|<6g^iw8SMviq)^OZzyWX*tBhXPr3c&kqY}8`wg~}{sWOecLI0bYoI7qfBZFZd{?vx|B9bR+4gi)^Q10QvJk4AfRQ_bz{l0LtRW_Bve4aZ(n#pXbHDwpfZ%rAb(4>GIi0y8!nK*rQ5)*m#$%_8 zc)+xg&5`)8L4kN^@3t*VFur%$na-Ouu;szxK^59@XL|cLdg>q_j|PsP?-LDu%Ljfd zpcTCEoLv&=%ApsHsvJ)_&&g0Wz)|lTxOCr7w7PC&*Q8dx&^MvVtn3?{bpL^6Nj@6sMIeGeK(Vpi-5Sl7vg z!HC4j@7a^IFy-#swVh|Y|4O(vxzM^t|_aPYs{iaAO^N~N(8AN|l_fK9`Cm%T73 zPRs&yBfj*42flc??Vo!gY;Ssg&aI+CXBx`A+t1~d-=sT1>jT$_C`9m~U{qLvU{n+V zU{tJvV6!43AO}>$z_;pvm7AMPa2faCa?cFd8^i()^>pK(fLbD&!IKF#`~)*!76f6n zA_C4}3j>N?nM9D$_T&I!)nxKGnA31N=YPgtk#=RW5Be~-}L7i;TcTUHt zh!-A%W0%^JrVeF|&9vp=Gi>sE#oH3KYy~(%8n%&3QZz1FZN8A1U>Tl7mns3c+-x9( z90HVlx7F>ZCd#HfA|H7RMP+@tdjh({P)a1%3gL$>kvr|Ou{QH66lQc_%T zfNS183{I?#nYopm4D-DLdi(E93%SE?F}gilUv(OMVR{CAc3V*&(sa*<#2y=>E_`|& zpJd!)>Jyol!n8Z1Yp=c?r@W{FJN4>Y2O0HW{T*QDL8^#>=#r&Qu1#ZT>uI^zYdQhNrhF`*iF2=6!3zZM+e&A6BM7I&J0Stdiu zai{Fq(stx`Dl{q>*M+4L4OR*%gx69t>TBuC`3*r=QqDvM%4pL;3qZ41sUo9erdrm1 zLGmtB@ORop+T9h2KZJ{&q#hLPqRk+OhW=1TYy@}S?|LVw?k1%$NKgDJ5-%i z8t(Y{Wen|8aCx#p0y(r3~oXv;SjLGNNyf{>LtcPZbJoy#i-+cvv7qE=ER((Y~Iq z!R$O5bQ!RsA;8bcT{{a-?~31AXsdf!>(o_t=3foDsC&Wgq?_D@UpKgGbk$62K5HE~ zGCOTxQeLqNotl{rN3&yYslsO$AYFmW$x;(NSms%+&)YW>$6Bv{H8)XSi=wmpz0=Gh zhx*{BaoLe6WL|EB)dI>M~E~`%0uJXOL%H z^4AbMu20S^n7LS}EvBLW_SaVRD%6U|5{r_B&GzuB zo31c-CxrnzMp4ufc`l^Np+hYU#c| z8{(Tz^|?gH?%cl%y5Z^>-jJwqrLmJ+(r;uv1ZkmF)&ysQ3#?WS?l;A3$Eed`3{U}v z3)DYT$*)_ZuLiev5UXT7_eHYKra^N3fmu^rLxP14)Nz!Hpj8SJJT-0vvswd#jV_1* z_OESe258>`+BpAd!&m{@>o|Z2>;H+UumvFfxafi$P%ZN4Fqz2*XmvWOT!F%RGFqFo z6j1gxwUrX$2)(cr zD7P4kdPw-(#6ZB1ddeQogvqZZARoeC4pm}NMg37;&PC2{ASb0usl~p5KepoZ-26w0 zLH;xhj)?y~1cN4iFFE-i()bX<&>Jcssy8cdOac56j=A;ez2%5vk-zqIn%ISMiI`)D0|Ck4pFgw2IYf0L%JNLU<-zWVkl!)aQUYmv1YRDfX;B!3B$Hn{WBVwzV2H{i@{Y_?lk1FH9YKd+*3ya}(HENO`zzd`{D|Qw*N$hq{^b_^!tST~J}eaM@Ycs7s_K9s9v`$X_pbdK-dKG_-`FD;XLfnZ83C z*Up;*Zs8CVjHz_16C@@v*@`ZyqdOJY)K=CHOZ{@$S3r8y15?B>Vjy96&}!?H8XIb>w+lls{1%)6r+|!S z1e}S7A?KVRfCAT%r3A01XYmVrnfec0<~u?B-g-53h)#EPNG;>|CfpgCbBNq!;;8)v zKKK9wrslxPik)qU3k>%F1*5ESn`=X$L27CB-kA&^#{f5Ywm~8bCA0RMWnbNd!OHc6 zYJR3ccHnd!H3bo~c850ry#k=%MgM~~0Z<8qKm+w>1%PhGe{@Trf=BJ~{h>m16eR&8 zOKJbl$UyK>2NuuLl6h*L5g%tFa{+s7zYp#nEtedfX@Ns?N6GBUx9O!+k7ILRuMMuR z#TS#Cmx*_SC9d?ZZ#_Q4Jlh@V0(AU4sw*saBWh1hOR4M}wnJ#}v`wXy$BlZFsdh0l zGp1&C-w`QOAEpK^IIurhGA~k}Agy@2yLrE6)}A#;I6YtPHbP%+_l`Xf4qgXl5(}l4 z30=P&96$13qU=`A4G$CUYhwp?5{2BFpYQja>=xf7JV~f@-|v5yej)z%WB#aqdPB;9 z$NM7yANc?CF@FnNBS+Y=$*D=1ST`v;sVKJz#)zj$83ySoxhYzyNr#CsMn)NW=0z3` zW+f_l`f=IGu_|f0vB{an25CC!=}|fvRVG$dCIu#7L!y3&XhnCddC53fp#Sb&3hVw; z1n>rkCcx*vUNYclY#!lk?6-$_ZRhEhNaCKk*Y&d98HTDivR-vO$EoJoDt7nI6v%(#S!N$e^UfW(FlPPI z5N|vH)a$FJLKpUSQ3@&4Q3L)XU7gN9@mHl}%4j>OE6m8|L5j;NIM`LN5X1SbCR)|o zq6TI2TVsxpo@5aA7=45M^Hxxw-*vkvGjroP-fPQ&G<{S|iWc9&zshjj*(Zs<8QzNA3e(0T%&iR=2LL><6)-=nAY^Cy;>mVMN+4l$W zqdNz~%&%I5xOPWP?8#zsRw~o=IGbLA1d`^l@XbQbYs9bZ-eP)h;I6y8;cpZ>*85Oe zF9^0WC%8&#d04RZ_L&yZA&Km?)Me(xJ^%e>&jFbYwF2h2m=p*I;s2a!CvyXPlVnXl z`%QI+9=CQvubqwszW)bqK#{+_ljJ8Qw$HI0%lT#BNw#GODW|)WrAE3Fcc;Wo3RlNg zVkL@WY$YT>iwX&Z-)3MK3V)ypq@;l<8{|S{T7n{XaxjubMrP`XP97sb* zCF;f5){$d}7mrI2Dm72!;ZsKrA6-a8SS8AhIc8^|Mi5KUwT zqK4h5)XSAd24X5%<1tQ|$UVvdOx1|WlLa(RG=vR2sHP3i?p2?V5oULZrAY)dyLXHV`Aj(60td z#YU-Eu9QuuB zQ*WDhfnk+0W0L5DD=-wsd(=2xOT(2a;cgjGeXtSv#sxwoY(kwDuvw-261|X9PG|WO z8Q9|HfLTRtq8F}0wvXIuGKOX-*ye86MC-Px+Dw_GG;CM>%$=T`^f9tndwqRLRqES zgqxK8P*Ji2m}$K*iHSR1y@i};_Q3&rA$Z8A?1dmQ?Ye!)zmPw+5nRa4?m`av7cvAh zYQT?mq$SL%)Ku9!UcFFNPUBExwpMj#_CifLQ;lL7nMBUHWVzTT-_|DGyv{=1H9gy^ zS0+m{Hfh6C?ZF(PJDaMIwYI|ZkR*gHmwmpcS1zQ0WraQXu@eec+8 z65gfaJRDEMgH+%1g>jsohKD=~ue^5?RoLS$It}mfDCE7Ds6BaJ&!3^C8qZ6?pA$iX z8F-&c^1+!cmg-ngR)m`$O!dQGV9UYT!0Cj;B)ng!7$>oU4+!rRO}W>>Fgz^q-ZVL1 z$D>$jHK*`-U`oRy0&kyo4VbBwZg#6_ZDJ5UC{!Pt@xhzmLqZLq_%vF+d{zP;6)I9k zpbtKbjn4$Gw`CH=fsY6koxrMG&B8~8>Mb@7RZI2C0q%qn@G+b^SDLQ$!p8+g3U^_= zaqAF#La6@QT(dP@MTdn>Kt<|s=VHl3Vryl$E#bg*Fqj3JY zuYcjvPB|nW7V=5qe!d~(XM}u@d|Jq_2)R*yTgaPaT7Fu{XN9~`hhp&Pr@rgX4g-W_ zNQZJE9*A5h;$WZH?IR4KQ8@j`-@3N8$k$Mj{DqK5fp!G0Yk2=_r3i@=%Lh#riXn7Z$|K?M!BvWfyxleR zuR=a9a4)WvyFGI^JPS#2RCQnGl1Qp8SrhXgCh% z{`1ifzP>XCfBE;QItk}K@s0mMk8n?uaN!9W3rw96fz#p+UzlMj1|+8sT1<_I-C{iQ z*6>s)4i|oDX0?$`FzuPkk6e&+eM@~yRKOZv5)xnOB~>c@Y_ z+QK+~?n_@KZ9OrFVLT(Obc56VJ|iQ17|}>}qz8?J*vPs6vW%R6#WS+{6VFIuy+#K8 zM$Z4YW#qy$UR=(7eJy(yvy$!Cw#zSiE}#1+&t-uwN7v#q9Y!?LI}-L>Uipn>Wc8e9 zOZU{E)gsHUbmzChNtcPlWWO7u(I#Bb}s+O3&5Fk zUI60j4M4w@7;o4v|GO_Sez%qYI96i(pS@=<`4Zztp3C9&x}5R5yz>9{sr23|QH>@?mQwU-sRkPpl>QQ7d2GZ)rRIL*H%nlIOCs zUY9pmx77zMBWM1@mz3Z0j6~OKL|IAsuw~@j%RVD7dq#TJd%sBk{c__x7VdcvUmbNp` z`);d$Tub)#Sib#>z0&{ne7o@TwX8JkX+uT~9y?17^F-W4EexU^Pvpl<{DLUQgiwwa zp&XsdQ1X#c@}4!2w?UMnLnz0MP>xd&q!vhGtVg9288nI529SP3FUgu z)~J35QSPHy19e!u3hJnWDAy@oF;y^L8&#|z%H1BJ+y^pBeP0meV#1zLnPGpatOQZ+ z)YuyJaD;Nt7DTyKCX}0Kwnj~J5amXgP;QY~mfG4N%FS*Nc`{W<8^za{%f#q5Cm(MIuoB`C&S6rtQ-aX6@-vQc~$3ySe& zPbilGM(NtO(J(}VV%$6v%562H)JEHA7-B&&?tK`gu82`8#5Nj+cuf43`$NT<*G$FOM#JD-CPsY?q1^GXKh)vaXc&?~ zF|KBWa-HMJRM%`Y454|K~pkv4gyBW{gOn+w7Hc48!) zHk+qo%;P-Jai;hTYG8^}TPK*}Q=%OsN%w?KGQ|g9r`Q7TuudB-bToCZ@r9CBXPDxG zrX^B6X;UC|A5xLDDS=uc6-}FxsXrWS~H?h}TEc9mfnoE+-F^@};-eR;+vC&tt zBo{ip)kso_)Z2_C6&1ZbM{DOosjp^=ON-vY6clC-`mz{wj@l2--oD-0JXzi~xv+fX*!-@!(k|PJ z)?zC!r5@YlXiKVm-~H~@MC?Ajce68eIeW~tf_$Y5U8lS5Av>%0b!EE&MRva)@5*-f z2-yRAbeps3)?N4B|KOfoU3Q#=2K7DNg-jesXoFtrE@ZNSgtR{1UC1N`2@UCcy9=32 zAfaJ>cXuJPYb11qKGt2xWE%-xsqgA8WYUX-HtI#~s1u7P7V;dwQQEzN+@w!pa`+jp zm#utWZq}!+a0dJw-jY^px6J8x583KyHw$u${;U}8rf;9TN`Fq+3JY%Tvcj$U!2pl5 z$K`F)4+MNCm1AyE`U$bo z8T2@xa|)J5dA)vCq#S50E-y46I}^04Fk8u2*Q_UL_K{ zj|jd^qB0(g${rq!$rumz$VkF`wJqZaxd|o3?*ULt0|XQR000O8HjIW&HmQ7C00961 z8x8;f3;+NCLr_&YVrg`9E_Y;R#g##BgfI+6_dN~7@i)nJAd$N1NF8a;-w&g1x@ui( zELemj=zSBC_ZXk|_uEHb-vCn#UW&b6&1QmzL#I_MSTXnvOtufKRyj5?bhm{)%2~gD zr=xH(fnC(qF`#k(e`}z4X{`2#r4Cqe~@6aWAK2mm&WhE4(bDMBhn001CH000XB002W!RXJj5 zbaO6vZU6*8`@dLhcvdkuMM5ATARuO8Ffbq>ARsg`VKAbA7eIr4+&TL!$Yfn7E#!V? zx@o|Yygb%ubG3rcpeh@Z^L%}A+G$aZSsy%=Fe>~Oh zu=4(c(J`VZ)_LF8W#FPCMoRkGVOei{dNIP>MqrTjT8aIhX`EvOA6fIsgFiI?Sf4o8 zv7nQ$k{pC7HVwx4&ts}GP+YYe!Y~_=5(KIM$QM5m2D&L=mik&n@~nN%aXYHj`x_0=)lno6xhr};0yi*Kom#q=4CA5o`| z@{ru_;b8xiOmfdTH|fpIR#f;+uNmk* z8OrYeOuLhtJqrLNR~g<1rnl(50jxK$hWnFVZeKRAe<6-w`lZuwZFmwMwK*g4QFe*c zg*2pvnVss8us+{=D9;sY%)-X44~(!>6(Fa0m>7t?)Z+Qss|42TzcNY`&`oV!m|tC- zAS`Q=c{aW$g zmn5$bC5w7GwQWDm%wyU;ew$I^%h2|riECHvJSzaA`dELYix>?~BDG3Fzrw0~-dcJ1;ivL%BCNx_E&Di7}4fZ$ZTSqY$B zI~e~NhWccOE(1)?n=@&>bMZX)Cf=+3Ix`$Of;Umljy`@zVFXR6)I^FKmaXla{m@9{o{7^DHlkgK;*y;yyX%^@|-PhJwjdx zV_!v=vnG+_dQ+^sjfItfYFvryJ)X8bZN<8Bg_AsO&;5TaDg69zEdw<&2r3yL^$yj_ zDBfS;`}qXa<^t=_U)=ON9?oX`0F;mK2hOqjQK@B$mCq%}h#Qu65D&*v!TgMLjW1=} z6~OHJsP;cWvB;yOS{l7}(uJHw8p=6IVKpP~f_l9)2tLgj%qmvVB4eCFg`L!?*tE{x zja^7+Xn-eV#JASMlw}4sqlWH$BU%Xw|KS7YTPdzo=8&;DxD}5#G`|9iVr0b|&Ka^qPj^55owSyq@OJqUrA z$B%WNnW)I8m1|7`(I1h{mo}jA3_<3bQzFSin+OA!-ZMO zM1zOWvYq?jkKRWZ`ZR6rA81=%_bXd}X}`PQ|`M*oj zi(6`j%9i@;aE;nB{?Y}i)_BKIaPG}p;ZBCFHMX6Z4}c#SobqmWl{(K2xE8Req>s3e z5V74M@FeZvZtl`jdy)C$e1<8pYQ(C3DYXxY)Wt8Nzr~TCp5i|fYvcnQ%7M(JB*TK{ z;NRx~71DxJLkI9erRhn{m=ZK2_m%F<;v0Cv4p1U^w-g;ppnuzLUvqzU-Q8#MQn`n@ z#k$H22_+cnG~{IMJ~+h4%_+cXNAD^NpSigW0S`xFBDd-n&*Htf2$w1yQPYX2)X5&x ziAU6h3veXuYsX2}{sNi|$s1BcTQ$!Y1`8TgkxI<@dU{|iKa{H8|MKEweaj?wziHFeB60aLKK=$q7O;BBxL&dg6PQ?K ztv20Q$yCpeWHu56fV9J}(D8d93j~$*8yhwc)8h0mA=1E9EMp=~iTY}0*-p}K?IF{y zCjTJiHjUTEbL)ZMtflAv7BS{r{-06AoA6GTpdJ1;9nx6mb8`VS`dG{~l0so$6P^eP ziCHTX@Tt@1&^{M@P;@dgVoJ5Wv)SU$FVT(9c$83rHxBvBXWN^1rf9bijDoIfakpb` z1*;PHEGzs2e}2ai#jYJuivOact7(Od=9N`UW1*naEN0&%dM_OQBAalrU^3oS?Nh75 zLwn=+TQDY_nGt`Cdk9^3zl^9z+-0a7J7loH8XgONL>irAbir&!WnfC^1C(iFTr>M4 zb-zJ?PnTT`-~bUvxnB;}*6?IWd25W2VTT}?d~P>x2;TE7ww{`*t_%L@37)oc0OlO+ z&moc|GCa4Nk1EZk2#ojNrqfh*$%a0*?11Hsd2ebnKZ@#WF}$=5-s^MkncTX`PWZgQ zv30US8mW6;&l)+xF8YK3n`fecVuJd?cM=1E#jHNFp69cVjZP{TZVv_-ol@NLC@+$w z1=BIHZbXiK@X++gtJ^?qHc(=O_7#~KOt_Jcxy=9cRP9QPT#iYHo(&^)SjGPGo1tj-q%-2`l2@j;Vn%o>|ckjhLj4`PFF3o({c zL&s9Z{Ht<{rm?T}4gf7rU8LFbLj;iMG;iEd}Vp=6F2F4!|#iToUK!d+Ih zNN|KdVc_3NZKf+XN_x88k8OWBY}JmDjImxfNj3b*UVFCdjhQ~lU-U>`SwoB>%djYN zRh4z|bTFp1wzs%C>#ILUnAGrMUpz6Rje7EOFodboI2BaJbp~^U5y8+pH&`F_Qkza( zJ`B^DA@;71|7o&(3tm{436v|>(giRE_(4<5wa`g$P9-={ioifk^)Lkta#Sey=AN$G zrtI7RzxpKgt!E4zUO#zB<2y-9<+tplsm_c*^J@h<60G=gL5^Q0Q5%F`_m26T6xGE$ zAw*VtH(EQP@t=>#3?L)lIq=XP4_H^Ytyc$>nVpS4hELEMPxc?-p8K$GR7&zCxOj$$ z5J4_=;gS5Qdv1ZAb!^d$q;)tnPuXtIZ`$P(Kfd-Db7WKJjH)R#ps{k7vAUNcN3=yL zewd`g#4zqhlo+r)XDewvaYH&j$=g|^0y@{BbKWmMIqxYiw;%%CNiKc;VGK(w=7eU! zb^3iOmAiAmRtCkpv!DCDdvXJGAT-K@enL~j=E!oZ*(AVR@l~fHsa;5v7`)by4p-qjfUTUi9ry1*Q^Y@k=oviN$xvHss+ zy+VyGwfcd zbuEw~^i1$j@oen=XPKU!qaE9Otg4zTau_qE>$%hE+=_#fOTV7E$T(%g*T;`EB6q zk;~R0It=W^ZxB4DIthT3Cjob)THoe^;XPf@5pGF&?1dw=`vo6Znk7Ef18Q)&qkhk_ zLo)IMl7~0MHs67sI6=r1KKW(eaW|{L7F0>j*ns7gWKSaRro@OdJ8aelLa@<^@Xh@* zdw1aav*I^I0ccrcP%Gj=rfQDWW+O-)KO4bLTE}N|mgbBi zSQN<_73L*bI3tL6KQ6}KFj9hJ!e}7^D`)Ubl=#*bJ2T||wv}Z#pdVdb z`0~U z?6NO1WuM?4bOHi3aTfrBGn3!1rjIZIaQ58d&-+hOrIJ-j#O*eISVbPQ@GVC z8xePtROz8!DXkLkSZl#GiBWd&2%7D{4wFz>UK#;fZ)JqAe%z=+@_G0WoF3x*0mIMD z2`@{VvL-cEwWOMgZNdfFm<_Vq%{mJl(aJ1q%BW2@1Zj)l7p z!IYmmlPIQ+D~P`o=gC3#B;gaG#0f9(I@_<9nI^O|s5Q$VHQx zUGXS~qE&}5qzLE##N;Z5J@=eb=cJonfLMo;diFnpw`KTD?meuJ4Ha_-(> zl-~nONBui`11MM!-yeDBDFoZY z3=1K%xWr`|G!lY`);SYNIERZhWrx1zAe2h+V#rQ1L;NgL8|mp+PER$-wF(`^g^cbB zW^A*YG3Qm7+Lz@|W!t*S25^6>$Zkp0PFc^b^9kTeR78kL?sg!ej!QM+9YCaUbDOSn zvHI0m+8Eq*cNn#S(2!*hCk!wee^&vBM2UIewXrBurig4`24*(5J<0|ykMUe$8pbpK zE+M!YOMCIoMpF*)WPBN=OWOze(72sgkZ2D}@=~lkCOeS}OOLyTnS)5VOcYuv*Z2%4 z&|K}#k^tWPb9SRsr+oL}n=L?>Vstp=L>l$|)i2J*xE!nJhmT{c>%) zXWclvzv?kH2+Dv$?1L|G;ih-aAQ)8dy5j zngfBekB}2FNA{ zNOdw+`dP3L>3Js@4qUYCAmrzx~J$fv2d7ttI#&a%z@Pb z46-f*@fQd#?LEiP@c^teOe{|^??i@OWNd#kGF^rkXtT0r;%+dk)ig0=fb?0oj{x}( z5qi?}0M1+RuhO>s(WAOhjgs;2lQ8S`3yE5^BX7{%B3uDjhdoEnYcmRi*_FDR} zx9H!)p;_tNZJ#xu1wjCD>DC=#93Ok83r%UYJ1ips-uyB>-5h8@_t3tN&9Ua81M(u5 zUPXlkWk_(1jeEHwFbke@1g@SMr^)!fdEAQUPaeuvivr*QoN|G@)xA{YP=kJN5f-|J z1BATVbcX34HN9SAsv?X5`6duJm92pv$msFv;6dWU1zT+rt5D%Dj=#K1tII3re*jWT zk{U-9=@a=rM!w`aF3#kD*3e@WoQ!eP1Fj6W?bdMMovtt!?56jrfX0AE9q7OqM@H3o zuTkcF&oHKB2dG(tOJx@PMGP?pmuCl71AIEfb<)D_ueg%_JYjK6YPip7voQ% zZgXsc7@#ZjPa0>1dV^=`hn-N(gyX-Fy&SvN)E8mUv*6MS(~&ne-#z2$O<`rzt$|gu z3Wtnjv7_Ra4Krx%1aFsk$cLOAh7?0ntGkPdT|V|mO;fWOs@J@9>Up80jRgMiNtCe( za`&RJd4_90duhe76wW5CC)Be{EGC0l3_tHr3lU zJNYeXev;}yf|elIN>5%8Oz;pyVJT2N8nu&Cv&v4n*GQR-vgMzW0T6ea+Gq$D$amU? zC#ySIv5r_Y9Whb1zTZTb=W?kSCuCYN$ir?T@HReL@eCs^49H~a_c+&+A)F8c;){71 zIM)W*G*1p(k00LWUjW=wcY-L~1vKj*Y_dIgS+)N0j;PIYdvWX{TSlvyvy#48UU)Gqi-IVO~%OgYyn0g{lD zj^Z##yVw7Q#gL*d6sD*SD5XAFBni>q--NGZYlU}sHj(5?-hy#69j`eT;cWZfT2>l zh|lzV75J#=s|f;7ie@ihLFqz8Vv!m^WJydq>=bgix7V9&8GIT2i@;Xl+CiX*ZCw>r zduAc`R6pJ6q#9K@FqZpo|O$kPhcr~|fz z$6+=|MD<(jNR?x^49*UI^aVZQExS*L10e$F8pBC`CX^YTZwhD~GL9*6Gu9i6?G{<3 z&R*oKTfKt7R9gFQ5Cnc&Bjc(Js`@3wdcL~7RW*$a5tKu#=NkACP@O$^G68)lu0ICi zh9x4YUF?-KH~Cpd4XiW7>@DkogcN{4Q`mXOcBN>G;Efkjd7xe=*TC}8T6TJ{JKCqF zd!F?(MWwOi9%Ax|y@1hz@?`L;ErF$^#oZa)Yf#c{QBy7ZnJrMir}8B3?wEKxx1@m# z$1VCAly$gFa5p={YCg%vj%~n2{VlB6P1CH;nJcu?iz|(ye(@~@5ME#^s_FQ+D8+JW zF@aNp+3ek%K7aeUVJgt=FWm}NL~Gegqmxn97~+Ku)&{Z5z+D_gH8x|$Znh8^k9F_D zY>m(IRg`XQwP^q^TzV(AAM+0 zuzE72edRCy(yB{uK=2|5c9-Vso5Hu^EapHBMD@ggsLg(-_lrpQi~@}hji$+~ z9g+W_Hsz5dNcwH(Hfpu>8A_*vIydqdXD+}O%Fregy z-VW2I`hP`Gb&iK7S3rXA6=NoQ{&~-vzluJUDAb?0is{9B-g@BF*=SUv4_)+_#({sLXqm z&sg)J5)wf&nxHcv=q$?L6H|`+6sC5r@i#LyO2`UjBk}(75`@e0=B=t|gc|=ua8`Vu zgb{l!7p&73B$2x~8(OAilpulVdzw6~EJ z0H!{2;tn@7rc(A#!a(t7Q2j0TFuJ6oIAAaKj7*7@InrY{HFXe}zRF~5inUgr&_{gy zYH=$|!Pc2S%x-DjZ%D}~^E!zOy_ZvToCk8QgBx5W5*|q=)XB_|^D3{rnY zS0)cTP!5@cwrip8V;tHv@9@uYPDU0!IC34`S03TjU*g|7XNyvlI^ zKLdxk&*KcEX1}$u1Tow%PC@upWMG1+M8L4|DV_^8n%dT&nhQ%NIvHoIdO1+HJ zDS=mOD?Q#T*44UP{__=2Xwv)#MnP<0M9 z(t8CD6|+*W$sknQDG2H*uGNk7gA2BBh)Q_!?cXjB#gb5w)_N;p?|BIECpv&>$>^7f z_qL-imgTCY%V37Yie`&*6e^U4fnkVG1y%u43$fGsQ4n}mo7wl+G|zo|JIm5Hk=3x{ z>Q}qfpP{rbvKR2c;K%nvqVqaLp-f~UW;khN9FL0#bD1!i3vbo(m=_PupvU%1SW4~7 zZ8nLZx)aBm?{1N4wk90H((WP^eiVr_E~CEgYRumBnTIV|GZ(mDPS_>!TgwjqE?N-7 z$dA=OypT->LK9q_0~l(GzIrX+>jIE1LyM)=DOGOAY!!9GCC$gUzV9zoO0Znb=3|m- znUh)KuJ1*%xDwJe71}=)PAq%)e*Vv&m{iDOcR$DMfN2-}qEo+HIF&IQPLe&=|JH=_ z0$q=fM(*-dm`}rwVU2`Z22Pfz7dhim5OXq9aa_7Xo3XR=Mzz6`t&;io=Q_C*xei&Y zqYeJA6Af_Oz+_x#CHLm~Mb*2^UZ0K_qNQi9lrJ(uB*xSS?|yG)0^ko+0$FuyB)l2 ziv+D_iZs+msYoN<9Qj}|yT||tSP=Xrk)tJv%F<7*wT0UzsP8Fo1_j!-D`Ptqqkt=* z2}QfaQbtPu8+~Gk95|P=D$3tEM#Xg660vy<>Erfh5o2lXu3idWz^NXuJ|aa(?12T7 zU*b<01qjp~sst;Zw#f5|+(p7>-A(U;=c5BAl4c1mn6dF!khE>>jrWqKnP#` zKYLo3z~_v&jcXhhbhz(c&^Zv0Hlf9dKR-BF15e81ZJ3Ates1Jr(dZf`Nw6au_pm6~ zopj?D6UbuupvXrooR$OIOT)j)3iA4b27~6inw7+*s|hZ(n=*hO0nQ$dXlviS(w)x1DsvrF49|m3wX7@=huyE>vAi@RPJlm)sRtn(l&^-Amr#?gRTTvqDfZwrO0tn!$q?9oF+pN*4qC>I%eH$~t=ff7wLjb)v#1JtfLsL>k8F+_m75#LB%S z0t>-|W~e;de!uM7&mUz?A1sB(nyTMYVzq5MeXll0;#zBk%8b%_6@zo#|2>*o?*Qok zAk1u)8Zfj?0@~z2hGRsg_ofkvH}wGQ{$`>(dKDrF@ylcNWaq#Vp`@u=Q{b?FFX7`T ztZM8D)u5AKX&oiP(klIKRhFeG8~ekN%3~XBjYVb6QSwP7B4|H};n0!Uu;Rf4gUN0o z0hL%QP0qe7T)6+vTw#2P*Mxl5;7uiwyaetoSI(ln(^8P0LxDTAA^&5V>PC($x7%|V z!k{orv`7WkcsmlSwF=}|Lk+pQcG;ty>DtLTm-kr=!*TuZM9}WWQeq>r3en}iAU=EY zJL)l%2-oO(s0DEh6Z1_K!Emjtq4l8bp~lK4cSb%g!1m%R5v?4DwA!0aw%?p5M*a!qQ%G;*x;j?un(x z=)7G;xJ1;5t{8)2YV=`{i+he&QCqi|dxE}^f2G};t@NcWl^uDMu?(Q8T#kVPv{wws zZ;v(%e!@N!3$-R0mu+BkDpo1>i1~z$GiT^g@ZIJ5$!pYC>?8tLZlVtM)|qYewYuLR z%hmRD;Hn9wF259RmvCldRPY;zM-&Yx-m#cq!FC+?L~*xLG|y9NReY{gwxxzE^o$fc zVQo`9!YkNQw-6d>0jQF3z<0NAnKem8~?2rq{v9oB?F zfZs`w($}=1KEX~CbQ8qj6Q?=o{&1-b0x59kdhbNB z0+qKcztTtD6JI5y6{Otq$Z-M2fDB5bL4eQRCV!dl}(vhP2gC=wn!?lvM&cSK>+ZML>|5=S82B#n?(+Noc zG{s+U>@cH~i9hzx!Tt!71dmGlJ61=jb}15SVeDJAKb+JEA4Qw-zm%#6(DDMA{VrC7 z{0h;HDiMoU-L{=m%T(RybTaLXRC%*!N7hBJ@rn&*AhzjYzn(tn3i~vL2M}6q?p&Pn zYh{yyWa^1Kt(<=tBswJ_heIc{c$X~%`9|R+Ah69;eVdF`$St7+kXcj%5w3p5QOnHHu~0Sg+8k^8We>BCTut4J;j7GS zphmQKwbHsNU`$!(5lk@ARTo{4E9*Cc-)1>R%2|i-MEjWRP|PIvp-z2tE&E93t^yRSG%W;>9FzR;ObN%)hp+~^-jOG?rW_&k2iR8QW*&*7~v_Dysl1TB;2m{!va{B;!pN`Qp2>=T$(R7iT@itD6ee+fc z_=iI3AQwK*l%N~0pX?0VE(urB=|+odq34aUw|z(zM(zTWiWz&U!iKTnhff9isyv0? z7@ca`%+NNJ(b}jRMzI8Ht)+!B#a5tUTf9gy`cBQQN9%{TZ6q;k!bO_tC>g+`3pgAM z0<{{l%4+>l0F~^bggHCg;-F!Wo4cC0@RF!Yup^W+orLWsR@MenCDa9KjUJcCjqLJk z$?*wbaAV4`YE3!K;v+TJqq);qAmi8R66%|$tU7tegq75>gK&~d6sDCEeGcC0wR0st z*lBO`cLmxhaHLTP`D0aR78&NMa3C`;8pGZ8&~{lY{kf@|LB$Crux>gu<_k=Fg01$9 zttzxYm>m8fzR|D3bxydAD9)}A4ZJd3j@le6xSM9G-G4Yd41Boi&u4c2nY~~R3>qz(*({g_$3H0E7hEV2pJW$IUdaQxsV5 zPsk|1sD{w9Wjne^n77ZvKv^+C20|?aCKCrzT2A8>?0SuYjVCy=qCS*?;OCuyp~?>> zYQf#QqoB?GE4Q!87YT#7p! z+}$Z&IJmn*p}4zSDemr2ptw`y(*M87_ubyvY<4%9CzE|Mv&m*=o|lD`4kDRcjp6QS zJ1m!_IxrBUF7<6{ZpLw9Z38QZ;5PmR7g&lZ4nw0X@20GXdt*~^Aob}{eK)bB-!3w4 zWr~@hv0~{K){i>Oa?z1dal_FPym|_tPOR8r4>1SlG+?J9)I45ld=HX9kAJ z)p7oJ-9vZqGL0C~dJ2y++n!mIEefw=B08zaKY1U8Y`M6MJHz#3lK3+`oQlE?FF;oY zuLxyHH2M6}(d zW|Js4!RnZWb=!E{l8Z8Xbtr)j-RnniKWbiOvTgzt7Ks~O6B79fIqf{QIvjEFAhkth z670?V4t{vI{9c1dl9VS6x~5_gr1bKhin4S?tsxF;)nXG1cC9eqdPqkf>nR7W+dSqy ziK@)9F+E0K$?HK`ESGZ^&RVE0$hl*>0fAUtII%U&LEIaY{jf|`PbG*=uBAu^!F&HX z-O@gVXx2Eu@?4*O1u!s-T>IH=%u5*f8?I6?3b}a6{kzC)tPvwi97S(&)kKIjM#v}yBqMg|dh#v`_ zn$p&x|CSP*+iS8yiA`|jbF(67Z>2)G$&4iPihVvqC7FVUW;pi|2hHCSei$sBM_&~A z^_O92&cq9Y44r|P?1hI~@U*l*T#yg_apf3wFGppX02X5(&WA9CcqZpxwXTrwpD0!R z6;yX)8ogUUpG0_LIMay38qtQznL3%|iNcb}d|G zib3=kjg&n&PeH9kC(A^l5>5{)hxra8g`fR5aJRX7#-2y{BqnuwQvI{Br#+6N#|{RB zw=a>cVLVOdBVBKaLje5Kq9&+kB2YgKE_rV-bsOgI#>eY7<+5XU0%{$X8|aH-fbhQ% z5&RTMW$W>5C4r)I%L~?&V{=X7YUqs#M1FftL|xU7>_3GRED=mXK3;q};#jljjN^F@ z4r$CkWWfw}qGSH0X3M4!e~$p#7ivWvN8LRmt@*gadbV_YjOX1${bX2Q3Bm$J3Ddnq z4SG04Q=gTQqC!bj_A>Tsao4JtpmR-=UjE3g9s+jS1{rsZ?)JVw}eP5EE?gc*7vKdhr za5nCdi!+UOp?x9vd2HFoy9=M6R(S4(J6CGg7UYruS6w=K=3`)dRPA`(o|L-4c$J1P zjVQ?xV$9)WFPN#|ORs^xd$c!6r!*edoo7-JC_jZycU`*wEti@JOuQu)%^+9f<_K3g zEdIc7#U|`Yg2_K}|EQc2Lh-E#M^W2hF;bfjOorW`HO`FdbHz)HAIRM~h@$LxWg#d_ z-IP?XDvO|0dbvOi5_hiK-#}~cLdxR7()vX+aeCVy1^_)5mJ!dP(647oihbLNa-Nh; znh^_3J4I?^QJt~F)3IxMU$kTrRG^p~;T3;MFIJ9De&i|7($opXo@)6!K0_esfVHm5 zD51m_t0&1q7OG*T)W4PrE&rR<>soGiJ(L<*-V^@gskGUFZC&$VGTY}O=9z+a`qm^)DkI+vhVU**!H;DzSo7M9b$W*G84_nCFChxk2i*J z;Es-;+USJMr#rxxEk=qI1K*dTtE^wsk+{4fm-dPXsQ?<PfSpl%T zhhh&?yD@ISH(knXvR}Im5I}NlVZZwEo>)qX>$SVn$4n`R;e*}Npbj1cd%<=W1;;r8 zz53b{`?m~LfRAW=-EWnc=*-j<7P8}wrwEUcLU?1~Je5V8Hvz@9rGBqn_YAzyB@kAb(IYYd~D?s}A~e3|a6fsWhU`yq2Y1cNChu zZHBuPXOO2#zXnD2D&ttY8}~u){PAG9efg0vsx=dxt7iIMnI1U#sWD>1D zO6OHQnB#&sCf5Qxf~s56_^onzK64q0qvv!`B2N!IL_V{sH^i8Tkww zU=yzWuD~-W;Ly-QQ}XTUU>fBK66RA%cCs-U{l zJGJrdQi?mH6wPLyoB+oYGPjgmW5jdg$a+bi%pq^tw4R-xz59LPz=yre@%w~29pi7? zM_T$E0hCp%0s3ccDyAxo-9O-}sQh%|420T0aeB~~OS7!eyd}nPF39dT^Orc)XQGm& zoHjI+=GP_WU?GAU$+8|~>i(gKCfuSHuEVsk_1Uqm?5VIU^Re+EAkh~g1<%|&Y!ZHzlc`my%~E)0GD`iBCO++= zH-U7;yrHmO2;AOx@t@b?T8v|^c+`+X-%M_PL?CfuC!8!cH zu8ckM=G$l*4Oh{mnJZSrwEZ&0drk1~=7#-jLS%wt9?)+|f{leLB$rB=q2=@5zp9o8 z&gbz_3cYx&wVe#dITzZGVLCiX2?$!Y!u@z?pva5DsQU&yZtrwBS|Ncc+=2_b!P@CV z6z>O;Bo}87yC^r7S)Uwi=woa!MmLnQhgmj?Lc`vAJs?F}!E}3JIpoN&JSM5U^gI>h zoIbJj@IX|FsC`Kke$qoKf3_Re{%{{9-A&f(Jjum~+N6P_$tkbhs?pI6@Mq>bS8Uzf zAmqaH^?2Ed5V`9od+-hm|LK`g%lumm`uNMk%82|@OPcW(G1zGlBme}M@deaUr${r( z8l!zUo#HVS;Q1Q)N_!_(Ii6D#W6O%arHn!JF%E20+{vt$3TWPcJwHN#h{R zQ?b}rODE|8O^44{smwEyj{o33+g6od+Jf#MDFrCtpV8)t+A*`<^YGzM#dPW!$MJCkT)teok>ETJ1v_$a&z0B&A zohb;B90dJlslS<%PLah@`~t>XBCW9Ke5Q4NwO3p|@%f<5^nIra6W^e7e@^8$y3oV% zR}y3FbU|WedabCkh5VGpvdq?sok)j(_7mnz@Az+tb;y9rnL|?R6~vMcSR=Dl7Y0Kv zZdsl4v}nBvh;`P`TS`HdW-y4e?o_d_(1P~!1v)_7oFr@%%vBGWg#bcYlg8(9JM1AU z61ZS_F9lbt_wK_13YeAjp0o8giGtEoFGsQFH>wY=wjQiR&=f{POlKAI$3;*KWqjz? z*!Y^?N+&va`b7svlskD$LYvy5FpVM{ipLMCBAo^epIGU}-??;rGyd@mJ(}N~d0%zy zVyr$4H^7nK z^fz!mKf~+z0_&B!;lwK7``jE6Q|JpbTu#(LdD7}W`69l}Hsa&P6(OFO-YYYO1Cl#; zy!~t|F8{;zGlBTFLz{MeJ8w&(0_-xaC3Ar3Wy*l!%H*Mxf8{a zILHdb@K!f1rZTQoE(d0QOT6$?kFSC@NX0x;zsC9E)PiV?3WXgQK#f^wCrZP7d z_KnY{{dWJe_nyTfa0b4B#nd;0pAR2BjLw8z3blHC!X zD*tA(QX2w@H4Q7LDN3#tG#7sYO5MY=IZ7VFL*TE-ns{w5R(D83*qw*r4Yczi1u}D1 zldecZa4}0-MJCF@{xEVl;HFP3MH5l0=aaLH+4-kfx|Ftpg-^(1kRGTcdV;#n92nEl z+oG`?05j^$X`8r-mL6;Gkweeh4v6!$tcKnXhLSB8q63bRj7_;oqq*EI(49Xe$}T_VWg#~T-ffx>5tTn=vmdC_*#t$_=iOEcHM)&#)bTM}nifLM z+A{_8{1{?I_I?d#y1AK9z9~-W>{97z;K%qN>rHH+L zp=|ijx9$*5_7!ykW6rDkT0#Y;t_N~3#Pt~@%0UKMf5Z&C>+0D7XQwaUa~4gxB{@*f zo{lJTuc_a${5{b`4nl+O=01a0rO9f)^deRx&NBF@UgVx8nK|gwT7N;|!zvuL@#H## zrg>%}Si_PsRFLU*%whWK(|D&)9chn&9KLz~aO##yzV&tj9Ug?YI5tm*9~y;; zQomb|=tld7nu%myAof;NfmTk~E!GikokbYUxs9i`##=r&f%=`)Q5zl>p`Wo;$ykMIQ4I=9(sNKfYXn3rzDA!F=kI8YnrtYQMS5h-93r zb&lwON~{}7@7FjOyOsWHY@KZ?pChy@M}57W`f36MJrm(Clfd$Pv*LA;xZ{jDA>J=G zFfE{CfAqzj&(mmLE4m-NtPvsojjW^E)Iz{rbCQSe{Bg~=U&qZ6WbxIZD1u{Djt#Xf zu}roV*ss9sB_K> z*j~fXCmSwog^KCU-ES{M>9xag(kRFHdCe|m0gY3$8wYV|R3}ZBCeMc0(l@2`1qE~3 z+6(jx)H2*{WlQU-MhgSY6tAYAn8A8$$CWRO>SYvHKax_&q3{qUh%VBqI%0>Z%zXxm z^Aw4we+;2e&4Y`7xDIYyn}_T4aikGQ!YOe;Yfve28STYaM$*Oh_TI*Miv@KPEh1%EesIAQTVh^*}e`k;ox)9UTpAQZ3xnmv`$w zt-!^tk6V5#?A0na_6wXAm)I2X&%IY)ej`>xjQ%bF^TNWLEx}{Ru#Kx%!EYA&>ggc! zkW(-xp2g@=!@^j>a+TWha!BJWj$z^Ux(!s9psRy*g}BqS(?eCDu~hEaVFH3x`+KeV z4~oYR(^NJi5KoE*o0SM4EX3p+yhEhA+M|5QkctLZFHz)IVW!gxVA{3no|*C&@<=nD?b}}Ju;DFM7 zD7ti_fcip=Frp1zW1kmzlYiOY0A|MEW#QrSs&z&-Ts;a*@6rFSQf6ypZtLz%CFAiX z;6eG9QpUj9#nl+#rXItp*!T7u@BaFQKm&`zWj15EWagSsXjP9%}8l;D6}u*kyKlXBLBX30z{VcX#Q+9u866HpY1UE}$K(s5>k5yZt`1N61b_^MjLDC5F)d zjS(AFoG8Lue0tta^#30t3sW207-j2T7R->1D~9o%V69)c`L{ByY{8$mR~L0GmOnCw z78Y`6Jl{nU@ep~pjqo0{9YhZe>#cY%li(iua1jZ8oW@%pi3P5im>t8&nN$M__-tHD zo=fb|jievG-)Uk=#6?UV8p-OrDc0%=RXL><&kUJrC8wb-vpL52T=9Lvq??5|+!5G8 zc7*cjXTv6q{6I>OxJ>x^91~$Uj)rspDZyT%Q^%gdEp*UV%PrOLI>91y&i`2%7wI`& zuI2ulk1y#wCtTH(qmly&_a1|}BE5r}H$&3bqXM;4%){@z1q>N_T7X)ca>>SvM2TRp z+TYd-Othy2w*ZHk5WZ>@J`CBjk!)+wHi42HBoqV$=3A8BLvTffD(q)+tpDj-5zr8C z+iw0_-X0+u1OMEcnm7PFY;FFA=4QdD9YPQgH*nDZF+%;R`d=vP?INb6&TDMx;tVh| zHUFFZ+YJ2=87%TI@{cF_Z}e}i^B?q2X$*uC{TKQsdH$RH+fn>q?hk1%_Al~3#^S%( zzvWkd*#Ew&it@kMHx<_3m@XvXLTb)O!BHC%;x>Spx74IP^(M;hsyCS4zC;l^I|Bbp=dlS!!QH5;e$H#ttCs8B#M`f(YD*SHV&US23!}0bV0P+4 z!`g#8|1LiVtQxA=4BGz+D9<10vbYiLW#%}19i@ZC%c^eE30Ch?+eCe*E*I<*z3j9- zQ`Oy}T=kyMXsS2c`a=9YB82Nf8;7;4h9Utv6BmVf>g(`G!I6`#qRIsl%^x`v=CP|M zbBy>_JR;+WM87`@ltxe(tg(>9b$fqvapQ3VA6z<-0aNBQl;Tj9(PIYA-SJM%qxj4k zy_#lx7u^}B0J3^9F5*zdZ_hp{#?y_s?S$olH3jc-`D^8YwD5xcQ61fp$GyD z5p-LG6;!#5ES-Nan3|ZK`&il&NG9ArykiCN+pHl>v_=d{2M$7DqO`a=Yb33AK^CUQ zQ8iDtn2zQh-Qxy6UD}(fVci%EC5tA<;Bjab6h(|3hDSt&O^ii(x3y}$FXDIkv^*HL zb-&~^!^qbt-Vg9^RWV%ZZEg7K>M~p$9G_+mwk##je!Pb6nvzLm3Nh(cGV<>$ zozlae|0F%rU#Dk`wX5mYV{FEXXA3rJe&o~zmBE}dARJukx;eGHyLEjSnHu%p@}ln@ zo(x^;o;RPJo3a2+dVa>Gr)X7B1Ef0WdB;o;)v;rz~6 zGghO$xmO8vwCXgL>+kzKx;EKmH|pupt4B(2T#R>A1qrVgc{@G@u`;)=` zCnL&HHq=oTz-jyWn%C1-ZpZJU+CN`kA{T8e%JeqX1K}e_1EIo7G)?_bTA&;fAqIPL zk^tv3nbvI*q62YsIC=F*yl?}pjN*56ICDgd))g&~a)V3UjLOldsg&9%Ob*Q=!2zN z{W+%_(nIer*#{s!Ju`)W#EzT$)f$=lW2~LkKES1{W;}4`k#wo(8JgGdAo@O%;C!iD z(T)^=IXT8A>61_Os(3q5I|xUu4KVGuIN zaj`$~BDZ<;B9}D}-jU^~e+l=-jMuEUr%x$wc8dMdBQr01ZGSob9_(6k9r*sU2h;a? zPf{#3@K3HAtY=^-c$nyfc-8yXgt2#r?prnRp=W+?)b!Y@g2C|P^RHDLW|bZOo{F{1 z!Oq%v`gSp@laqz>)IqDauDhPl;g9d28=f<5gZZSN`CJ^1*07_A*iba5Pe(w!@9I41v;ziPRQHqT?H>UeM^bP7+Kx^VqHT%f(LM zx<$TaDH28h^4;F!4h3h4AFFW9o`b6v=Y(-E%5B>fpj^WgkPMiya(uY+)YW(fUG0)7 zW&?pTYXM6ZXcdU`z3lcoqiek{$!lkYixw9}O0r}3%Ev6^Y3}JgGe~0lmKY5v= zmGv_bCx_@7l~m!BT&{h}KjT7O)sO>*FR-%pbFoI(7(Ga*dO8`0z4OWRaR&>@_xbDX z-bXe++C40Ery~tJt!|x3M5je(yl^pna6~^06%chW149Ml+i2@U1!o-Rwx-mc*HB}4 zBCR?!RbB)giWp)V$p}4sJ2j>wJ&s@OPOqQcHfB51I(u3U*h%#CHV@Rdj)DP!g_;x& zx6{Z>Z|5@}f1Vp{wX%4r=M+(+BC(Z~E6mK7iM*B?bl&@d8c*(Nnh&B2wiv}>|3U*V=BiNhT2mJYL&Rs6h5n%$?`NN*X zmW6v4mxTq>3{kS5v*z^dP$lhQuPJ4PE(8l@$QxwIjnfBW=2@~y6$1bjc?#_Ly5uDP#unjqdx>JKSAgSJ`{+6Aw#pP6D8A^}oILdYu$EZ}Z;i4?e7a z)l93{+V zsiCzX(paFD0;Ka#?Do5+xTYWUhz}2I{k>o&^6d$siaG>JI>vNbPc_Fjz_0M*CTFbuA4OP@JxNvHNg_R#^`kM!y3O7=hz+27k4e%xUwP$UA za(B#1wWdn}-`&``8sH)Re#E{sxqe#7^>yX0?C*0k;Ki#CXLVhsBqT*Ec&-EAj9dr# ziPSUCZ`hvV?_$^c;fzE%%*KG3;_$1Pmtp8LQFYokKm6Ho$?a#PAXONu?ln zh~Gcp(Ut|m$ZI|9?a-DxzsJ&mt;mM`f)>K`-}QjuIQdn(V0TSVXej;5G$NJS2;7xo zEiv3r2f(-ZVuP0#MKoSn*QB$IuQ?igJ^&eK7pU_I!mt9;)F!N){?(GSZ_zmx#GZ`@ zSG_`^PCYZk)6gbGrPZ58fQsN)hw$GuC6WK7R>#&skJJ29c)xFvQ;)$0 z2>=yJ6&Y;q?rZ;AZXX+vZHB|$V=bDW8gl|Uv@P461W^$*NiTOMU`+yYsIHF?;A3zh zCcoog5NZj+kvy1DqG9KJnK9)R`0>yM-b^I&G`eU|Y1JCxpcLc@(FJ%NCBW_m$iU|@ zD0*XDe}*w-zmfJi-v>SlbAJ~IIzG#TM3~D*K>JyULM|gtB9a!v7v|u6>px^J2UQ2u z)beSQ^d2c!%U2Y{=GX@n#&VQG;6nHhST;lN{T3s|ttWYHq{Z)!vyk-kf-(Opo_Fsb zM}g&tmeHs#6l7Tw1UrZJ4fA0p3>RI1!bk!vI*bgQl{^IM_kRQAmPkZsXolPjWPQ#$ z*L`%~KZ*1)5ly24hTJ(Ih(|c+*gXU`@oHk4*rFw}h@faKxPXS0>!?-h1^%?*4$XPa z^|tbJ)GxaXEMD-Q!$vJgM+*+dsAac>#v2ju@5k7LS#^YgFD+;xy0}bu&{bl_I}ik2 zf4~y+mn_+_oobyRAaJji8Xs*9dA}0*_?6 z88Kv=X%a0FROHxW8os^U=#H;POgOut`?Aj&J_Daop@;Lv~EfGX^hPx=<`WfCz4=?X%kQCEnN)cfU(;EJ{G zKdj$o^uPe=p1wg({OtSCN8^5G%C!K94h0sq){Vuersjqmv!ida5-iJ)7&C}Tf=sL| zcKdef$zKQvs%tFD+BRQRFP;O~Fg>$lkzVwD^-2p0xIUYQV?~bWTrPd)uo@*bq#%SW zV+<{7(Ma~HFo~;m-Vks)Jky9%`N>O-afV7+xrPr&=yFl&hSFbpI!R~%-{RJL@emTm zQie?u2m^wO+n|-GLyOSYzojpQkQ%0!`BSam5&9 zf8a@6i%PUJnCzP@ppc7KK*5_=AV@1AFQG^l4c~<_yK0b?jIdkH5biT*C(hY*aANwC z;{f=shTUs8wWIM3$_!j>H%oiYV88cDNwM{Uqi3%51nd(m6v#gJPSJg>0Weh_xHASBo2(PQ~T8 zmyie}DJusfB^v{uBIu;iI4~05AjZJu(F!c=Igc#tmDUnah7RgR5ptRCCH!DCN}TJ} zPAr6Qk0ZqH{pPgi9WO1H13~LBm^H|-5Thiz;uD9>o>FTW-jz{M zELIwU&BZ5*PQqM+Ld~~K+xL^oH6$VhKl8KJ-Uw#CsM)Qc*79TTPpbQT>_)(f`uB74 zKuNpdVohA|7eo(#5wWv~?nx1zt;1)Fo?2B__vVh4-pIon9r?4R!U*VT*AsU4EAQ-$ zg6j}=_pvYJ+bb-N?Cy@gnzU8;rlIAZ_@H>aeojI&B3HOZjy0@o)1id=(G=Ric5=F} z(wA$p5%93Jg!s`ITY?agRR9FBfFL&zL}3PkQ2&X(fe;Q5^2Z34hv-7@%|Q1zGnSy7 z8I1iw!>F?_4^Kv#6M4n;guEja+NC$4F!^BkaAr%3jrsjok=cM}AG> zQbVc8&{l4XYqD#Q2u^D(_$~&wTbI44sh%3nQIW0EuqvOcr8sTTUn%jB-dHfX7oPQ- zZsDAQKevIH$ZK}f$MmlaZ8=wvxEp&(Qo=`Tz>BndWx-2JELkf|ks)HQ4?dDH>_xE! zuCN0juHKL9XRC!&sL+8$kGU}PH$<_tJEtFxz4^&6r|)Tz zo7G4)#$|yHMU_bY#Z8TE8Z=?w>c!7NouATMbvbaUjGc-KFopG(LCle@MHv-9{c^uTF8KLgXY2}ICoNFnKE`&<2r#r!9Z}9)I@(9 zhtrxbbb)0eM*h$k%+M3nDyh-uD^2?*s03@S*5jk3?9D$GQxXN&-wxC-g^)A6)^e?M z2YMa(UzE-(IB6&DWN-;i+m?I)#_=UsD-x2}FnY3LrOIeZ7Q8tTeMG6(_Oyoc|iW04^-kax6Hz(>pbq0^>&7dUcvLWKUB?MIN;u3AUHP;5kteW4L((qXcZ zwM?I?q)7Vo{bv1Oz^*+h0sG?uSu}zLco{-X-OaOpH)}B`NrNd?hMR@uU_K8BFYnY(KYQ zCKqkBOG;x!H|;2@_dM-hcKK-`iVNWwn@;=)3rm0VVHpI!R(q~`wqJnsgG(@2*h?10 z7bu`-t^L;JD|4)!uzIm>(_n;nZ%UlfjGDat7_+Pd{VTDXQ95-|%8NydzABii_3V0G zT>PAoeL+1z!a-E93>4>u8f^!eWwpkLh~FPO3lMyNB18>A=%$^p5!dL3!;H4wni+W( zQq#+V?BTjSA!CMBD1GtbU7`1(r;F zg%aQv-5*;7W884Uh9J#ucQUp1OSR)d0FV1gRc(Xxcb49q3;>&L989hP*K6Mg=`$8v zLDxjOB`X+AVvbn78QT3gr2!SpQfu%3hLO$G(S+w|+(*=+IsAG&w{@Fp^k=zTCr?h<}WWCiz_}}J{CY$qQ0f;r2fDW?X_m_@To}%DM>qdJO>_1d9*?ww~ zzyh@zl^&#!I3wG=ez&@$Z!nMvZHK>1OtG2|{BL!lZ;53ntQc0=7GY+?GSprDk09+c zU}jxNWFN>#WDl$;y>Ll&m&Dpp%qTqH8ZmfDU}0;Ox;#idPlmwCklXBuB@SG-&U)MJ zH?6ttYL0mj<0j6#R{~x1b^Ye+;?2A!&w9J>CtLl)oNa{)(g-^ z51pIB_np;iH|>*P;Z-9v_oAZ<7sU9zuP+@-h*R?{r0sH?ml^%|Je^L(Y zoYvIR>b&q(d%fL^iO|0id)2(UIpN^_?&~QoIauRf^IrLQJV{F(?&11+%a;ODxV~#% z&1oBa_8mlcEt!~>u`fMof=%srJIp3>IDUJy-;rU&!cm!54X(5PldtA zMW4C?z~+Qny0Po;f!N%7*YD%^4-L>O+Kd9fmPjyPO6(yIOt7ZExIXDQieCvh0;mU@ zHyjhAhab*WTZB@7cpo-@02d)`59>%bKXbei8XwkPqQ&_7H*cOoe3bb z`bHvoF*IqhB&nIgTKUQJo$=F3V#KL`!9!UquY{vl(yr@XrPSd52RCR{gVmC6@z`aX z{Fh1%iM0$0pgAi$uR&$aY}jzSO|Q~$o43TrmKU1;Vt;9P3&zkPGfLV`5h`>Is2~q2 zWvAY2l~deo5~crk<|dN4A+%VaY9znDa_hhPO`$%=&S$3}R1XEA_ z>FHq!>6s=|^49CHoO3I_;=pO)B)6_*fn4SDFLXnI>%dRw3Zjvl{XHUDeHo7Y~i zdWt>o>^8F0GCOi7h4&esNwiC!07_?|OCJ%_B-k;sG(P7+I^Fr=k{N2~>xiK**@4JOt^) z7|2sNF!f<}qjPv#PslZ_S&YhkFesx1D}f+*x5XT8;9(yrJYXZKmZmI3Y>ZHR9c_~-aP(aKT5Gog(pZu?y_ zqJt6Vn1Nfml&# zP*wUREBJB3R00MgY2S-;Kkv$pmYe_v)x8`lG2}5dO?;mb@fwV@G{czE^*Mu#lRshR ziX;U)d!REfTGJ&eNLbe*VjTr&l6R#v!j>%Hhn>r5t6oP4$?#$SvxHUU4F)t?Fa%@R z-@C0}Rq0nbM}{&X|AM> z(bkYO3BnIJe1pGOv&27BOhHSJjc{4XEU#{nDU=Hg^Oy zIhN?9lCo$PNo&BKI>SkK0Ba&uUHErl2^jHdOan<&Hjm(aVG(5G80E_u$?Ix$P4oph z5u=z8Wbl)jVi@N}B6lQk1tYL_93s})x+ZpW{b48k=pykBV7yp(Gg>q`Q;0xI558Cr!bA!92$ zgwqobt$5CL89zhALz>&2dun56RKFF9u+hESYS`>-lk7D&+d`*J(3uU}mkm{NA z`GvLX>CbunRU;{n53}2o)P%KKuAh*g@)j@cPeBH)$L9VrRcpGIA2veIt4@{=drGbi z7X!RsLWzb@T;AOXG?4JvIp(O?kjRsX9sot}!5%lj)#qM4Zj(SG2U4gmKqqhtMIW{^ zn`xpeBg1L6+LDIDfd6S@Fq6zdh7$}}3?Y!%b@t&|x}zCoAt96aef;d4%^ENkmFBnlZNW?9bQ@-g zkIzfqULYPD#>C}`cqGO1wGp@cD!=%PQ(o9IXjx7e3rXY=O!o@*x0N{3C;IliG}L_Q zn0h8dCqMracqhCt-FV0`zkByq#O-EBhb5JWII zo+6r|bB4BT)|YpQq@fwEQX%N8McY%`@un3Cw8#w(bnqzkRwjXVib| z6#FGVI!RL9P6w@WFnctB|7U|&Nd%|bl|iNFHx9A<6bj1J6vwu6Ma32a15Oo^)MWGB zwNX?1qaBh0Ivcm-ZDhdf%Ef-{gH@ZBPIYPvM^&QRq5W2R2ew;T*n3V|#?ltwh8`oI zch^hL{sO5tef{a zVW4QJaSR(0R)MJ1gY?`1ZR5bd$gV1)u~OCXL*TVL3!4S$t?xRy2@erwm?>!3;&I1d zErdh0>1{anTXnuO1c`gI>{?5NSKlFiFr4LmX)#kAJHb>TGiqwy=<-JRNZbR!j0wqZ zrsVuO0SFVX;ooEZGCS)*c$mI0KFZZ?1zZVEa-sMxk5?aw&z|ttP9LY2G(esAp|!Yv z$B~BC#eyZ_FsaUa86DyKXC}Rl*qKvV*7(u6{T=Aiy3LdOr2cq5>oAz_eK8#WyU$m7 zIZ>srCj5MUad2L)907*nnh|53_zd&C7~uDn5ct$T@FJPQ`9ow;JScaQ#x`rU;ZF&N zZf4yY=-bUf{WU^VemWv|6It)-iy9a27hg|%kHH!ZomhsVMQA+X=DhB0FaLJhJ3M)r)0N&K(_1#qn*Vrtq;s_6z-`s? z0CT?cPHTw*gHjNRTm7M z!}`H5DHbRhs8y?G<6lFd1kBQ$NuWr6$D@&aXZx_v`uJe-JPPzY;wTOkA;Hcy(VU&U z22cuMeiKSqFDu#*o?UVrV=L9&m%Bm9E*f1nXawVioW(gS#VN|Z@4toUlwcV0HlGG~E}ZApfj6JwX?_^J&dGq( z+L);7`Pj@S_EIruXI>Qw$adoLc+fj{VZ^UG)XGF)Ntlf}?=&AD5A(inwZgKnl@oGR;+@YtXCpF~1F!VeNgz%uNEHL+Haq)5rZG9C}XcKa1p zH;Jc~fe{i#-+^-lMDxWWYs|slh~}V5E?Kf+f2(ESg0Eu}qe87vGe(0%a4{HI9)jvl zP;C$zG2956g0DMd#vK+S&7`VCb4o)!eOmZ_5!kVaW*F8AK0DTjBmLt1$Z!k69fY}? zGct_b-P%BriI}BgG+D~@75&&~@5V^8r~;cz@q4R1OB0POfUBaZee72frtk?P&Z7F( z$Sa6y*Qip^EzR_C*Ogwo=@POUoMGvRqEQ0{W!WFEyOn+_rtbkR%hycSi0LdLc^yJA z#x(U}E{0mF=9{<#xugG?_fBNTcV=l%OKu^AaKxELSL2l12-hI&@o-qnh44T3K5?7P z4ay@Yx9pB+K*L|#XI#ZM@c`QKDlmUPQ5BsLM(<1kcw<@MJc1S#UqfV&ZlWhPdKGb$ zPQr-d`JqmcN8*EzJp%H0Ygo*Yl(*fukXlu@HGH7AogmAAeEUi`F7(qU(hnHWzy%I8 zaDkx(yR!ieT--ndmoK1!iy3I(QZNsRtu+ft{00T6of{UH{ziyY7BG)HSL^zlFJ}d1iZUXPOT7EljD`l@pKV%`Lh1;KxaiZ!6CQmSMoD%S z9Tbri!j+$Q{SMhwv#S%gJUk)_8L>hs6VCwMs@&8_{3;e^FCvPgUQ1%4l~SG)*#IPb zWk@K%iMKxK|4o3`QlIvWDu{tZ3OfpY;P|jdJ}RGw3Dof)=YcwY2wjvr9nb?83VH^I zZbjsy1S=MpcNqCmRz~hbJL&gmr=PktDS|DLE z8_0sViD@f~R2UtBHUqGF&d~<^)nhIUh1JlnLS%E@VET@HCFOM~WSk$g;ayMr+(+d~ z)w34XbCl{_Mv6E(?@jSy)2(2;-o+ZlgpOfqo{Dvv$H9nW5{vSu#gGU~^9X>UgB0Vg zMD=ZfQ0puLUI$v&e32NGuc$2H6&4%T2^JgkP(vwrNH{YEd8ZLI@_9sh#Bl^3-b6fN zqDhDc?2!wrJiQ2Z{ye!HNs^YzX5PFIHDV8uFfKZg8b_r z+<3Gi)B42OQDg{ZzLQuQym-PQ)78XTOB4iZ)DfE`v^s8j<+LO@Lk*xSz@M4i`KPH^ z{4Cxl1S-^DAhws59@1M1#(gh5@hS)>DoY zY%;LO^RP9^FufgkEc4FKkp+kGj+`43S9&v_Y?!l2P-3ZnIt`ceB+2Mh^;aO&^c*v` zH%eIl=dfBt?k|cNcJ;E{qQm@T-AzEtBQA7Szk+1^DuB+H#3f02)$VeIXvO=pAXE&a zG7Zb2toiHW<<*E^02r z($~O|1SbYs=aWwn+i1M{xyl}`tBD7D1PBUtL6Torb-ZyukJsBYOEhFa=Z65Z*kMK@ z8$7Uw{AY;*7MN7i8_Y!~=;vn8+wkR?|ENM&#&=SUfs(bA zxFM+E+iO`{xHM3eI0CXYPZ4O(;}(hWWE14%vw#0Mxi(=HUZ@h#}O(G5~yNz)JS?Tbso86X&B*+owZf z+zDrV#=w;rU$9?kWXRNaU?(cnP|$mbd0I+8<*CpSSUFA1f6Z&QBbos;&vlT(5X3Sc zpYV`a^fG%L2_enw*CG{}yk=IRhCF!Ex^W5(>zmq?7AO|L7-5I!uH;3ju|v#U{Bb|+Lo6)H5s^SGX1c1 zJptkHhSxSM6;Hoa7l0NmrkAg2lJmkXDcSSxM`b5MrMBH)^*hVXCoNdC(GP<6noFhQ zMAEKNo8QbHVOvCkVP`CiQOoIiB!aXHgo5x;42SJQVjZMa!cfl_pbfYF*KqJ7YwY6O zq-YU+PC_BgzuL_scCXJ1*4@p+mcT6Z_!a(<1QzBG19Vc2L2H;B5m0|xw>>3eBJ8GO zD01$>!mKFC`%HeVL54n%*gaex`4g^B(t%O}f0ycFT`Kx#cn(TLQ5}`wENYF>p_&`3 zth0{-Wi&lpLWp}dcMExuWk6Uo;XjM)drJh!k`UrVr$oAva7>92b0r#&*y{BvsO^|} zgvyl4=a#f35cZcftm=16D%P{eY9k|-$@?Tn&l;}618Hz^;b6n`N~RnG=VSMnj^-?) zS&rsu8`Ko7juk2^NRAzBzyK1U3c-rk8?9Y3W*3G#2i=xl=5z33)zS-Vwyva{xn4Z3 zS7&TYF*N2U14UvNU5b=T>}Bg09gQmn$&BXRdY66{61KxkiUA&@O*IONfDOrPFIJ0T4~X`!4Ak*A4><@qU+;=YS5UvF$8@)19rG-9N%&MfU36}3%) zA{=j8*lG%j{H*A`7yqSxLK#g>nYpsux?6@?;VOl0cd4s_oEc*4Kr3Q$!#UoZ5yIt(Ux0pjR5ualmL%cqqI_5PL|q}cnpUdq8s5;e!o{lkV) zf5`L-^lE=KJfo_q4`h~*Lnb-5tGjC23lpDsECm-Zc zV7yBeI2C;_;XmbnTX{)j?kO*39DTJyvDvq9dY>D8-21yXxpnYo#p3;@cQifzZFprX zW*T@_uDL@w@A$ZREeUM+cs=Cr?bx5T^mS&=N&B7^yVa*28~W$UhL4+T!soen^y;-l zUyNpWE|5d)_1uJ=H=PJ6RvhDzJ#okVeZ2P(c%`|MVDB0wx(lt@GUqv6S@E#LylH6& z1ofs*TW7<1uW--@l3KC32|WB+Uvv-TZzlxKZqd_J=ytG8zi{I$PptID7r)KOC(h_c zpZ)!dibW{z%cn5BtG4toeT~Y;6=-V4kAh;F{Sy`KE<@i*R=yEwRhB4s?<{24-hiSW z*sHvc*pfrJ9u}2=Kbywh#x=P3%QwwfU9EP55*a&A5I?YcFcy&1xRGDO=;YSbsWYNQ zCz53*uxmu@gzto{ggzGElTD+B|NG)zs-p4=g|()>t8wRk6{#haZC$Tq=e`}OWy!j= z%Qx|yZT$`Z!UxH%JLE;LU$0~)gIOaB2^ z|6B9FCId)j3Sufj0oe#H>&^b?F4}aCgKE*?%j)2{e5e+m5Ou;d>E8}U$Jx^5EBomhN{x+!&PR`@WH) zw`>|_OCUE?W>xsTiMf~E=r}%7~dj{ z?O&Sw&++lk)&h}7O_C7l4L2))Ei}9%(V{Kvuf7*%oLk03!^UJ<&9Y$J zl{bCrnYZ@1nDeDzXC7;+$vs=2X1f}0Y!b6QZ>HF#|3y9eK)$ zX#lwNS|g>DGw*ukaT^S(;=6w77efy&1cAN4gO2t$D0Ww0A^&Jtyw|>Pvf3*TkVq6HlDE5P z+cSi<@ecVheTOJ)@MkW|i$M+Km3!(CfjczsxyHi?T8^Xxth%B%yVqW7b+*uwHz!Qd zTsGArzZdK1-7y3W{)!PiP@Wmtzq@?c2vX0lq;=5C&cv@)zW2jAh5qaZ;l$Sf%2fD7 z(5&GzBvNZ-@jnN!zAGD6K0cYXv9k?T>T8M)b#GMr!bnF78mvj0$N5RmvFDj7Lt6Z2 zGE$iUm@hD%1W3-wO)Ki+%GmARK&r~|e|$tUl*Y~*D=!Vq8$o{aluz}O$WvZojlDP- z5x9=jdG4urk%2iT?!28L9s925C<2ksr1g%cqY(LlX^Q<=ESW4$jW z2#->@iyF)-Lgu4{xk?6qP9sW&pg59)8GJ1R;H3&3N-7?O&^qU02J3|hU4o2I+FOuu zjYyu_w_T^G(Epo!GJESNgZXyk$BWL(E;KSj$h^&oL@n4)9>4cnT$DUUEXdkP$Rm07 zYp`b}G%(eCa9mO+r|6}{W{I`$f@A{WpP2f=>~d@HETG%C#f*s%<9@itgW*%-KmzsS z5b5x!(B3QFT$c+W5#@8s?H_uGf$-9z+rn&?TGxl`?vLJOu-?4Hd;mmZ=yYntucgDC z8}mSq|A8SvuvPZ|z%9f5hdm|RJ2%CTk7jd(1Q{fL@qTFBuND{v!iLH&iW=Lm%@XdA ztJVqI3KfuVEO55p<%R)2Vc99}E-Bb*eSQ0KcrmC(0ckQaeYd{NJS#J%UoNGt&7$qB zB=Cfc6KY5&iSr($Uo>4x-WlHg~A`AqU9z3@Oo7Ssvc*430AwU)9$TPIj}p4>1-uzO+k~#pKfr#bGh+mMQ6ox z*pAwpYdh`jpB^OkZO%WV-4KK?;L+K79f)^-f_`vzxJ6C56$+QY@ti3g^zU$O6xf}{ zyWx6FXyPo*F>y3_pot#HO*{PYm&)2v!Dnpu*M%m2IDZ|`d$=vVxSOYzqea+?pnyR6 zzK50@$fy|^s1;}BxLqwxANPpi?2X)QLXT{fT@H$@(70$Tx;|v%fAa23cYjMg+?S=iarQQmZe4SJ1(7MU z+5Y9G{0R=4&#ODNx2C%qQV&?=DQ_D6rpMNy{j;gz#&jDgrCRreXsN|DM99+m)G@`* z>c(MIFjb5gfz6|n(dBIXuCqKXrUig|gc}FQ7k5PPbbKH`+0I^t{^eU-;9_dH@RyA( zP!MC%e_o+#?Y)XkXT!|MTKd$;RnDP>Rx7cRgpHtvYaL^YgBq^Q`JaaK#(>%;=J5NO z+0mjnlTdZsH)*8O^8W|0~wR@XYR%dkBfbyWCoV< zq3AwGv?gf|#__$o+6htNjJl!W5egx29CCPHyOT%L9%r4X2jM3_ztQ<7XqdP4DW{uV z#W#+h<5*`>73{OqX8D9KYer9*m&?|ONUabBG_Icy2z_QaQ(!NWsQ@8ZAY>kdRG)wl z^jvg+F8PI2V!TWGYte>8(dT2jcqI=Mkfz|D=J1~;2c0hY1|-Rr_*%4UglsDOc?BeT zLI#N({)zVfiLybWQ;^6<6eME)CmQ`12_({Snii5;a)6~+7#Ga?n-5^236G&}+qo7L zjDk<-p#rk-*zd64Q6o(~>jGqZLn1g~2?Ph|mLDnpKHJC$d|p;igfpZFllnve1nK2Q z!)bjY`0PYx0~KFk@F#ZrwBeh7m4UD*M^H3rJC@BWny(K#^&^%|DQs9Rn#iw!Xnsfq zkW(DUNs8PCO0gc~w0hF;O|TKQ6p?0ypyP+LZSgt*wdLKyP1mk*ncMmJDC_J$IIH!3803YDwK_ms1BmS z)k2N=f4L1r>HWrf4Q@r_RO%^M&%W8KXL%m&YCd=0fA=)^s`fu-GrkgvIVnpH68u-FHsF z=|>}RzsP54tO}wX!D}C=xt?BAX&cr3zfjcGSXwnzuAH78#6krKmjr{df-#R{^X2$S z+ie;((EBVi&2Dfx(d3!M@Q+hLr|pLFP_ zPdxUj5+ka@P7M32_9@!+0nuHG37O#61pl4bUH%tezZd}wESm@n4Eg`f>;7S3=wOAqD+cVAcOd%@~8+=Foxp$%j2*!{b7?+^^7*X z?eP0y&gJ@#Y2Jq+U)qza*BjL~FHc{?_if{{vGu~TXaAM{A7$%jvu8dHkw2D7>O+zF zeF2kqe@N*v|My#k&keP4B*0DGX^d12o_!>-cLLBh3xniFt)YbL{7oL8ehsAe3I%mL7FJBR`OOp}(_{cYQ51sR z_;cmajBy!$Fg>%09iAlIa)jp>C?O~t;arAoW;{o&0aB{F#p~hS+KozZYpjJj+%thD z;m-AP+zhfE_#YiZQ5_KrPBE33I&|LwP3_N^gb|cFrt0uY^7HjioH~q-Da5lluX5?{Z*ZY9tKeS}V9r zs*ZnbqgL*QAt`gHbHi~MrYubutc$}4$#qK>unRZ2sjp5o1dI~E1_*@UCh13Ds?Y-; zkV$jUFeWnfM!shG=!To6WV~L^?9E$n0&c;IvWmCgEX)MlY9nbzcFQP!8|HMfOTcYz zB%OrY%^fQm*rzB+T^JxVtVXS|37+Z_U(qfFUQlnljW%B}ZGSU>xqU?mc z6fMA-7J~v7ZmF`Df@maQ+`SMy(>d&qwz z33V67eWYQw4XlQ#48<3LDQr1rePdh^*vo<$WE}3qO0uHG6vSa#hH=zfKp19Zuv9gs zCyRJ~?{eQh`ARMXcT0bHs!=F};6pU3ObEC~MrdTz8?{mvo!%?M*-WiQ2`W`WU`YRT zwU(U;!H1=PVrsfD8iH9K?FzwtJi31=2>WD5y;csxei_V`r_5>loKr}rvovugVKuf^Y&o_ku)O@5V459E~Qy4{IfrP;Ua`4fXfDR5e1=GQy zreHca+!RLZIy@u;Buqr$h=rr?2rQB?GDMD-S^_$LxG49+iJV9*#!g zF}mN=1EV-S3Xj_ow!Dv#G%#!~ItowN66!uq(r}vB^9f|C^1KLqk_0l0!>44J56(m; zTf+>H3{Pq@z(p+KGn##hie@|51W#$$H?5kl;Ze*RjUs-J z7ZrR~!|v0(1IpFx9`iP>j&*;-=QNpM8V9@$KCj7MG%q3Z+F2nurb%B7fdqU3A3kHa zUc)LB9loeZ{}|rNl_Y#gld(*Frjo7Y$N41`f-mFL$!sYfgRf|mDcpt8`klS-RZVtQ zCmTkof{YDh!g5O+1w1%k(`0a}vZqqLv*J~n7diuQ{MnZ;guT!)2M|n~n9%w0# zPsexS#Xh{?L9Xu**LLwPIud`^#6IyoP2|MQCf9!P3r(!h-?ucA^fk@F)zReAnge9_ zGP_^LC#goC=2+8sY$1Qhyc3rHK+M0^L_s{QiKMt!6vdD@rHP+tqF?+*6EzVqgSlT+ zFUD%EkXW^KvSO|SaOT7-rlZavtUkH?m-CqbJo7_z9fsxqtS)0jn6)sh{{9~;IM$Rm z072nZ9o{|-XZPqAFGsJ?x&-GE1Bvx@^lPJ@+r33k5SEX*NKSwL$tF4ZXPYFlQIe#S zFwOpE^ntD-cFubk2kN=+xZoDI)7|?TmIF0y!oBpR=(|y?O*ohoIhu8j&Gxz6LxLR zb1ssVvo^^&M+JZA8zo6NNzOg*Ci%C6qu@-QmFl7HaLgt2oBzm9M4teE8cP%@1c=>IcB(TxEM4fp#{Zn`H-?2$fzh#ra zMoHpMlJhUQNY1})ldPPvNwkfo3!Ld%{h5p893LreB&&bx+2Ic74xj$H`>?*}=zVY6 zA%`}a7vajwDR)u+)?wMo3Mt#tVu^r_mK`6HmLb+YE zqkK0I%C~<8+tMx3j&f-d%0sWH|hm{yBW82c1aDN!=?uB zM!gVNV@B^jLit6=nV=V-8}&l4-HdxZp?nMQVyNr8QS)`&X2uPXQEHWpQbTd0UI?|D z@jHT0eqj*GFA6v61-;#j8yKV1+8DK&Tc{Vp?PmOjC6r&cjM~gC)C-X|z{K!1|F<{j z5srV_Ve?&XRB5+_3iSmFRxkR?7%3Q+P! ztqoHl)^WWnopoGBD$F`AXBDv+=vb*JOI$N5X7SNJs<;&yopdEo>WEq=TqRiI&Z#6y zzNpn}RML{DC#p`CxM!&@l>AYvGpcTuxXXX39`?z7OevPQQ>k8*0#U0=s!f&`>cwg^ z>$tC~Ei7^OR$G~!dzjkB^SIBc%S?ut)rVxqzJ&)hd<29Su^#!H^CC$x z=8auKsC%!_x2?6Yl7KepL6K0#HeD6wmi8T(+BuosnLG5bSqY}nF#3g5X8L$)w<=t%Z@1MK$ql*bQG@SmXKjBT*(o*r zzIJvthD5KrWo^hNA^O;)Zd)6&S)Cm6W>wswZ?V@ZgcVuS8l|in;FbqWZ|1?b< zk7=&cCK4NvK;ryNi`cN@TNYNcNaI9r(k3&He!HKqmsb7&Q*=IxwH<%m#RLmB9ae2d zLFYR#*xyjBvNq6lwTUCjSzoVdm^qoiCZ$ai+i&T>Ue+da4&OMnlAgg{Uf({6zM5Jk zT529$8e1BD|LCz|W7$0KbB$6!0sE$^nmp*d%Z$I7-o3;L-7s z2K_pCH78MOk|$700|XQR000O8tA2h?S#7(<%{%}A$UFc53jhEBLr_&YVrg`9E_iMM z$UHa0SZsJ!F*rp+ARr(hWjQioARr(hGh<>fOFd0_VUVe8Jbiyce#~K7tVG(;5?pvk zg$yIfSV)Kg;J@egXX-h2xJQJ0nLbD~;RBGMfNe1u$;oB;NRq_zfQbAEQ!qbS>^Gq; zO;cr)EJJq1m5jkvsMkoJPP)P*Qnm%Dc^e~#)fk&Cpj$9ZnG1g%L5JX4P>3x>`l=Z%P~)MD zZ2taEY6Efy3&9%%lsq=NEor{?Kv-aY3DxkgyBYReFMeKy3co$*Ij?&>k@u(S>%kAT2ZQT4h&Uszz@D{Nv2m>VDyTlmK=3ULJO!Z2e>PseS0Hg zvsPRw;*j%Bm)y`(Ic3J|L#~cAbsg5;yztYyexIp^$SQosH7s$lblP^s*=d^p5@b=m zj^E1op`0w=E_*tpYr7_tRwOY@@u+9q%x1=55f6Wu%SQZc-L*86CY2nt@I@2k#)k#X z({5U>hLrAGjBJby`7++|)IA{~55YJsn(#+xFubb6g1!o}sWndRNS`_k$|xXOBJ z3q^l5t1Vy>^Sdc($8YE;XgJ`Jz@b+8|>-iGYdpOFjCwysjECq1u43 zCHdRatM4C}Z@5jq%WpjCD&TUzZ<#rQxz@29dVU>KrCGShaLpQd(sOmFa^p>B8u)*q z6p5E^N-JO_PYVrdqiO_XQvN&B-65?Fs?(LWaL5v356J9!Z~$>FayjiCc{ZsA`I#HA9_#A}s=j$-(o+KdfL$Nwv}bE?#iLgK`uAZ92<_ z^W%RgVehc6cE1P$@tuMD=~H-;s9{)ODU>zeDk-o3J%kFE7{6SHew~wg33Gp2A+^CW z^oHr{@s41~EmE^Fs{1FeIvt&;$&NIj8F+(Bb! zOqQAkmAA-fb^}ntDf@GOJ3Xl|V~2Z43;%mnDwm|dA5#+ShATBFL^AJLmM9<3;;qH7 z`akQ_a;>73G(&a#mRH4g(e_J+3{!spq8HfVUX^+du_C%NlRhaYlJkGgO~WE*?0kU3 zQ(O;U&l<+22byyh-A-epmG&j|x-<%yGFF!mcaTlsSGOx& z;eeY$7=HhVJhum=@VJ#5z=!mg<4epmnLhZzsfl;`ruzZ{pe&!bYubKc`)!C$c7AVY zU##v6$y&Ur<3OtF76rc!nGN>?hZNTJt|sJ-Tj`qi%C?ckA6yWnR0m(CR5RcNFh1T> zR-Nk|He-*u632gnOB$e%v5dpoXm!n$GxN81Fc?%9qRSKp<3bJ!9(*X$X?vf33>J=F zu#ByuHG98wxIuQW{!qc168djEO^Rc2ji*7V7 zvYEr^7N4V9)w}_W?{=w)NzP|Jj4Q`;kg-?;pJf94)5w2WN#Ua5tL|U#t;FmLkGj{P zrPOqNZ)6%bo4_4|m3~}%pdFuTYZ^O2$-7MJH!8#!Wpm2CYRpmX`#-6rDJt0N+QV^W zIqL`v2$K)ZIHZGk+M{pUp%6S zZ2bh&vIwURm&#sh%i#Y_0F-&9)TAIy?hs&1O2sW=dg|PJ>=;K>1{ZQh5%5ME;C?tj z$$-F>fVWF4HL#T1{1_=aH{f*eiiezJkWE^Dt#E&Ry>5aa-mKr;DK>=1?vS$CmUGG9 zxf<%K3pPXlt|g-A!Q8^d;LIIUGa4`1=1DbJMkWXGNk`pO8yO z=Y)R%lJJ0y``XqSkg%y265?G*o#Xk$)Mg38m>6lS+Vf7ZhsYWY9Gu6J=NWB@i2LiP zB)w{evH1EQFy5D;$po@raPHw$EClCuv2STxG+$mZE zSk1J;52O5x+mB5{f+qu(|FrI`Eow8~7;WSNtjTmNRX6jz^i6E|tgf5P2hK08X48K% z!5r7Iyk7MCP)cv^g!2r*m#jZhgl}D6<%=Ink_K4Zh}kJlmjHlkmCk!+RUUx!C#tPW z^rr6Fv%%4J`kV9mzXaxbK$<1vbIU0!;k27qrgBtAq-Snt1Kb{;s^^ zAtf8t8F;Lh;0k+;jHtL)Q-Z?k>@_UgIE(>CDE{rD5H%=f=c=!x9!KtY7o=HtMrn)Jf~x=o z)G|ebj4fBKpXNYBiNb;Y`lt5GSyGd-1Q(1{5XaBGa7ar2AxR6k)+{wt1PE=K^?*-_ zjt>^mw2Gp}jaRK&k3&qQgipuCA4oh)rgem;MMNmv?Pzhh2jhP#bJ9Bx2_DR#0zfdo zn>||tfv`7l^KJLIOVm@QO&ukw=zI1CV`SQ)1?)!B_>&;N%3DMU-2v5Lu9p-@anP-0 zDwX%An{$@zA|;VNXFL%^w%!*L%X@yEgAw&njhaufRs z4k81%xuesOLueS;WN`%AQWxVP3&OxdI97|EnJ#Pj60jlbg04za+J?=foL7A%;M?FGPMk?E+Aq)*~F+r2xb z>#d@w7oLB~)xkSSri}vRg$bSMNlLt}md$0Q0O_f(`|mYr zt`Kh4)hMr;oNkA1&ewjt0La%YD{|;Fd()3lEPN4yLcJ;bkCeW{2H3mu`yg5pn66;C4XMZV zjC%{nC|ok&SdI+a;7YPMrjznHW9QahPzi0^!0}ULeLf%v$Bu7j5nCyG3O0idRrXfq zHKl*OL`X8bofm7JH`yp%(zIU6hx_u%F?p;43RM2v&DboHJj5 zQ4a_{KkBu?vQo6xI=U)f=v2lPXHTSf*ClMD6U>FfyNV6f^$L6@zs>?NZukub1syMN zc57&VLV zBCm|MIZ(H)R`B1J3eVlH6-k-|MjkYHQB)R4);EPTb{rr>8PdC8N>v(^m zFJ(hpcmAZd2i$cd?PRhzLK4GFYP3dMx4y=kxK~qvK@;6o#4 znw`SEo$Gmx4weKAh^oWmb?@_WxFniCFKO1kUn;hC)r>mLSRG<)ykv>74pxr}-F2u5!207Ti@5|zd4;5a zY2Q8NXCAeqM4f=a<5wYARQOho^7Zcl}?77Y#%V|c`%E6sIh6GSOn|psVe*0jomD*S^ zFtQQ4fg0@BXXr`$mLDzUcOGSc4M1TEAXamW)JLiU6YBF{)3%IbIgLl+ z1kAO(N!QJPyVNMT&|@1dYLFY^vPfFqiA3SVvi$P+_RL z$WReej4u_B!S$->|Mh=DWJ(Y(QB-mEjlNoFyyBj}aA~ARP>u{4XYVKCW2Iy*ekD?0 z*dS4-m<@p(c_<#;9aKljKI&(%zab?at53Cdk?gIo?MvAE#-kK>^C(c$&y&{PpDhu4 zH`P>!u$6_z0CHnWD72hTJMxh6N3!tPrg2`}M=;&|IZvIMC~SWlg|-Oqaq^W}CFK60 zC_+JoaF4yk__XZOp%ZsUfR@gCvD22&6198Nw>bh+%cI9K>_xnXphM zG9cr*Xk_pIj@mW|OK+tE1(6My&Gmqb3+p=^^fys2gHgI=9se=+JRa$^-{zOCcUCa0 zafCdM-}lE{Tt0s%cymzD$`wkSaFNsTgk?M!O6aNLv-#KzBj`2A->+foVio@Ua>h7M zonjqdDu;iZh#o>wepN=GpMx>6s&|{|x{v{MmLd7Lma`j2r6=KnoyPU7B7fc>(w^>F zf+)vyn+97d9pwI=Z7CpXxiAc-F{TBzjWpyWo-CP<02O~SlZr(May$fqwL&@SZ9SSdX zXgx|z#*crK!ZYpZ6Pe0#QLgZai!OfCtSBmR9n7Uc;^}%9M!<}%V`wW<$v2N$_VfgH z#mRL>q2)~KV%;Tn%ai#-7PCz>)OFU10&KE&#K>L}l%+=Iy$hzu%kWpO?@oL~;&Jxa*+P&084U$O7Rb|%&%();$pg^p9& zrfN0$J_}JAm=n&M8Q_M=tJk1Z6GW@N#tj_H z5WgriI6ec_XazH2cSYJzozSo>s7(5}IE18RJ>BtW9l_K5R^)w}BV2e2FX70008R=6 z6UUV+ubWe08_C=L1|t3Gz#(Acn%ZLeU5Z&0k(6#BRRGX5J{6JHSBhp!PS?KbMf$0r2PNt!3eW0M?4Mw0jY)B zZHg$soW-pADlGwht;39!yfam)lkQckk0kM|RB|`j(0!}{H6uW|{>)n!JUkF5gz-4G8G;TrcAVK=Er-A>K0J8^| z0D7DMu>bi;+l7nF)F#sU0M^J=5OaUVrOMm48(+Tg__3ryJl(PPreUegc-GzPbk5R* zV2jI9&>-}4VN5@8!#E6A^s%)$q%5q={so#mFP!-UzJ7XJew!cA&{bd5l?bEp;yAp# zdfJ9sd9d$=gEwRht+vx zyFrZ6L{Mq+=b*3EuS^+=B!shF%Mdb&3NKwNLILd$v|TtKp7 z^9>8O%Vq{u2-`WKl{UG~i2U#kV|-cc5JS=c-yfn0ITV2AEXjYaRD>et(3^(bI-MFK zDN@%P#$|Kg|B);2MYb|=m`JOqLxz0sHM{P|YAVHZx z%N;{@c%z0OTzy$nD|jL*7g$j>kBpE+PtLNl)C$b&YCnT8gw zpr=@@;njbIGNwb7n~Sg~Bbpa-#lGo{@pQCMdJA#idVe_Ax?6jGbH!54P-SU;6F(%U*YOCH)FQM9%o^B>Vn<`ma!8+e64AyG*3p&V{ zNW3-pNqHD7C~@J%s!wXI8Pvq#G0TKm>%6~Z3sOWWn-!#vQ{1+Wx*eT&w6fj{2b*}^ z)QEpRFwOQ@Tp(-8jyhSN8pgDLWHDFkTRHwReg!sNsDIRu_56}1P4!Tc?Z^H<4k8Z- z8QNSr7%pj_r%BSA^>W&o+tHj}zkpJyRBwJlAIb3=LHE(SvMGrsycCudO9Jj35qBvM zBW+p99NNRFXj|T!Es3r{l~|M~oR@J90y2Nj*h6ZA7NCN_WnWx_WmIW9P6pUg-jBoA4n;I8^cx<$O|aAO6|xH7 zIgfqM4Km zpG8U2Gkvc<*GU#{nR!5(iPKf#$&4s58Vj|*j&~9Lgu6$^cE<0OXpomTjuaL@c7aIR z?HI#;#q^>$?Ne2yziD-_%lm)6CHlIt{ZNyw<6nO5e-^5Jdn_Gp2`&@CV*-EIDbODZd(GTA zy&!#g%7faNwVcfq9;1VodBIxDf8aZJqblFl#4w%`zm_t-k9>J;r<_T%<;nXQ@wCBj z)!2UY&(8J8Ex|ZEfIzXW_u;==lYW!k`Ou(r=p0g3OR zv0p0_-PpJV{SJwGK`B@a|HJw(dIiGDXJ(Ns9=8!;6){Nq?xMU4e&!-Z{$v)7T_(+?YtAm`AZY9Y^Z`dKg8C{)d z9HJ!ny7RBVHGKYmiu=Jb3I6Wr@k2{=Lx_Nl5+>?W1l)g!uPX6D=9@-BzKI=b4&~ED z>iI>2)jZ-tMV0?tx7)e_RX|5hNJ+K?Zc*b&&zkn_Kt1Z;MRPM?IiD1ek~uY>t^0Tn zL9{|;gw6cL7H@+n0Z@j{&91HmRYR2tI9;qg2WF?r6?}`FFlW~*06Mns_n@c^1cyHO z1P6r&-U5HkzP>~Z(L?JRXlad_8Zx+UvhBMO1BS7`{PHO13cX+OZ0{VQ8yiF{rX1W2 z{*1mN{VS2ZjcASFGHv7f!kO0oG!JcvxAIg;;E*)Wl-a%O$`lPlWyL~g>t z&>XC-ul(X@q^#&Qj>nPS_VaS*gAAHy_QnV zo&SG`&2&`(SAMmt2fi|@z6qx|Mq%R<#0LgSlk7Q%uCwmLyZ#7ZB^vBg8vOCV88UD6TbX6oqEWfC%hQFd9;K=nX5vO*lrf_2QY+)&ujpPOmqlzQOF_vh< zUxgS;>b1%_eRWl56n)+p34WNDq>h*E(nx>np8w9Dq@_SWe5FO}QZlCCdgE-$8&2(< z@5Z??ae*JAn3DJ&&eRXB0AA&I7@Q&PW$A$zfI9nQ;KYjR+LCjNW#-hsbMXCb8n>^?fyCe~u5jo9AE3Wt@LG zF47r*<2pB{6IvF70ue}&$|id}jX9%WV-C0RCP`Xq#3Y5?ZA;xcYgt341${F_ynm^0 zDMy)#3s4z7WU~=1c(+fwQ?b!&pe04aGO@x4l1j_9VE7E`W(KtE9@3R3O5$oH{jXq>;(Z>@@Sq4)(50JJ$ z_L0%XQz{bz-Udk}GPPB*?l3?ePiDTmLfJ#UuG9t-?&{8gkC%5_v2@W;($ZYerNcF zi4-$ZTs>q9)QgmsJd@$tF_+G!vdrL9O#>pt&{$nxe2`r46!?HR=m8YU(A$6=kY{DD zTAQpUC8Z2ev)pkaNC+-6DYSpe3-Kr9*B~J=#OoFq9f8G(q;BLsuH)cUeT|rnjF&eR z^gMWmtn%HRg$SW6dzpUv?%kbDP{7U6ymFg2N}ui-!fT)JJUe)M1k=i*N=9yvm>K~+ zQo%MN{o{wFhW}^mlQ(s_rXzZ8fqvS&Q$*+_=A1mIIpka{hLgn+w z8lm5SYxbFW>^ns1J!t2C%4mFP=L&xf!DE;qi=yR+DY5? z+NNQ~@%-;3KlufO(#1Y<=2w5VhU6WPs&0+|R@x+z)}C}e#j$^B*HdX7-2lk0)$KLD zN${00A+WXw{VYFpAE|Z*;P(21(H(3(~`v-IQXC!Kj?#eg|@V3>sck{3P@B zrz$&~%xGy8jyhmlsKWCZeCWlgxv<~vAl?-jk3t9?wsr(kM3BM{Pwk-Qx#QiE4FJlY zFqSN!Zg0fP`YC^lPclMfrN!8rH=&wEIbmZNwSZs2+QE%St#TAGw+e}4VALeWLM+wqKP>8)3d+{SC|#A+5a-Z zf-KqvrZZ)xsTw`|E-Bq?YwX>~GUit-OCC zCf(`rWi?Ycd7QF+?}iwNzkC1GjGgt?=-&afKYoxc>tLeOPUqxgP!QXu_8XC;@@@a(4kYaqzUbZ) z0|otjdARQh-uMiMi$34`BFpUlsJ$vG|FT&)k!2#!M1p`ERa#sEB8Kv7t@j>r(h3gk z=zM?mB1kYest$?z?(TvP<|ip}zYBc|c2~etgv}Q=w8TzS^s?jYePs@i@muga(An8r zC8VnZRSm1$r>C;I%#(gZNmtszVp-Gt7v`~J{4HoOL8<3E&4tApPS&s@PykdRAub1O zK#N>q;GG|rq8oZ0x#Ia6j%OG-9Z0>mE3toYq_Ikv`&Ii=vEc_UW(P4>(wNUE!zjpL zYCHNRdzfm<0-G@s8&D{cRG438lx4y7SOt|a=L^afhVe&N3B4b1SGteA-!X!#`(eWW=7>vmaV%$BjR z_j#R_^UASx7=0~X>_IZK%7cM@Htc`ygiwvzzFq`6m!%&abYy>2TS|15Qr8HM*)a)B zW@7FHyy*A=o0AktP0&l-P`u}t+z;^6XC;o!gW#kgw}pjCAvkOmAOx-1r#5KASrVx- z;qUh0&xS{;BXzU0?-C>hvpvt0)I8fEns{L{Uk4*6M*!G&X~4OZ=fE6=-oAfsE>q2j z9xh=`oFsLH$jX{U@P&L5f2b^ekh5KY&sq-Rho@gRE;u=i=RgRgz`zG58qB^2GG_1= z+WQzlgn2oBfT9$GmuyctDkQAR!23Tdylv&>UjR^H(PXRM*mCXTo5jJYIJM6jgd6OD zOzL%DCv#wP%n_~;7^HFZ5Vn7wZ8y}~tE!Z7p+-i9ZMA!M9E`@1er^L5CjhyrL0aw) z#r8CK|9P=4GzIkF9+LR3ME&vMXwlxML+0mdx>|LUV*>{g9m-2Ego)2sbZ=? zg*7Qh2e*10f-41?wP|1`1NkZ0^VgDATcqS0!6cF#&?D+oqX;jTsg{4XF%>R>q%pR% z2^t6yU%8jwtisQpMGWe?HVm<6uT!!vM?-!%s)g*_6Q~HM#Tw>#Ecuh8E97}*>tZSK z#7QtAG)AF&xtUFLEpvfX@af45S zL+6eM&0G5CcG7%$dM_)~dDGg%Xsa-|>m|HbOdW2#0w;Pj zOb`3)hTOC+d1RGu4T$5;f|BIM4K9Po;a}aMCi{?v$54S9Ia5L;OP;0M4 zfcX3pW6xnceZF4c>6*#0ZA17nZ4SgR3i0uj-kg6`TgHLna-09R#P2D-K84A)82awz z(J#H32oz>}t}wjRxTS6%g(CAWQZ#Q#Jd0n2AZ~X(s|+iKc8U9a{^q{fHiw;z$J6Om z<9wxlC5C~U<%v!Vr>%0j*d3_f)w?mOKBB(c5q zWPJ|AP}6l)PSv3MMJY?;=dNMMGUVPG>Crz$r$T2g^=dO9YOK11+o}khzJs`n&xpmZ z(h_rTy^UH1tBrtRrJAjZb)} zr&EM&)B!_^cX%>7NU1xV69{0PrS(AIXei+1vlQnbEsMZ>$mah5x*FF4EDFEsBjrZq z@LRE*8e`EWv75B^Jt9)Dx#Hoq%jli&@ImBMtSsE{Ao4?#dZR*`U>$cn@Z)DiKbe2x zexq-mf=!B5`Ozwp3ok%ZavvawL38@5L_kQBI59pHh9NBs-EMi24)eVu@SJk}+(T*8 zkGanI1O4Bdr7ltn1acxcVdXV7xkiYj(+}V7*E@#`sUPEKbF4-Jgz zk~S`g68r8!TXMQ9an>Dz8Zv$|N-8Cz#OLNsjbLTN8UIaT;S_m|(a0@*g_^;e*US`u z*OLIAfjAr{z7OW!bt4@L!-m zNSA@^NQP67w$wvL# zIl492g{M?B{bv*${do_tBeS9^;NpR85;p}- zO-p2yMAALiLlz2_&T&`V9RDh9@L8mj3K*=|2n_C_+rbdC*Hg(#jsTAkH-%ZnPzo{w zU$4CSMtyS;bX?ig3DZuvJkwE?CCN~e(*Tt*meri#wQpPhS)_N$iUCP35%Y2*JM(Vuf53`J&Sv2yYuH`3OqI+T&j!&9- zi|nIBkrp59n~z%$fRlj+Q|n+W@~#?Tm8yOgI~WT2-36avbbEg@I>y!@qc&ckBJMqY zOfB)FTS(u1gDtK?kXH+f7?Du-&PNLMLaUANeL_TK_4ZS)BmLW@5`GHL)&3;P_a2!3 z+scC0AALl%6Zl|^1YT?q_OyY;=BRcNd8bGPkjBLD&D!9 z``3>6YVk1$!7IMX&Cx~HtfGC{wJ?k*D5pj{IP-hZVa`z#kesD>P`cSXI_3d(;ia!l zn70TxsZ_yLqFmKwx%og8WkNXVgXgXa$6FW*vdVu+#C(4dOk1UfFIa?uvRESmEP}Qi zsfHJ!$}v~PeZY$2+E^sC`v|pD&qnh!`UDV`^Ol_x)(NRnnZ@Of4k35w#??XTb}IAd z<&nJd1wxH_tFuiPcv_L3-%%T<({xpV;5?(DWAZ}tJd~Tv;h1M27^t0HkPVvYr_-P@ zhKA5Kp>cn|5LHw(xsUh!ECeYNk;T&hgwC{l)Q1X*q_*J`NbrMFJ0&UT3ECfPE8c9C zf5fA08~4h(0^*pU2%`yDNsN={1s1!_p{M1g#`4o=ErsXXxXXBSO&ND31$o1szg?Ya zG!$MR#|@7q*-f&RF_tVzn6hSTm_b5l218*OYnEcDOd4e!$zWv3E{xKkNy)yH9{W17 zWE=9gWzCvLo%28SxbN;c-|zXIbKl(i=JUC_UZNSRNlje;6m^e43$6M!Y!$YBB9{yT zfL-U4Uu(Qt!a-1hY^hRqVni{xt@=h!rfrfa#<|(8U6*c*dx_3pd*kZ5N7{p9vLN?Bt=??V z(=uGoHQ%nqMF-J4pR_<$yS=?q&<>9i%;NbK1hY~!X$8^UTdRqX4i!zqTXh6h!o<7C z&pW$4n;B1u!C&Y6Q$#I7$N0Ent~Vp+%n&AvGU`RtO<|RXzG7SiTho_e%G}l znIU@FQ+DekHD?(be3oC2S7BN@YoSX?s;ot|z~=T>`pXN5(}=2GE4=@~JIAmb2apVs zXQHgqXj@7%ITK*5KmJ4r$&JTWG1CWS-#qBVjk`QwVTn1jyx~mxbG-Z77QgMKy{i-!XnBwYDB&`NpF6N`-rF5L0v^fXx8TH)>V&9qlO? zVyjBx;qB$v8?^Cp-rRNEp3r2zn0nwVPXq@ZpES3s2|pd&uOd*J;vY|Ef?@Rt?W`AY zXlHjVQ@`;-VY%YQty9P3N5PcKaoUL|>*om^6CS-7UBav+JbS5Mw*ul=6o3~rwtqeu zliIB*OL>a0_}M0{qpUW4`ktHIQU`}f=;97@05v`~w~nVjq8K-)JLd5pN6*NYwBwZs zSv7$O6m26)#q$6VCwotzTKb{5i1`rC+=j$ucFDA6gzV}o*VND(T3=#BY+zdk-@Mha zR81L1?@an!^IL(W?ug!6Un`h7xuAc`^%UFNRIOoI@QLh%Jcbk6qN&?LWNuoNX-)&A zhx1GM``2tf(t?fmWgt=_;=qEnIqw0^EWRHyQf;O!`lV&27nB5?R_#PC8v++`1`9vm zo5R?AXQC!OZHJ0^%&v09YQ`PDl~$PreeY7O?qbayPEEade756l;Lv1p1W8XkVxgTJ zpV1Vi7$%05Zjv8Y6AL*#ylJ7c+$x#1`fa=X@LH{>@?k8uRP$4Kx+Dj^9#u})pJG|T zgIBz1w#=9`@kbGoaRZ-t_xRgzN#wi2UIJbW;t3Z?;03U$4FL{;FKh~0)UwUxV+H{%}-LuM>@`hFw?BLk>4N_Ixa&Vz- zzQqRdW@z!*F~AO=#9Q(upYz51Z^mOEI6px*eL(5k`?pD$-M9v*<{*N4xY0dMoFL4z z{#r`w4!Ac1SP>HGBsT0yM+DU)hoQRYZFM-9%l z0zMT(eZj(;ajaIy250%6N;$|HjmZoY&$Y_@R`Sl%g)w=KOFGr*DMRIZLS4O>cHw8H zMfb)Og5!#C;a*3kw{)>7d)&-TS4<_WweW`C8IG4G?Us?fJH(sAE@tyvC^2}CD= z1c|H~c}Vx>M7AjAv6J*;x`rfqJj+B*4%M->gt|!DPv-vf6Sthb$EmQM#kC1za~_K` z)@AEV%(rKz&uj`g*bPMSUYHmxe;9Zp^orw)eKPW9E9IDglQdEZ&&|UB0P`xKWIg|2 zW6rL5A?EvX#&u-olq?yo)?6~Kue&R|iX(-C5qTy8{#!ZN{UqUZ6iGGlB$ivXhmxn4 zpH?sci8j86lN!NlG4?7IrXcH6XQRo<={+Kt*$7VP!^3aK0yb#I^C*ND+*$4CE38tz zU#%Ep0dH?wbIHJZ^-jM*jaNjLb8|?FCE)uCYdW2?S_7h?IhNt1v-71BLy3~`--0(8 zwsdL9d?bg8ou5P2PM>oidPZEY^Y*g&*rikK5$lFP7&5w*U&J?flKeO{~eb=nq_7#|IMH-dZZdre#d# z`#^n!7Q&B4t;x)j!;7WL@yEyP=hn2qulJJxHp!0~R{azWMdi<}s<)_TpJi8YQy8+A z630n|T>gML7W1eTL70Vwb)6@brOaRKsV!A;l&p}B2%?mh3hEK*@k}-@>I_fA8sXmV zYJm*`YH3ofj>7wRJmB4z3uQJ3ap%N3;+15!QWL@I7<=K{1~6R99cXNV+I@q5Wl;3H zV{qQvQx-#_8x_l%B1YkN;hLxqN1K574!+h!Lw~2abXSsiERuxF!ZfPVHJN@4|QZmn@8POf118J{|+TW z(}BM|XGi(@U*SXT83Q#;&*b0m?Eu9?n*QjRqoDbpG3KU!HL*TNixaK=6F&~qL|Qr@ zqhSDBpo<{|}RUsqX*) diff --git a/lab2CA.runs/synth_1/CPU9bits.tcl b/lab2CA.runs/synth_1/CPU9bits.tcl index 623234b..96e7183 100644 --- a/lab2CA.runs/synth_1/CPU9bits.tcl +++ b/lab2CA.runs/synth_1/CPU9bits.tcl @@ -17,6 +17,7 @@ proc create_report { reportName command } { send_msg_id runtcl-5 warning "$msg" } } +set_param synth.incrementalSynthesisCache C:/Users/ecelab/AppData/Roaming/Xilinx/Vivado/.Xil/Vivado-10176-DESKTOP-8QFGS52/incrSyn set_msg_config -id {Synth 8-256} -limit 10000 set_msg_config -id {Synth 8-638} -limit 10000 create_project -in_memory -part xc7k160tifbg484-2L @@ -24,21 +25,24 @@ create_project -in_memory -part xc7k160tifbg484-2L set_param project.singleFileAddWarning.threshold 0 set_param project.compositeFile.enableAutoGeneration 0 set_param synth.vivado.isSynthRun true -set_property webtalk.parent_dir {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.cache/wt} [current_project] -set_property parent.project_path {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.xpr} [current_project] +set_property webtalk.parent_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.cache/wt [current_project] +set_property parent.project_path C:/Users/ecelab/ECE3570-Lab/lab2CA.xpr [current_project] set_property default_lib xil_defaultlib [current_project] set_property target_language Verilog [current_project] -set_property ip_output_repo {c:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.cache/ip} [current_project] +set_property ip_output_repo c:/Users/ecelab/ECE3570-Lab/lab2CA.cache/ip [current_project] set_property ip_cache_permissions {read write} [current_project] read_verilog -library xil_defaultlib { - {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v} - {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v} - {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v} - {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v} - {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v} - {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v} - {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v} - {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v} + C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v + C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v + C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v + C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/EMModule.v + C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FDModule.v + C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v + C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v + C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/WMUdule.v + C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v + C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v + C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v } # Mark all dcp files as not used in implementation to prevent them from being # stitched into the results of this synthesis run. Any black boxes in the diff --git a/lab2CA.runs/synth_1/CPU9bits.vds b/lab2CA.runs/synth_1/CPU9bits.vds index a64412c..0511bf6 100644 --- a/lab2CA.runs/synth_1/CPU9bits.vds +++ b/lab2CA.runs/synth_1/CPU9bits.vds @@ -2,12 +2,12 @@ # Vivado v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sat Mar 30 15:52:45 2019 -# Process ID: 9028 -# Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1 +# Start of session at: Sat Apr 6 17:33:19 2019 +# Process ID: 7092 +# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1 # Command line: vivado.exe -log CPU9bits.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source CPU9bits.tcl -# Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.vds -# Journal file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1\vivado.jou +# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.vds +# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1\vivado.jou #----------------------------------------------------------- source CPU9bits.tcl -notrace Command: synth_design -top CPU9bits -part xc7k160tifbg484-2L @@ -15,89 +15,108 @@ Starting synth_design Attempting to get a license for feature 'Synthesis' and/or device 'xc7k160ti' INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7k160ti' INFO: Launching helper process for spawning children vivado processes -INFO: Helper process launched with PID 18388 +INFO: Helper process launched with PID 7732 --------------------------------------------------------------------------------- -Starting Synthesize : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 364.258 ; gain = 100.730 +Starting Synthesize : Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 359.789 ; gain = 101.883 --------------------------------------------------------------------------------- -INFO: [Synth 8-6157] synthesizing module 'CPU9bits' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3] -INFO: [Synth 8-6157] synthesizing module 'instructionMemory' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v:3] -INFO: [Synth 8-6155] done synthesizing module 'instructionMemory' (1#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v:3] -INFO: [Synth 8-6157] synthesizing module 'dataMemory' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:3] -INFO: [Synth 8-6155] done synthesizing module 'dataMemory' (2#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:3] -INFO: [Synth 8-6157] synthesizing module 'RegFile' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v:3] -INFO: [Synth 8-6157] synthesizing module 'decoder' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:261] -INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:266] -INFO: [Synth 8-6155] done synthesizing module 'decoder' (3#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:261] -INFO: [Synth 8-6157] synthesizing module 'register' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:766] -INFO: [Synth 8-6155] done synthesizing module 'register' (4#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:766] -INFO: [Synth 8-6157] synthesizing module 'mux_4_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:396] -INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:401] -INFO: [Synth 8-6155] done synthesizing module 'mux_4_1' (5#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:396] -INFO: [Synth 8-6155] done synthesizing module 'RegFile' (6#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v:3] -INFO: [Synth 8-6157] synthesizing module 'FetchUnit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3] -INFO: [Synth 8-6157] synthesizing module 'add_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:56] -INFO: [Synth 8-6157] synthesizing module 'add_1bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3] -INFO: [Synth 8-6155] done synthesizing module 'add_1bit' (7#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3] -INFO: [Synth 8-6155] done synthesizing module 'add_9bit' (8#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:56] -INFO: [Synth 8-6157] synthesizing module 'mux_2_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:325] -INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:331] -INFO: [Synth 8-6155] done synthesizing module 'mux_2_1' (9#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:325] -INFO: [Synth 8-6155] done synthesizing module 'FetchUnit' (10#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3] -INFO: [Synth 8-6157] synthesizing module 'ALU' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:3] -INFO: [Synth 8-6157] synthesizing module 'sub_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1300] -INFO: [Synth 8-6157] synthesizing module 'twos_compliment_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1365] -INFO: [Synth 8-6157] synthesizing module 'not_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:676] -INFO: [Synth 8-6155] done synthesizing module 'not_9bit' (11#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:676] -INFO: [Synth 8-6155] done synthesizing module 'twos_compliment_9bit' (12#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1365] -INFO: [Synth 8-6155] done synthesizing module 'sub_9bit' (13#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1300] -INFO: [Synth 8-6157] synthesizing module 'or_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:713] -INFO: [Synth 8-6155] done synthesizing module 'or_9bit' (14#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:713] -INFO: [Synth 8-6157] synthesizing module 'nor_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:632] -INFO: [Synth 8-6155] done synthesizing module 'nor_9bit' (15#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:632] -INFO: [Synth 8-6157] synthesizing module 'and_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:175] -INFO: [Synth 8-6155] done synthesizing module 'and_9bit' (16#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:175] -INFO: [Synth 8-6157] synthesizing module 'shift_left' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:842] -INFO: [Synth 8-6155] done synthesizing module 'shift_left' (17#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:842] -INFO: [Synth 8-6157] synthesizing module 'shift_right_logical' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:916] -INFO: [Synth 8-6155] done synthesizing module 'shift_right_logical' (18#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:916] -INFO: [Synth 8-6157] synthesizing module 'shift_right_arithmetic' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:879] -INFO: [Synth 8-6155] done synthesizing module 'shift_right_arithmetic' (19#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:879] -INFO: [Synth 8-6157] synthesizing module 'less_than' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:309] -INFO: [Synth 8-6155] done synthesizing module 'less_than' (20#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:309] -INFO: [Synth 8-6157] synthesizing module 'BEQ' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1414] -INFO: [Synth 8-6155] done synthesizing module 'BEQ' (21#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1414] -INFO: [Synth 8-6157] synthesizing module 'mux_16_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:524] -INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:530] -INFO: [Synth 8-6155] done synthesizing module 'mux_16_1' (22#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:524] -INFO: [Synth 8-6155] done synthesizing module 'ALU' (23#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:3] -INFO: [Synth 8-6157] synthesizing module 'ControlUnit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3] -INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:13] -INFO: [Synth 8-6155] done synthesizing module 'ControlUnit' (24#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3] -INFO: [Synth 8-6157] synthesizing module 'sign_extend_3bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:985] -INFO: [Synth 8-6155] done synthesizing module 'sign_extend_3bit' (25#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:985] -INFO: [Synth 8-6157] synthesizing module 'bit1_mux_2_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:339] -INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:345] -INFO: [Synth 8-6155] done synthesizing module 'bit1_mux_2_1' (26#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:339] -INFO: [Synth 8-6155] done synthesizing module 'CPU9bits' (27#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3] +INFO: [Synth 8-6157] synthesizing module 'CPU9bits' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3] +INFO: [Synth 8-6157] synthesizing module 'FDModule' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FDModule.v:3] +INFO: [Synth 8-6157] synthesizing module 'instructionMemory' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v:3] +INFO: [Synth 8-6155] done synthesizing module 'instructionMemory' (1#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v:3] +INFO: [Synth 8-6157] synthesizing module 'FetchUnit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3] +INFO: [Synth 8-6157] synthesizing module 'register' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:773] +INFO: [Synth 8-6155] done synthesizing module 'register' (2#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:773] +INFO: [Synth 8-6157] synthesizing module 'add_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:56] +INFO: [Synth 8-6157] synthesizing module 'add_1bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3] +INFO: [Synth 8-6155] done synthesizing module 'add_1bit' (3#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3] +INFO: [Synth 8-6155] done synthesizing module 'add_9bit' (4#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:56] +INFO: [Synth 8-6157] synthesizing module 'mux_2_1' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:332] +INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:338] +INFO: [Synth 8-6155] done synthesizing module 'mux_2_1' (5#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:332] +INFO: [Synth 8-6155] done synthesizing module 'FetchUnit' (6#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3] +INFO: [Synth 8-6157] synthesizing module 'RegFile' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v:3] +INFO: [Synth 8-6157] synthesizing module 'decoder' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:261] +INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:268] +WARNING: [Synth 8-567] referenced signal 'En' should be on the sensitivity list [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:266] +INFO: [Synth 8-6155] done synthesizing module 'decoder' (7#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:261] +INFO: [Synth 8-6157] synthesizing module 'mux_4_1' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:403] +INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:408] +INFO: [Synth 8-6155] done synthesizing module 'mux_4_1' (8#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:403] +INFO: [Synth 8-6155] done synthesizing module 'RegFile' (9#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v:3] +INFO: [Synth 8-6157] synthesizing module 'ControlUnit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3] +INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:13] +INFO: [Synth 8-6155] done synthesizing module 'ControlUnit' (10#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3] +INFO: [Synth 8-6155] done synthesizing module 'FDModule' (11#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FDModule.v:3] +INFO: [Synth 8-6157] synthesizing module 'fDPipReg' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:849] +INFO: [Synth 8-6155] done synthesizing module 'fDPipReg' (12#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:849] +INFO: [Synth 8-6157] synthesizing module 'EMModule' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/EMModule.v:5] +INFO: [Synth 8-6157] synthesizing module 'dataMemory' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:3] +INFO: [Synth 8-6155] done synthesizing module 'dataMemory' (13#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:3] +INFO: [Synth 8-6157] synthesizing module 'ALU' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:3] +INFO: [Synth 8-6157] synthesizing module 'sub_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1341] +INFO: [Synth 8-6157] synthesizing module 'twos_compliment_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1406] +INFO: [Synth 8-6157] synthesizing module 'not_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:683] +INFO: [Synth 8-6155] done synthesizing module 'not_9bit' (14#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:683] +INFO: [Synth 8-6155] done synthesizing module 'twos_compliment_9bit' (15#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1406] +INFO: [Synth 8-6155] done synthesizing module 'sub_9bit' (16#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1341] +INFO: [Synth 8-6157] synthesizing module 'or_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:720] +INFO: [Synth 8-6155] done synthesizing module 'or_9bit' (17#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:720] +INFO: [Synth 8-6157] synthesizing module 'nor_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:639] +INFO: [Synth 8-6155] done synthesizing module 'nor_9bit' (18#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:639] +INFO: [Synth 8-6157] synthesizing module 'and_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:175] +INFO: [Synth 8-6155] done synthesizing module 'and_9bit' (19#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:175] +INFO: [Synth 8-6157] synthesizing module 'shift_left' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:883] +INFO: [Synth 8-6155] done synthesizing module 'shift_left' (20#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:883] +INFO: [Synth 8-6157] synthesizing module 'shift_right_logical' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:957] +INFO: [Synth 8-6155] done synthesizing module 'shift_right_logical' (21#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:957] +INFO: [Synth 8-6157] synthesizing module 'shift_right_arithmetic' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:920] +INFO: [Synth 8-6155] done synthesizing module 'shift_right_arithmetic' (22#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:920] +INFO: [Synth 8-6157] synthesizing module 'less_than' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:316] +INFO: [Synth 8-6155] done synthesizing module 'less_than' (23#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:316] +INFO: [Synth 8-6157] synthesizing module 'BEQ' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1455] +INFO: [Synth 8-6155] done synthesizing module 'BEQ' (24#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1455] +INFO: [Synth 8-6157] synthesizing module 'mux_16_1' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:531] +INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:537] +INFO: [Synth 8-6155] done synthesizing module 'mux_16_1' (25#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:531] +INFO: [Synth 8-6155] done synthesizing module 'ALU' (26#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:3] +INFO: [Synth 8-6157] synthesizing module 'sign_extend_3bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1026] +INFO: [Synth 8-6155] done synthesizing module 'sign_extend_3bit' (27#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1026] +INFO: [Synth 8-6157] synthesizing module 'bit1_mux_2_1' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:346] +INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:352] +INFO: [Synth 8-6155] done synthesizing module 'bit1_mux_2_1' (28#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:346] +INFO: [Synth 8-6155] done synthesizing module 'EMModule' (29#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/EMModule.v:5] +INFO: [Synth 8-6157] synthesizing module 'eMPipReg' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:866] +INFO: [Synth 8-6155] done synthesizing module 'eMPipReg' (30#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:866] +WARNING: [Synth 8-689] width (62) of port connection 'Din' does not match port width (61) of module 'eMPipReg' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:49] +WARNING: [Synth 8-689] width (62) of port connection 'Dout' does not match port width (61) of module 'eMPipReg' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:50] +INFO: [Synth 8-6157] synthesizing module 'WMUdule' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/WMUdule.v:3] +INFO: [Synth 8-6155] done synthesizing module 'WMUdule' (31#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/WMUdule.v:3] +INFO: [Synth 8-6155] done synthesizing module 'CPU9bits' (32#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3] +WARNING: [Synth 8-3331] design WMUdule has unconnected port reset +WARNING: [Synth 8-3331] design WMUdule has unconnected port clk +WARNING: [Synth 8-3331] design EMModule has unconnected port PipIn[50] +WARNING: [Synth 8-3331] design EMModule has unconnected port PipIn[49] +WARNING: [Synth 8-3331] design EMModule has unconnected port PipIn[48] +WARNING: [Synth 8-3331] design EMModule has unconnected port PipIn[47] --------------------------------------------------------------------------------- -Finished Synthesize : Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 420.883 ; gain = 157.355 +Finished Synthesize : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 416.430 ; gain = 158.523 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 420.883 ; gain = 157.355 +Finished Constraint Validation : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 416.430 ; gain = 158.523 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xc7k160tifbg484-2L --------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 420.883 ; gain = 157.355 -INFO: [Device 21-403] Loading part xc7k160tifbg484-2L +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 416.430 ; gain = 158.523 --------------------------------------------------------------------------------- +INFO: [Device 21-403] Loading part xc7k160tifbg484-2L INFO: [Synth 8-5544] ROM "memory" won't be mapped to Block RAM because address size (3) smaller than threshold (5) --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 420.883 ; gain = 157.355 +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 416.430 ; gain = 158.523 --------------------------------------------------------------------------------- -INFO: [Synth 8-223] decloning instance 'SE1' (sign_extend_3bit) to 'SE3' +INFO: [Synth 8-223] decloning instance 'EM/SE1' (sign_extend_3bit) to 'EM/SE3' Report RTL Partitions: +-+--------------+------------+----------+ @@ -112,19 +131,21 @@ Detailed RTL Component Info : +---XORs : 2 Input 1 Bit XORs := 162 +---Registers : + 61 Bit Registers := 1 + 51 Bit Registers := 1 9 Bit Registers := 10 +---RAMs : 4K Bit RAMs := 1 +---Muxes : 7 Input 9 Bit Muxes := 1 - 4 Input 9 Bit Muxes := 5 2 Input 9 Bit Muxes := 8 + 4 Input 9 Bit Muxes := 4 + 2 Input 4 Bit Muxes := 2 4 Input 4 Bit Muxes := 2 16 Input 4 Bit Muxes := 1 2 Input 3 Bit Muxes := 2 16 Input 3 Bit Muxes := 1 16 Input 2 Bit Muxes := 1 - 8 Input 2 Bit Muxes := 1 16 Input 1 Bit Muxes := 7 2 Input 1 Bit Muxes := 1 --------------------------------------------------------------------------------- @@ -134,33 +155,14 @@ Finished RTL Component Statistics Start RTL Hierarchical Component Statistics --------------------------------------------------------------------------------- Hierarchical RTL Component report -Module CPU9bits -Detailed RTL Component Info : -+---Muxes : - 4 Input 9 Bit Muxes := 1 - 8 Input 2 Bit Muxes := 1 Module instructionMemory Detailed RTL Component Info : +---Muxes : 7 Input 9 Bit Muxes := 1 -Module dataMemory -Detailed RTL Component Info : -+---Registers : - 9 Bit Registers := 1 -+---RAMs : - 4K Bit RAMs := 1 -Module decoder -Detailed RTL Component Info : -+---Muxes : - 4 Input 4 Bit Muxes := 1 Module register Detailed RTL Component Info : +---Registers : 9 Bit Registers := 1 -Module mux_4_1 -Detailed RTL Component Info : -+---Muxes : - 4 Input 9 Bit Muxes := 1 Module add_1bit Detailed RTL Component Info : +---XORs : @@ -169,6 +171,15 @@ Module mux_2_1 Detailed RTL Component Info : +---Muxes : 2 Input 9 Bit Muxes := 1 +Module decoder +Detailed RTL Component Info : ++---Muxes : + 2 Input 4 Bit Muxes := 1 + 4 Input 4 Bit Muxes := 1 +Module mux_4_1 +Detailed RTL Component Info : ++---Muxes : + 4 Input 9 Bit Muxes := 1 Module ControlUnit Detailed RTL Component Info : +---Muxes : @@ -177,10 +188,24 @@ Detailed RTL Component Info : 16 Input 3 Bit Muxes := 1 16 Input 2 Bit Muxes := 1 16 Input 1 Bit Muxes := 7 +Module fDPipReg +Detailed RTL Component Info : ++---Registers : + 51 Bit Registers := 1 +Module dataMemory +Detailed RTL Component Info : ++---Registers : + 9 Bit Registers := 1 ++---RAMs : + 4K Bit RAMs := 1 Module bit1_mux_2_1 Detailed RTL Component Info : +---Muxes : 2 Input 1 Bit Muxes := 1 +Module eMPipReg +Detailed RTL Component Info : ++---Registers : + 61 Bit Registers := 1 --------------------------------------------------------------------------------- Finished RTL Hierarchical Component Statistics --------------------------------------------------------------------------------- @@ -198,8 +223,23 @@ No constraint files found. Start Cross Boundary and Area Optimization --------------------------------------------------------------------------------- Warning: Parallel synthesis criteria is not met +INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[46]' (FDRE) to 'pipe1/Dout_reg[44]' +INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[50]' (FDRE) to 'pipe1/Dout_reg[17]' +INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[48]' (FDRE) to 'pipe1/Dout_reg[17]' +INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[42]' (FDRE) to 'pipe1/Dout_reg[44]' +INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[44]' (FDRE) to 'pipe1/Dout_reg[0]' +INFO: [Synth 8-3333] propagating constant 0 across sequential element (\pipe1/Dout_reg[0] ) +INFO: [Synth 8-3886] merging instance 'pipe2/Dout_reg[4]' (FDRE) to 'pipe2/Dout_reg[6]' +INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[10]' (FDRE) to 'pipe1/Dout_reg[3]' +INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[4]' (FDRE) to 'pipe1/Dout_reg[14]' +INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[9]' (FDRE) to 'pipe1/Dout_reg[14]' +INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[14]' (FDRE) to 'pipe1/Dout_reg[11]' +INFO: [Synth 8-3333] propagating constant 0 across sequential element (\pipe1/Dout_reg[11] ) +INFO: [Synth 8-3886] merging instance 'pipe2/Dout_reg[1]' (FDRE) to 'pipe2/Dout_reg[2]' +INFO: [Synth 8-3886] merging instance 'pipe2/Dout_reg[2]' (FDRE) to 'pipe1/Dout_reg[11]' +INFO: [Synth 8-3333] propagating constant 0 across sequential element (\pipe1/Dout_reg[11] ) --------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 598.238 ; gain = 334.711 +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 585.000 ; gain = 327.094 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start ROM, RAM, DSP and Shift Register Reporting @@ -216,7 +256,6 @@ Note: The table above is a preliminary report that shows the Block RAMs at the c --------------------------------------------------------------------------------- Finished ROM, RAM, DSP and Shift Register Reporting --------------------------------------------------------------------------------- -INFO: [Synth 8-6837] The timing for the instance i_1/dM/memory_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. Report RTL Partitions: +-+--------------+------------+----------+ @@ -228,7 +267,7 @@ No constraint files found. Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 598.238 ; gain = 334.711 +Finished Timing Optimization : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 585.000 ; gain = 327.094 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start ROM, RAM, DSP and Shift Register Reporting @@ -253,9 +292,12 @@ Report RTL Partitions: --------------------------------------------------------------------------------- Start Technology Mapping --------------------------------------------------------------------------------- -INFO: [Synth 8-6837] The timing for the instance dM/memory_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing. +INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[3]' (FDRE) to 'pipe1/Dout_reg[6]' +INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[5]' (FDRE) to 'pipe1/Dout_reg[7]' +INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[8]' (FDRE) to 'pipe1/Dout_reg[6]' +INFO: [Synth 8-3333] propagating constant 0 across sequential element (\pipe1/Dout_reg[6] ) --------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 598.238 ; gain = 334.711 +Finished Technology Mapping : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 585.000 ; gain = 327.094 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -279,7 +321,7 @@ Start Final Netlist Cleanup Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711 +Finished IO Insertion : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094 --------------------------------------------------------------------------------- Report Check Netlist: @@ -292,7 +334,7 @@ Report Check Netlist: Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711 +Finished Renaming Generated Instances : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -304,25 +346,25 @@ Report RTL Partitions: Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711 +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711 +Finished Renaming Generated Ports : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711 +Finished Renaming Generated Nets : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report @@ -339,51 +381,59 @@ Report Cell Usage: | |Cell |Count | +------+---------+------+ |1 |BUFG | 1| -|2 |LUT2 | 4| -|3 |LUT3 | 3| -|4 |LUT4 | 27| -|5 |LUT5 | 10| -|6 |LUT6 | 37| -|7 |RAMB18E1 | 1| -|8 |FDRE | 21| -|9 |IBUF | 2| -|10 |OBUF | 10| +|2 |LUT1 | 1| +|3 |LUT2 | 6| +|4 |LUT3 | 17| +|5 |LUT4 | 23| +|6 |LUT5 | 11| +|7 |LUT6 | 9| +|8 |MUXF7 | 1| +|9 |RAMB18E1 | 1| +|10 |FDRE | 58| +|11 |IBUF | 2| +|12 |OBUF | 10| +------+---------+------+ Report Instance Areas: -+------+---------+-----------+------+ -| |Instance |Module |Cells | -+------+---------+-----------+------+ -|1 |top | | 116| -|2 | FetchU |FetchUnit | 31| -|3 | PC |register_1 | 31| -|4 | RF |RegFile | 71| -|5 | r0 |register | 42| -|6 | r1 |register_0 | 29| -|7 | dM |dataMemory | 1| -+------+---------+-----------+------+ ++------+-----------+-----------+------+ +| |Instance |Module |Cells | ++------+-----------+-----------+------+ +|1 |top | | 140| +|2 | EM |EMModule | 1| +|3 | dM |dataMemory | 1| +|4 | FD |FDModule | 46| +|5 | FetchU |FetchUnit | 10| +|6 | PC |register_1 | 10| +|7 | RF |RegFile | 36| +|8 | r0 |register | 18| +|9 | r1 |register_0 | 18| +|10 | W |WMUdule | 9| +|11 | mux5 |mux_2_1 | 9| +|12 | pipe1 |fDPipReg | 55| +|13 | pipe2 |eMPipReg | 16| ++------+-----------+-----------+------+ --------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711 +Finished Writing Synthesis Report : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094 --------------------------------------------------------------------------------- -Synthesis finished with 0 errors, 0 critical warnings and 0 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711 -Synthesis Optimization Complete : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711 +Synthesis finished with 0 errors, 0 critical warnings and 9 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094 +Synthesis Optimization Complete : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094 INFO: [Project 1-571] Translating synthesized netlist -INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement +INFO: [Netlist 29-17] Analyzing 2 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 684.082 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 682.445 ; gain = 0.000 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. INFO: [Common 17-83] Releasing license: Synthesis -73 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +100 Infos, 9 Warnings, 0 Critical Warnings and 0 Errors encountered. synth_design completed successfully -synth_design: Time (s): cpu = 00:00:22 ; elapsed = 00:00:26 . Memory (MB): peak = 684.082 ; gain = 433.695 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 684.082 ; gain = 0.000 +synth_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:23 . Memory (MB): peak = 682.445 ; gain = 424.539 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 682.445 ; gain = 0.000 WARNING: [Constraints 18-5210] No constraints selected for write. Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. -INFO: [Common 17-1381] The checkpoint 'C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.dcp' has been generated. +INFO: [Common 17-1381] The checkpoint 'C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.dcp' has been generated. INFO: [runtcl-4] Executing : report_utilization -file CPU9bits_utilization_synth.rpt -pb CPU9bits_utilization_synth.pb -INFO: [Common 17-206] Exiting Vivado at Sat Mar 30 15:53:22 2019... +INFO: [Common 17-206] Exiting Vivado at Sat Apr 6 17:33:45 2019... diff --git a/lab2CA.runs/synth_1/CPU9bits_utilization_synth.pb b/lab2CA.runs/synth_1/CPU9bits_utilization_synth.pb index 0e56dc691e9a43f25e74e35505252f7307b33505..c2cf86b6d28764e986d05592baaada601748c57d 100644 GIT binary patch delta 41 xcmZ3;w2*0nxqODxTwVqqH;<>hJ3U|7ycJ?#h;Z5~%)oQZy;UScF=}GiX#g0j4bcDq delta 41 zcmV+^0M`GZ0-*wsHy@}$oecmCMo8riB&aDo?GgY0l0v-`01U=Qg%p`^laW@-60!~; diff --git a/lab2CA.runs/synth_1/CPU9bits_utilization_synth.rpt b/lab2CA.runs/synth_1/CPU9bits_utilization_synth.rpt index 2b9cc8b..ebd1e89 100644 --- a/lab2CA.runs/synth_1/CPU9bits_utilization_synth.rpt +++ b/lab2CA.runs/synth_1/CPU9bits_utilization_synth.rpt @@ -1,8 +1,8 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ----------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Sat Mar 30 15:53:22 2019 -| Host : WM-G75VW running 64-bit major release (build 9200) +| Date : Sat Apr 6 17:33:45 2019 +| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) | Command : report_utilization -file CPU9bits_utilization_synth.rpt -pb CPU9bits_utilization_synth.pb | Design : CPU9bits | Device : 7k160tifbg484-2L @@ -30,13 +30,13 @@ Table of Contents +-------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-------------------------+------+-------+-----------+-------+ -| Slice LUTs* | 73 | 0 | 101400 | 0.07 | -| LUT as Logic | 73 | 0 | 101400 | 0.07 | +| Slice LUTs* | 54 | 0 | 101400 | 0.05 | +| LUT as Logic | 54 | 0 | 101400 | 0.05 | | LUT as Memory | 0 | 0 | 35000 | 0.00 | -| Slice Registers | 21 | 0 | 202800 | 0.01 | -| Register as Flip Flop | 21 | 0 | 202800 | 0.01 | +| Slice Registers | 58 | 0 | 202800 | 0.03 | +| Register as Flip Flop | 58 | 0 | 202800 | 0.03 | | Register as Latch | 0 | 0 | 202800 | 0.00 | -| F7 Muxes | 0 | 0 | 50700 | 0.00 | +| F7 Muxes | 1 | 0 | 50700 | <0.01 | | F8 Muxes | 0 | 0 | 25350 | 0.00 | +-------------------------+------+-------+-----------+-------+ * Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. @@ -57,7 +57,7 @@ Table of Contents | 0 | Yes | - | Set | | 0 | Yes | - | Reset | | 0 | Yes | Set | - | -| 21 | Yes | Reset | - | +| 58 | Yes | Reset | - | +-------+--------------+-------------+--------------+ @@ -152,15 +152,17 @@ Table of Contents +----------+------+---------------------+ | Ref Name | Used | Functional Category | +----------+------+---------------------+ -| LUT6 | 37 | LUT | -| LUT4 | 27 | LUT | -| FDRE | 21 | Flop & Latch | +| FDRE | 58 | Flop & Latch | +| LUT4 | 23 | LUT | +| LUT3 | 17 | LUT | +| LUT5 | 11 | LUT | | OBUF | 10 | IO | -| LUT5 | 10 | LUT | -| LUT2 | 4 | LUT | -| LUT3 | 3 | LUT | +| LUT6 | 9 | LUT | +| LUT2 | 6 | LUT | | IBUF | 2 | IO | | RAMB18E1 | 1 | Block Memory | +| MUXF7 | 1 | MuxFx | +| LUT1 | 1 | LUT | | BUFG | 1 | Clock | +----------+------+---------------------+ diff --git a/lab2CA.runs/synth_1/gen_run.xml b/lab2CA.runs/synth_1/gen_run.xml index 15deb9f..cee688d 100644 --- a/lab2CA.runs/synth_1/gen_run.xml +++ b/lab2CA.runs/synth_1/gen_run.xml @@ -1,9 +1,11 @@ - + + + @@ -30,6 +32,20 @@ + + + + + + + + + + + + + + @@ -44,6 +60,13 @@ + + + + + + + @@ -73,6 +96,14 @@ + + + + + + + + + + + + + + + + + + + + + + @@ -94,6 +108,13 @@ + + + + + + + @@ -148,7 +169,7 @@

vh5f*hZr13W{t&&(XQYHxBYFxBNsn4k zV8LTpPIJ#yJV(lxa;9VjL#$%82?|XlDps+iDpuxXDpmsIDm2L7s5?jRN?RnJW^yTa zct=v9p9EuXiiCWo3bgs!+K1HtxORBy9%Ai#z*oo6%R1#3-kRVjbn-Yt@U0V{EwQ0b zV4e=x-wkMNzeDHZipKW8!05UPMeK>Dkn(~LRIFSr!M;p^#tX)-rL`!9P6HB)iB+fR zz+A^j)IIb9cA8Pn>OfZ%m8Hp@$^Q+31j&k^g}NSO5H*+u^o-&<`caMTShnuWD>ugV z`~6mt#Iv9!AMY?7`=3JP>xP@xiIfmaMR;r=HNXcerVUooynFYTE%rbtQTB#Xv6425 zR;gC%$40DDuM4ugo0i0&kwbYD8i9QRq{Qvr(|+4}22o9#n#(iw8i#PV$XQevokR;f;^5 zQK;A%`;V1Vr3MS_*n;ft@8>1L(Ap4xFaa4Wz z?1aJ4n#;@G>p`y97J=VJHgglY9*UPV1QJO$CW+U>B2N{@IF9ejk*z#1Z$yJrNNETs zBXhlY83UgDO&iym{{$-9zqy$BTf?*YZfF@=`#aI23t>6k7*$jKF{8546>lAN^H3Ao z!n)6P^Li8#+Tw5Elt)6@vT`v+4W%8Z;1qU9OcRg*JOf#;0cE4*{1&KEgRkfOSlrMS z(sw5SA(gv6g2_5s00Uuc0TJi{9@GIn#<4HUD9V+Kq4Yc4E>NIc3#TeL*IRV~dpLpF zzrFdgs}IY((JmDeR7H}S<2Ixtsv(qtY>q+dl4Xvb(YziGU?1&FC$KaSNtajDzHV}C4%|iyunmRkmV!J?e4~Hi@Yz6T znd~mNhg>@<-Iq@Kyv(87da2+~7dhU9$&mHeu>@X}^0teQx7;GQY0G4x%=p>|20Gs> z%|l$@DNikdP;14uAg9X2ss_RGC1sCZ>!%0hdl%lxF1+@3+$pbEyW`<>MsPtD2+j&2 zFlz>IulXeoxMaH5TV`)Oiw?>JvRCXT0u79%s~30kt5~CEBoktMczKrW-up)hWit!U z-`}E%H5U$dy}S!N15uI_f|dNJVasVDpZgYO?^60Wj)f$Y_^_OYbUG4SzLje z(jW|SK4HJ0_sw?1j7H zDmQ=U7je#SC60C$U4^NfirHdVVgmNNnW&(p@BKfXGxd?`L}OmyndG_)IZ|#*2AmA~ zY$|^AC(A|RQE>=*elDNRRZ6rK2RY1W!~&g{y{yk>F=x72E>u9_ssAotTE&se8aB$* z!UxlT$nA>A)5@h&$RIDOtOFfp))ugpy|h6%D+_V+*5la%(ek0kj>U!1x5p2+v|9{_ zz4zH-s&x2mYAd2v7jk3CwVFRxgQww6s3g@2?-Gg!59ZwHm1)^)#%@kFh^rb)J=6g8 zE!T;DBi5zaC!J{L{`X$ipPh;F{RzCH!i@4s+;R5qVBXSx#3YScS(w0`%8JjAYcpPf z*5r$6`J7x9ky}=aL5%?UNM+LYUQ)b+^LxSR?7rWs^Az8qF?Y%9EAC6a*C&q*VnnX8 z<*_>iujdcq9&sntWw*#idMoJ*vEo{NuB|DY?H|Y$nzQfxz{>~=PFEnL+lj?@(ST#Q zSw}~cjET$KjOW}hK8|un-^@Yd09l!2ryWek;M&qA&gHKr{Um!D*aZKROjm7TeLL&v z^;Yfv&^kDGnZxE%F+3L40Uvmk&VRR~l&MKwEsHv`Gr_{4AXr^{V#6~?ET`O)F)<2R z-!io5(<s`NeCNwV~XkUBi5%>CvrshIrdkq)<@>mB5Q z$m*2Hwrr9%K{0mzhQ1(p*QdY>lFDnhj^DZWpR`G%O`B`k?47_?+BIU_Q5nWP`w!@~ za}H7Wxnv>~RF}~tzZVKBlS3u!)I=p{b0=uW7Zxt+XCg!?sK2@--70Et2I+aCM=R9v9Ru?jc6>oZJ6s*5}a*gQquZ6QtUkD5hX~Y zex?tUNxt+Fb;m&&8K^Xp`#~rrnZPoXEXA%aSTWW(&P-bQrC_yC$da>0TW zSVTth!k!fNP&P&VEXXhwi|QsV-^j($salAUsT~H^dO9-3-rx6$Ed7zo3`53^@U`_m zLMa3c{|BBxVZX>S{1#-DHmG=&C`*kfwW73)`uq3&V;DcD+l<357wvLz#uZURZ&$yK?uD)(Q)4pLF(+M;Z?^~`O}6+2E*it^H4 zk|LCrCcW;joK7xE%Os<2sM@|{CX^g$c(-BHSsYy{SR5s2=YOZ$bx1Pg&$x`fEV436R%(v742m+_ zp(sn9ZBdjZ&uMN^mOS3SXf3Om=&~q{fcFSQS@JygL|O8@Zz0N(XBCRFY;uQ^?Q(ZkG}_L_aN;aq}_wOdKjlY8$(eBZSpp6c+(SbHP&_)N^ z=s+7CXrlw^h7I1L4804R#ko67m&Mf*4Db}nTize{`^V+MDO?dihW&7Q<6XQ$M(4WM z4L>$$5RGw<(>32?agBvHnM>T&qO>YX#ni#2k}IYTE|nY`Pf;p4>9h-nPM^D$Vh*PY zL|O7+gyGCXmOImBQ7RFPES%XW%3x&S{6|p+BMWCqiZU2k*hw$SXk;*i!`{6pOCF>X zP74%e7{cM?K~cuJi8T>&OX0*(Q7UT|+U3%s!MP-_S!j1I6%hoNgsxZ_Wl^-X2if%? z+a6@!vu^U`SafAkuuz$=m5Y3;{MPcE2;6wLDo zEJ1asC7)Q~zAXc)I6wEd&zq#X)K8FPwQXz?Mct@&6NhTyPgo-%6;+?j?va!C=Rg9jyO`-7>s;yI6^l zmTih{DyeO6o63Z<`3o5Lz|_9BN^33v?0OV~+)dNNu7{M0OI0@BDq8~mlIM+-zZsEw zR@g_GB92C6?q?YrU+ykhb!$!DP^QD3eZ15e0b`TO!B}7l!mTrc*k(#-*@}~5lp{Hz zIFd7-E=!95k!ZHy&W72{HN1F6hRb}ojq~|R1NW}OlZ(FX+J;w2rVPh)wt^PPXQkVC;~F8&9J)(4qCj^kL0-VfWs7o|l2#cEnd^{Q5t%d?d zrfs?PxpO+FEX&Aa-jW+1yc3)CvW-&Ip(fW;@?~x6kY(-5mO)V$vz(X}h0bEi7i|zz zx|jkkwu`*ofkkg}&qD5QfOW>f8A8gGh>BG)g#}Gkd0MQ`P42?ASvQaI_^qdRErwfg zj%LYH*%=#A%ukoqpEI-5EB~&~gV{MI^AQr3um+8qW_HHBrkS#VZ0P5I=?O8ssF_AG z<@ay#JeZwK``4fGvJ-lL`zc5x`K7Vu_fuZ~$WvZs3iJ09S%B7`$O_FW;no7Xxj+({wlWjFRAL&jr<dD{*R4%%Ydz0uD4PJm1?<%h7;gH{b@-gVkr zR$)geCLWz^56=}@IeGXgXKyjrZzSpQ@|cW==ctU#zqm=BhLiA?!51U#bf1*RPrqL_ z9N@V-Ihc!w-pcD0`vT(k)M-&}mULu%qQ%W@_Od4HudG>|FiucDVVn_p!Z_hM$s}ZD zK8Dj!y3)$_uWXnnK@D?qNW$s(GDvvYkO0wOcXQHoL6)5n(Sqf1nK;QU)x+Zxo(7f# ztERAz_2+c{`E3#XaFY29llW;I&VU)aEN-tWd3X+7@^Zx8Rx*y^g_p;NeWvWrw%Og8-Px;XdL$`{o0x;S5>t~wk?p$*j*V7E zo<_26Z|uNolgd|!NwOROolb$}7R73vGeF(CuW|;uCxhXW0rLgB?TM=!BQRT$?5i7B zpuaszhaPVo<_+hEJ%cb;b36Y4wE&12X; zwV~KOtmv;lJv!*8N3X~eKeZ*O2QkCk%bHx;gp6xip8lk}7Cq8wca81q+xQ8i-p870 z5fNVd_pzpmyHvrj5fv%E`d1b};%ZWRB^c(7XPlAuPxCI-$g=!yUeOl~)|EP!9iw)T#DSjK*g+QdTQysr{npJ6!m!`U*+CihTRS@<#ZnX= zNgXwECt^74cY=0Ihn?S!@vz@1T8fZ(=Vv1p# z8wV4Q;_D$V8r7Bdj}Y=AJJJdkNW{FP9JGUtgK>R3*6CYUkf2286cHsEY>^De$PH7CY~qa98N6cW z8It$v#PFz_nXpAh?2Vo+J~B;K)8GvsV-r{*1}2^|@xbB-@oK2rqJ^jPz(!?i9e zHpdx|lnh!*1~yr$;0)HXR7wVY>6%HQC5HYOofVxCIC#UwwG8(%cDR_Hq;|i|KqfmX zW4NddZAev=NO(IC?N(9rM>3p6?LpekmPnQes&U2M$dH`_#?Z*97)OYXF>B1Y8lyQ{ zFw4-h!kVDA5)2XQ5uVtpa`^fntd$a@1}h>A6XhY7^N60jA&#r1{dlA?Xz`1+h#X(< zs?JOPkez)C?uuuyf9x)K`N{X|Lsod0;Gkq#{P&>UiHCVvnTSBJBLG~Ny2&(j{BMRe z8EDEtX*QVwCrVk>0+ak@-EcZMgItoaFs_IhiOa)0Yg!l~;f|hilI`-M z$Sh;T|}lkbYIe zEG(INn2XXP9w;M`Yw?j0PZlM5ZD|(k2;)fPwSzW{AMR8NWB2nP5J#Ad7c__C<@`8k z%B60v{Lh7|Dh?BOWaW)cHlmcteMX-~!%V)H6NzN+r-yjiAGR{4xZ*zSfmEwhB9O$6 zi_p5wsJ^p5lC0NOh>Y5mUW4H(F0xjrBAbD;YHSl~VUMC_QVpqu)+jpdlq72yt_Ro9_Sl6xfj)Loh>If@=8qlY za;On4F)8X9J$%HXM7!TGfhkEj(axrayvX8>xEPxhBuP=djYynOTWCNID#%5ST_PYs zEeHt)9nZ!0Mvmy0_{z(CsBea~m?1A(I1JS)=249;o{sUcq8$FvP~&5BXLtlvzA|KZ zM96hEJB*rfb(Q1Siuq7N!vo`5?ob0`Ue%BYI{@QAArf`~$ALm75&%6B+9un;!j!M2sflv&_tPAcWPeT5gHjxlP;-)3C!h>@W{I48$H}yQ%h6 zwlQqE04z1l~q^DV2}yNk=KQc$&{QeRRnR;t~Ir$&x`*SDYeX>;S~ z{oWLRe0BMv^OrBGbQUX}YxDh(6MYsj)enor*%mR=4{5R1BIf!bE7n-V)_%x~UW-`h zhgPxLA_o1C68#o2-4B5{%OYm`AtTN_(x2I0S=2tTW8H>Ti&m5uRkrNhQQtPO9tG7? zP!rF4bNp#Wiu1Q@9;kQXB!*GqMQ^zL0knzFc(eVfMvC@I=gu9}A^qZW-dulnB0KWx zgY}@k87r_!d}HV$QdGs0#An@7f>_Fh;_E|8Nt2ZG#0zdI=~&A7;%i=uKf_3~b=#Kp z<+@A=?c%FpZ*{jX+Lnro$WReyiG2-DZjQL8h`nwuk3&gZ-!R2*j<{Vk&Zmmsc_tC- zaJu-dyAG`+XPMaJE`>XxBCfMaK@IH_zp+Zep<5|-TPabS&Jn+M7o8>H>%_J0qInco zi5uM90&!Q1m)w%`#N8;au_}Xes33l&RXdQ??iSBjDRE*g7QfJh2bS>7;#sX?8khTX zx%jvx5GUmd@pHF8?uP;KNh=F37=z-M?kqemW$`I@9ayi{is#&1?z&#_Avc%1y+=In z=CV${L43l^Wu2^wPiqaK9>&gGB0gdfaV$<2Pgq3kr7rPdi-^j$Mm%W|QKgIGDT|28 zf0}sOB4RgQAUM4=B*d|n`S3@Jo0-y@j%l=-8>*5|4k1M)U+~?x)q~0LzZJHySFQ*BYHCRvc#&L=GZe!Rvip!gm6mm1B? z)Ck4CSp2l{2!FbsIm3IB+?4L~#1GtjnSGxpzVGH|n16>Sev6fJ@UzUnLj2C1J;&@f zdE!TIexCVvdg5B87^-o3;>*%+@x(r><4B`b+johd*gex0b1xS^v$|D^mfV{?ah*LT zyqQb(W#W78dZ(CuyC?QG&G08letTub;MPt|6X1kDRy^D2;NXIBocOps&MZ@Q)qYR> z%9RlppX0?dE)Fgw3&qoo!k=hykTG9;#=$^Y^TcOevTzMLK|JN+;8Jv=c(QSdKTdL} z-Z*D(^Taout!d-d+~tXDnx^{WCA+$uL}9Lr#21~JWT#*2iJv>!QrE8Y#19>f!k(Qg zUT`aED`&h^>~;+n*5_#PoU=fwe)o9dmukV4Y`MMh0&}MLwlk|N@@`N3#!*)+@(l3> zS2yGCag6w+Q(}Q7-r$KnO-YHkEgUI6=gcRk*u9?kwWB6D;B&V4X^^~X$SBtMUj`v5e+jt=#CP0%o!AOGHc8IT=1>nrM-Vv%H<5P)qs8$P{4v`Gw(s1?877Hi zVjOD+VnK{!(}kD^zK{684%wnHVqu&B>o#5-8{^mmQ^e6Rj@6tg=EgV<@N98ZjH6DD z6UUA9M{oh)iLT(z^v}N0+#76_@ zgu{K&ZhKBLFBxiW^Zg?XcH}|U2RCou!NQLc*&&5s`%B^^7d!Ov(D7<@u{k%kz9vpS z!t&c>Zt2{iZ=7>w4}Cv0U-M43bW_m|E;!k|j|FH%XPkx83?cqpk)FjKvUCSz!C{vR zQBCr~d^1ElPCJ7qXCCY4vY9R(YQ~jfNm3-68v(k~Wv56~FkHE7P9AD68Zc)hW%5QH zpb=ei=0n9p(`2cWhXal#W@kdC+`j|dE>BDFq}dQaMpP0!X^REu-xia+P=$^Zr#rh0 z)#oa)!dXpJZZtwW5+c)CDRO~W>=c=y6>NDdQeQUv$t0>fd9A!eo#o_VRdD+_H?E4> zCqhL^i(Y5G0`)!9jz;pnxA)k&V* z>Cw76Gr`M-BikZYCV1q>5O133bJiu`E$2KZFVFT*e^O?s)n(C>hYe z(6P}6U7RePnY_C-5$;m z;)K&9T%hZ9Ih1&$lTO%$rbA|3atQ7a#@}xTp7l?P7oT!(kiklrA(uPIi2ITOuh$=@eb^-d` z+pQYNBLj3x_B*8Eo`b$3dgBQW+8JopUzN;4sf#jWi2D|I2jyU^*lATSJgTqL8S53UI^^JT@wl^g zZLnQDYOUze-Q&uG>T`2In#4jYOK91k3x1r%3C$U37V|AmXv3hFJz4{_nIsmKfxa>!FrLBw`zD_XE$VGyhQwhh7&&<<7MKvX*lt7 zF%_wz^z~IoK^_Y-5VrAe-0;{ZRaMBFv=35?oB>gnigC&L2%M_g*`74@0L=fop0VwVuTt6_^!aRQ%ys z-wO5d5h#ZMl2gX474GAC1aTkFrG(V5C0OAKW>|!EsL1rov3~UP1+{vugG=;DSXilL zB!a1L81MVl4s2GngK9pFQEZe%r=q;}Rvxqy8RYRf0duz(2wFJVpFmSK{C$HuwPln= zTUlKO&DaUG4w~E54rrL_PpoxNl!l&dbb?A5$74}7uILzqIvE;=HNkeEG-$+juP9bv z1Xdfrs+%WZcdqEJ${vN2v6oh?TDA;EVlBFh%S%C_8URf3p}R`)zu0&+h7(baB$f!B zLTOg^V4kA<5OD^jVT{vE4%1W@bDHX6B2Eg^R2Op^f%RG0O;w|o&W!FHq_%iT3T9c90A`1jQj}(nMG4^WP%zFQ`lR3plMq0Q$`cY&aI8fM;5dtthT|bR@ODzB5-QUZ zxMwucJ_BDQR;dP`^L*SzmX|XD>M4=>;C+<3jHy53HRCcV*X$JhnTKi}Vx;9C&~RGN zKS1Qs!-}44V)PW+9`%9Ap)khnxai zA+Nv!v?{O-3JjL0)~6{jfYZ5T0_Dak;ehQ638&elk#GiRU$J7dYHv9vhJYV?XB=p{ubb4 z_-&+JdkpTZHSkCJ4LA*OJsPXoIM77o4j7B8v|+=*2wVhf9rYr-gOZ=3mzOShoXRBIq*~3?E`0$1PLSSb|oRQgJ5kaA);Wi z+mr;fjNq(L0>a3;o7%s!8y=(f_jK1_9Il$ZXumu|%V#edFdvsd2A-8b7Cs??9DGs& zd3a6&t?(%c6yVb&x3>z|thxtQ? zlz!6*{*5Lb5$av=eVR(_q1I6)dFRGX<^7nw)jBKg^$nDYaa7o^bDlCiYD8)OkuV;o znS>x=Dy9;qVvsNu(=an(JkBx&r(w29$iN(vkd5rKkdTY)vyhO77L(8#*;OH-5ZP5B zp$+CHjK_Hi<8gk%csx2`JX*F@3Kp1zAYm$|5~gC1Fcs6VFkw8NUMoa-@nCcF0+IZfqb$i&gVqSOIIWy8+isc0>Q1DP8ab z{`R4Phss}qzaX>}pqWPBG%o)1%}5)qltn|6zB#nju*C3~giH8$Qhp+!^8b(&na@o~ z`M=Cr^3wR^GZd-(*OKx}9F_m8oJT4Df3kS3K{rr?wzbn57Wyl1lHaTy+0;AX*IGJi2&em{mX3~YFdapgt8{dF z!|8sZ8T4f|Po6RG09Se)Pve5G;~8A{<8tT-2+R4cRt`DVT+Yw6baWJi>3-+ot`m~5 zM1qY|HWOe4faj6ljF|(B$ukrmYDU|Tsw8ZR9}K?M}Rv% zwJILEf8qhE!8Hw`JHw6xZRby$ZcKiv4-r=DSk1Y~UebJ-R+&a(D$QOlF~=W*!>{R3r0^f~^faVMs4MsCEFEN;Z~ z&7t{&{TCi@ac%yUtv{){KV_RvsqR-eOCB9PtUsT9N!7iMR9E7tx?kfwYIy#e)5g;O zk!93iZv&a3(g~BE4Xr?$#qu(XJs|L+(UvAV4f2wt+9WHuJ)BVZT$u`Ry zk7kWJ-DZNH@B>HB@~0=xyhE{#RG@wG&2j+c7{3V2UMPBuxYF}kIH#I0-uFBCJe<+7 zI5_ew9468^xcbFkn2ftpbSlnCze8I_^i#idOlo+Tx6pbVx|p}po@V-(w|O)CDF#W6 z2cH)xPxE#fun6|!B%%`BsHDZdCtdNfH2qkf6W^qy zkq3I0k|q!8Il;}OmgtARL|a^RY9Ef(V^mahc+cs-N7~c_Q?V=g)Siixuq(rpdnvSm z34DCdgj>i~K(F*RG6dNxy_rB|x-%+jKdK7akZ|&}ZZ_98_A)NnWM*10#JBqEMgZ$!mYg%kz;4 z#bb!?TA()rL%d)gXZDf%oH?g3v(z#XP{|P6eXTsRHky&Jt_qTm&82Z2%b9a2AmPR> zZf0LGfb~54r%&WpU}6pM96LiFdQ=_it9uQ2IptP038hj-0g4f0>bbdv<%+pl1LU!9Qhg{Fd_KyYVZ@)G{T2!Ez0<+6T9~y)ur{Ox=`v8G$>8~iluU&Fn06|sbmoHLD3zO zmi#W!yY~I#;9M#fvL|eYKIJU%z^OM2Kukg*KK2yj&p)KzWP_xN3V6{Q`YgI*+eO?=}ihbK+44rGu%Y~{T6bGuT>NE8<#gmVU%Zb zJW`vGh2JVzp@yt67AmNSKwbhNNq(;g%csF;PI&8zt_W6M=!vRWUAu? z);hTAE+y3#UlZ-+5jx=f^0Dm^`51uo^>8I)`WudmR>#585U|ei-4FO-xUxDeM5VJM zt_3;?Cu@WH5LhWqz`D85`rjuu?eBUz@<3->nuSEjk3hoO2;Eq^A+hX1%FTYqhAi4b zP+BE`N7^$J%ROic5v+TaC=*!)vlZj#4(PD)Y!>X=^c1pCY|;F691b7AGr1FTdxGEH zyHolm>;KgIVR(PCh?6`<3aFvvomdUxGFi`%4| zRgh}vBF3eg?{+9kY~e$`uOu@Xd|*9?p%~6r$IPs(>S|&YOND?5)S8*BN9I;hppt%0 zSk-kWKsH^UnT3AVLxST!$31sYyUlfl1P}NlI$0FWCk>{4J!=}zbgj5Rq#GfG#&(1| z=@BTP&~*d=w519S*}{q+3Q}%DwAI|yd39grjyy+}&jzD57(|Q^SDs7lqV{C^!K*?% z0eGaG8%f_xt9UK2CfDy%Au)CRRh;pvz4a!(rw~i7Qb~R zr)KY9>_%U&B##Qic62W*;>5%!nD`-M@C1d`DNEhtJ^NL6cstkXNF)YvaH(UE<@#SB z_9i|NEd`^?;(uk6umv5L7)h_z3+}|BrgA} z-RK%+@dp_Hx5FJ{x99?erR9g4%i>J?7!S+V;G>JRY&WxIrXah8iY!$6{fXc%7c8C! zB1IKJFQPP*n@38(b10=w#``|}e&Mad=%S~#`Il{=EDD;2{K;0S`3Fj}f0U9iKyulz zmlc{{s+1OQFo151cnb}VKSf>M#9`D5=hLM3mf zt^U{(4|C0Z<=I3;i#QrHOq*Mj%PTtj^`hmg%jVm{wOOK*zVS58xUV0XzbAVVOdOMm zuHNxxW42-b(K;g42RyRh2Fmh+#kJJG?Nx-Ld8F6fQq3^gRb0eT5#DU+9dfU#@8;S? zEQPf)!JQGf8+nB+{1C8zLbA|24wvoUzl9neD-DKiN6iQ|3H{$eZCCpotYbK~^CzT5 zR_odk(2<2y6=4dIED0Lw2>G@-WLyhBLZTqw{bRj6xonlt^luUi)+JdE zY)hF|hx(OGc5_S3$#Y%iGjdDwx*U&y9HRkl)TcczF#XAl9?`>4CFcj?4m;ALsxyJ{Lk~z;Y5&U!#<2;=QCBmx)OopO_kH(%mT- z+sJeT8tRKhTMtK?5Id;2_M~L*s3zEsF-^i7JJkU>Fx*sL1zc2=-bg6$D!?cpd<9qR zM*Fq4l1^)M0?;Hey#n_UR2wRS0;*37CE)&H<#W#x;X6|u{1&rG2ULEbSeY^tlYH#q ztB5cJJK1>gb6f@W{wKFsI{O%NlYX0WY?I{< z)UYI;cgbx7d8FU0OkBSJE~fub1aUFY0^#mZs0H{Lm(7ls7QVGc4r01oK~=6LRv`Sj zGH7M9(#-G~A5fp1JXYmCP15P>VOa{2MO+qX0PJ2Bx&v?p)JTaz1n!9GS~H}OH_Td9 z-rTD*!gb{?yJfTEFeBG-Z<5U#@0ua6=c*g!*rq-o1Qzy$x?KZS=e7C%m$}`{;ydie zUqQTWv~`E4l#PjCbAELH-26eM!_+F*0e+UT=eA4loL<%J_OP~52T!j_CylG6@?Q># zip$w5zC#Qc6xmNy_pOSS_B^j@DbNd|s8fe)t1J%#rqV_SZmw5xW$Jkq=GMkZ*`8XB zq*W^Ee{J}^Z^FdOnLe{&6C1tB;g-(6ds<>WHaq8>^{tcFzBHtn| zFY^?I-`M_&n(H7Aw?wsU42#3OF$=HR9rbNsdzr<_#wRqT4%qtp)Y#cDUWS^w!rFhf zgpJr20tF0oj4eMQ9B(9PdD2+|xYgtZvh3f=X%`yJ?Eh$NRi zT2kKQZ~Zxp9_5F!Pf$(eXF6rf`sC)NGwJ}NSW=hB>6Mkj-Ml?lF%ZEa7ArAmJg~XlmZRo<|AISOV|!gey{; zF22yS$C5Y4qDFD3b0@gb@>#@PgcH*`T9hnAo{xuerj4?0-qxvY--5x0ieOQ2JXTUh zs%Fd%#x!;GjE?V5xjWS)y@SYuVAF2Ej7a&(ww6WVtwADrCI;bLVi00_lD)y?c{<&A-}nieZ6g;o9{jFD)H zlqV!=c-SCD`aJxxY`vhtizn!Lk-;&W-uAgEq<7ai75S)?@~C;bJ&?*V0)2o-@)sJ3 zkZRE9xyz67%EA^O1gU#gOII|qem<^COcb%}dQn_=(XYdwpebzgXFwz;WySx|um~p{ z1W%TMi4DQJ*9XS26l&_9yyuoU3!bT}Cs#*59Fj=FAal^pZX%?7yZDzPd)@w3wH?kr z+T!xc5Gp|y9e5%t+a-6a1iC8qYerXcp21Yk){QhYcgJ@O@e8Hxg(Hv=9yA|a3Ae1FE=A-LOmbQPWhjX(qVve{Q^O>Xz4 z{QE5n1jbnHKh)PY4+2U4Em@n7ryaDHU41up%*lVx&xBm<7(ulOoy@%+lA$Fk~yujiJg5`bF%XKZly z?r)XOjQj6p4@$SKojt*#I)0LY*7qJ}y25`}lLmLRY{$6bxII+1yP>(H1erNn7fLoN zR#wj)H_RSp98KJ+h{zqzmHf4TBJgcUawAic>7i`SjkM?%3^Cjfu^C^6Sg3Z35K|ie zbP;2Fb{U)hwCp*3_9!J-#b4YOii&3|lR|AApX-S#jJJM7>BKxsw~xBfFz>eRIjSI+?d9w-C~xcPNWUa|ht% zfIvokj8KN(bP^BapeV1x>TSL7WXxVVq}|1neRpDl#s!8E;J)z^u}u$t*V4l7rWy>o zfpiENb45FrB^dHN#LyM`JnP!$a@mtLtiYnce3&c|KOc#X@>+)>*|@4RTX^6SKI}E1 z-?_=EZN_B~F9B^6H^E9ma^F=2Gi#-y4sHcs?ts}5)U1JIAGnhesXiDg%N|ZHCM%2g zz)2x`%%E^WUd53|zDypbScI)^VS}wvs50jkKW}`-PvO&jeRiNXIxM*mEMD`gG-D8B z&qzvZ4TpLk>4sc8GLDF@=Obk=8>ISp<2(L=`+5BASFy0=9DuS8rW(~lJzhlqP^?wY zp?AG2O)_%|M_)6|Ek8PL;0yw7+hd$;p=3)1egjSB>)y^A3}+PW;ydWo62Vk$L^KLgT$|1m6y>y0;2seqEA`BNInQWB*jZ1P{qfpSc66o#4cWM+0;iAEqJT!j8n zcD&Q%-4!N}m_s0(_Zk=du?KlZF<-UaA}Qo+{0hKbSZW_jHIJA_{(>%RW+tkk|M03B zs9HPRnFCXf5o>NJ4bDho8>Mk`VF-t_A_DPY)P@_4lSu6hEvWG5D*t~;oB&FrblbX4gp48U~vp2=1J{=r7dlx6{d z%~vVda0#-XhKKynP{e-*eaBJh)49}Lq$=*jUoZ!rEfIbZxO41D<{{3m@6|gpF6<<& zzvM4CC6=}3jvHOCtpGNE5=+u4kO`)~#>fCQ7#}Uq&w6o%V4vLzy3A&zCGlorn<#b? z_9Xg7_h_h2{ku5}^R9OL$lMOAp4+4V9n+)}fKeel_6m@H==d2h53EO;Hu8*JWe|^C z0vQo7Xv3Q5vzguUXCf0Hg2vz_)=Ui}wQj%Jrg6%lVo2n#Bsb(u@V z-cr@wXDhQ59(felC1R6C1u+_!Z4Yiv+%XqaR)&HyX(M&E z?i)u)wAGsD&m=#WUl2~Prs#wvM-qgA8ast?CAHQjDoY3sPSF-142 zpF4wLxH`J7r_nQvi>QsLnbwF(;NEJNXz>y(LcqopDMA1#{>25JpblrnZNzCoYX9d1 zwpRMemPYxYCvFpp`-6rZ+~y5b%B$Vm)!!jmcU^PGm6yh^2P(8+eRO1jO^8ACSV;0c z@(|s7{hJ@F2`fmI49*VLT1w<#|kOfxx;K$XJY5Z=Lr|EyG zYzvqb^sReQ7}-xuRM?CL8#bHd=cL3SXA}%oZQ>0gi-W!ki!|~A?5p@3ZJ+}B*DD2A z+w8y)?(w%YbwrLXx;m50d_xtTmKCZonUXAMP&+d)y7Z0#f>lLgPvCDbaVvd29{3Xr z7+r~|xe6_j5@7Dl4G=wIDcFEr|DXkHDg?)z{Q4R8rb6EkW7HTJdzt*GrTC5r-t@s^ z(ojJQx0SKQ3P6p5fj8w|Kbn(yaRZI~6e?l6!zgTjAVT7{Yk9QT=)N|*_8O5$zxmS_ zu8cb!>Da2-W1~k|7qc>&b?HNhnkmYi;_lpgq0uChMwqvx_>U~p`BE9j`h$r7K5f-R z-P~%Ull(TWOL!In@~Wf;WYq|!uj^|4h1*yMcZ@miTYMoD;vV|V*@1?u8H6X0g>~wE zq8Mn#*p{V8P7XT%d!Yk(g{Ln0aP<6(!|pltVeZCOc*3SpnlaRp%oqkAPA*$#1dWGt ziE7nEWl`>H_+?y2wxkb`yzTAqG@y}x*`%g3zH~7K@SY#N7VC6$_?BfJOOr5ko{5`a z%Tf6WH+}*r{Vu^j((`&*T&*rcGCzh)nd*TzczXz}8DyC;9>r z#YiM-oMY3?Y(>X6^dUs}b|(LmI|;lxMNp4gQsI9c zS^Y3vZrN6yG&uH7ncr1q$ea*dS&COuf4rwGv>t@5*(;%t~Wf+MK_8Ra); ztbmfyB9&c}qI!oiN{}=j>Z&IL6@s?A>!b@Y5|AO!&^j*-5WgMECD|R+;3x5Yvgu?$ zqoU6t8qgKVJvf!$G$fqk6MiBu((Idz8z%*Jk-)k%T|%YB8;8aEj3Voga{`QH2l?>C zMdv9GDov(I^~9X+o@2l-fjq!6?92^2{yPW(`06O#EZS??X)!azeZ;PTCxENg@mvOa z$5dgZlm)fn55Izvt*=QJ!<&QcOrm440KJ_?MjT~D&B6UGcldE6Kun-2*nAb9RVg;D zivyv~3kh`a2x}Y*6XT(^pKG>fn}Xkl4nbd34fF|U;|c|F$WlQ^-j=6?Bb@5PYB3j1 z#_&e;q$dem$l2Xu9!wxTj*}uYCCpJt|rba*rj;* z(zD8y6Q*88nj@CiGtw_dDihc9a9c&X>TxnXX?g^3;xm);I`}N7bMMI}PcNerU?0+F zv)#&((?_W5r~9KLP2RNRYm(7YWor~tP3k+#jz-{FN;0IHesu{Z$$GKbjO+nXCM(Ba zCQMegZ)Zm&=J@AW2+wT_MpqNA(=Z0yodi#!=1U>^Y!@CRW?QKX(2~1L9&YGvwYdWO z?i-us*!39kqDKKi*hg)kVw@Gt2uc_$%nin{PkGrlc$MIRN|wRpQ5aFAGmZB8t}C&-d8+IF-~cUGe?^|6 z>6`FxRq68JDGoymzy6&?{Scj`^q#uPOdmDP9gsk{esmZ1)L}rfK>0LN%0%qNSL<@M3e7)3iNrfjJF$qV zH5Q}_NCVRRRdJP4sHw-eWxaEdXuX#I-L`Gpwr$(CZQD4f-KTBawr$())5fpwd*7M4 z^GtT7_TE+bBrB^b`6Da&hP!b<)NoZSZ$!g-oS75;gz12+t5W(bVgVwWw1edwg=CM$ zk&8@ky#bz{B3@j&%iXnenjDpBaH!py$qs=;h(dUDBt9kIOY2KYGgo%@=Anv)P5y%b zw15xY^9xaETVGUj8XV+z=tGm&ui5&+$O2Rz-t-5uhA|;UP#4yo9^5N53j>O7q8f4g zve!8T3FpPCxy$ds02EjUP;PM1G^G&Qg(+`I*=h)Xf$@o^;2T~um8cQTE)s;BW~ACd z#NAz@j?d`KZhF;xN&9&hC?C{v-}`B_ysA3UU;~<4+j~@sY5YURDT>VRkxJYf4R*pQ z$Eu0`B9y9B4J6DWpg<2C8!inRoBBY9LB-!FCYs{1n zwbo=#zl>djKO9%mo187mZ0w1Oy1cQIS>(pRfPFg3eN62HN}x_XC~KBMG{{JGwglKY z2Uzw5fLzeIp1uru%INnQM@FKZs~S5(5>1|ult{Vj--1t7GCd(#yfb~ z)pjS!5ll{c;r(njI)$T>3fmI!dXuT*idBy1`_a&1hH84qYav>${Dd(Vm)nvVSdafs zZt)F(3pe!AwUL{Q%b%gk6w$|Cy|R8BqwIf2K1FEE-wTn>9Ujlu4@CbuW5pVqnn`xu zPv>QUnF13uD#s2jsJEwzIrHK6!_1+lc^$W@sB3c#ux0m(_Z!teBLx-YSD=s;V-@3# zE)-f`eLYdwt7HWXDl&KA-D@EXg?3+*ut<4DGS63q7&r=>X4zct4}je)>HI5yEt>;e z0d#eDs(^7!Y%ti_3@SFNKYrGuna5`Z?_XHK?yxO07^a+PdVo)rVqu}H&3TaW1fj+h zWXL9`^968(C)xwd(}|TT0l9m zX|Da!-yXDOs)+2oXE1(S?DMk4)D>$ouHCo^51>ceV5Ir<%OuB#F>tU>)n573iiY7( z%bG}#xE>kH|CtEI1O9Wt>2n=+lcL8)VRMzY|Am!=wNudx-Y@{MX>)oF``gkPXt zst2T#`1DQb8FIR^EFcF;X!_pK8UB1Is(-}B@NJ8(VKFx2B#ls&HFsg0ia~tRMAnD< z#-VU>oH%eiFbD7~>UqM-?jL;sVB~U@c#6Wg!i%8!GUa=bAdAA#2cVIJu9>kgva0w} zNDEa*hbo`g=+02aJFoT}0j^;seR}5qTCuj6d&XYxPN|RD-R}-)4Rb*o#e}oYEUzWk z*qf0RzBGJr`z}i0{lNfR2Z+0ND3!D_5(na8%g91pf{hd&Gr=s>+6mCeFNeM{wi2kz zNg-JPc?TEa0$Tq&J97OH$1@-%+<*`~E^AT;yJ^vW0ec}-PIN)i9hLn2yF|1rkTLOq zl3LzG&C7w8m&oXR8@`8UnzIpa5seM5+S7KryK}Zu0oAa`9a!pD5;MzK?#Zn`Fv*`% z#HDQjft4|oszbXRi7=i;M@vFd_rZfzAlVcLVTy29DjiudsLKOEi?NfZX}69gsJHK9 zkbRp)pIqf#z#ZDQ*x187##_8_)W){7SHO%2eHhmJkg15@~2Ep4krx&o5~n|o-*3oVnD;V;z%2%x^v*1>=9R5o(9P(qnLWq zW|kT{Q{~{Djx#Ix+@~`R4BVB4$I%mf`s=W<(uy4!8$OB)#!Te+1e8pKNU+O_2Hfeq zK>nD8d(o{!eCJC)Rk9LS5h3)0nd5b?J>>Qa{;xd*XjVI$X`C#@Q2N&=H;PCK-3z@+ z3JppLZN547yNq{>aO5G4pGlPNk{HS46+PxQ=?}`` z_b_7^f5qGoNwx;(7>)^}YlKq6|5~KA~EF-`#cy#WnKZw#>z&7oP{J%4V(;+03`T8;L-Yu z^3FjCs*9m`rT*GK=%?dQE)ydMHKSWT&c)Pa?@{j2ix*ah+|2^*3Ot_DQReXROfN9= zn1AGu6v}{vV1eFqtMO8mA~bt)4NfRx5K_q9eH;5}hM%Oyuq0Nss((v#U1P;*2rmE{ z$25T1_TsVl`roe=Xc zE328!aJNYwdj0HT-7nyA-#dW1>}=OU)D4A|pB3+hs(KbIxB^~PMsifHgsiaW8s$gP zo#e2-?6wW~()~JVCu9DK{(1H}Uk>e0DM5~<&2r9Ljy zr^SFCUbyJsdRZ@H`fuWs5cT$JCV2QuUt$Mo10x30u^C-ddgLR+^uYtcD=>Kg=<8Y> zXj<8t+)&bg*tecSXkn^>QoO+B0KcqO1)|AZqwMeHNvVu~c`*imQkQRaofuRDf)|O* zz9r$zUb1t(cSCZAM}gz>Y=$SqW}b4-0k(Me6@QG5&KRQ zTHFR==9AW=4-{V6IodaJ?2wBF3EJ_&MB25|09KPBLWjp9vwsOa;j;8UFBvgc>AyTfaa|6%wAE&rue&ZpwvJ1hQ&36X-()QHJ*Wr zb<-8sCNCiauB-A9+_y8B4+6gLS}SIHw)JOLF(_HWPs|jKppd1-PFYMypw^O)B!NmG@{tO0Y4zrG*Y^f?sFz`}2USFcMJ-g3N*?du9O6xs zzbA3Gyb}Z`>Q94#<}g$>*-p51*R)oXaYG!OtLRiSz&j3(1}-Pr1;wdp#M6ZTkBOrm0ck=G@aX$xjCxV;1wbk_TkR)Acuz-rqeLzyGg$7 z|C)f-2SNjC$C@54C)bOOJJt@EMN1`o(tjxEspH*$Z-S%@lAGTf?x<&_+5bz%6 zeQde-DP&iOaz`@bf-6KaGZq?Mha*+Kw-2%x3C(tiAuU{LkOJvx?>7XACTAZ8$-Z1J zGe$*U*_)!Y9KyWy&x$iQInNoVh&f3mI9`)E(pL%C#CV4|gnFYJ^#ZhCE<$xkPk0{v z?-WLHbH^9QNRihm6j!4i14-i7e6NuNR)1h-Kh8F*sU-^L6cNXd?zF^baFniL_WSv} z#LYk^2Pv7U3;g9}y2;7eU@aqs*xO}BnW{(Efk}*WtPggT(Do*nB^or0dxS0oYHS9e zwMg7a1X4ltvB(w!xi4JLYy|d*De~!1a^t94QYdXT!dIoFe(gBTcvVT?K;)+s_XlHg zP!9JV>mvU6eXH0Pa7%cX+~V=@y$k08*s>SwdFlMb*B#K<4jQgBZ}ilTfEJ=87y!60 zNTP9nwB=|!QOR(ng|`AeLuMA6h~|*N&J*inToPCRbS*^m(E4H}vx5iF`$8>FUCx6~ zpqW69+vgQ~UtVBN@plzK@a>}x9R})re2vL-F{bB zv1s4vQkC9riWLb84Uw`T(lmOocDr3$_>yW1%qp{K)BK)}hi4)CfHCk~%r@puDPM&3 zq%Z>dXcI#l0+?FkB~y9VC}rmI6S!OtGv**;8{ttZyWT3)q$V@`7noknnXD4iHD_dr zM|?KXy0ubm36KJh4TaxhnB)|K>MA+p6%M`p`h0Ma$pG5kcs}VJjNki;iVfti)CMY- zXuW*TLCUe;EM|#cy!-e z)ce|4U0-K_PlL~?{kGN$Ak?wuHVPm`(d8^c`~m@H{RRh;a_o4yQ4eB!%ITd%9Hd+X z{=X4q=VVarYhZLc5Not1$msZ8GWt+r9I7GS=>ZMU)@#cr&e$@zvC22GGysAK?NwQT zsu3wb+HL{WSij_wq$-r~wSJXY{)RjYky~I5Yz*uUX|`uzU^KL~jXj&vpsG-=eVQSD z_TEjWWIaZW2{{UCMic&(f%*4;~*x4;sQW zeC`onkqXvuivPOoDTC)__#N~%?fAH5RGIj`T+X8IWa-)+Gz!clcyh>oH|+_$OWjw6 zU#uik`IpBv)#xr!XyXs>*{#!6C>j4T`C&duz=qk$FR%Hg+xXPc@r@-xDT5kH7B@2Y zW|gL#0m+30hDqmXL8vNDV_hcvJ^jTLeA?S0keu|!;tOa6-(6_*w57r&57Sgh9w9p% zbCAS9X`#TABI=*<07zlU!C}-Tv_AA=F}#^oqWb5g8$6`?_97YUIrv_fnw;z>AeRd% zZcb{=UQ#w@{}|R5qwHp=XuQog*Cpa_FExq}$|7|6h`1~#1?>zAQ?gA7{TBIpW4fT8 z4A%%UBuS~IY?lKzg87v$5yRD4ZZ(gh&p&F^$dVNwj_RJ0I>r~I?avR>w9`;%JK_TwLmQj%%ECBoIJYSw1Xp1aken*q~ zXU{MVA5|5~jI-m-$919R)o;A}=!j!~5}wa#fz*Y~8R-?Q*!9!!qD_Xcqz}urSi{&{SI$s3C`4c);F)wf@y%qCmRk3Os_B?-5HT1v> z7@=QMU!F$JpR3+;a6@#~#6+Aao7_fPz)-$5w?+oA)yQGRypcnro8d9!D?{|Sb9aFh z)9UH;20Yj=+$mkve2WgY=v(U)Xd^>yL!o_3MB*OLhc~Mg;MsTAPvscH1ty{DAt%sG>_GxjRcf>au7GCp*uU~I} z{EdL!xZIXH_ISNQs#$Fc`Mt}^lmXOIWi6{P7GKJ%@1N417}Y1gnEB@bjTi^HeR&6# zx=hm|`by!$!O`U03QC(16d5u0Y~gwf%82nJw*pRqW6iCio*CRwKFk<^M07n8if}`? z6FP%0qjc1PHDbPa66-cKvniH7)U~VhgihVFJA%6!ATr|NQI^67O3MwJze0HC<3-R% zrNouE1jrY{S2z4#nDgOnh6VNj#NS3pptmV|5B40WiP6g3>Ma)N^=d?TIn&?rVwAY5 zEn)wUea3kzpN#BF=V{{`=>b&yGb9sjvZ3>~nVC-*K-Rse3gURlx;#xLS$y!Uu{~!7 z2!XCHMh}|-Kmj#|VR#=sN%20(n5NkgXid8F3I3P;E(fyCCjByP&X$j_GuGPf<2qA| z?N_9|mM@t;W&5sQo1`JsfIi_UJ~UTxq|at3vm1;+g-hGeLRYxhU#?oqmQexa>3eIl zc}X(IjiMGG&3>7KQ2|;v;m^PC;Hucs97#0^Y4cU~#3zus z**96HP41Xc2w$6()Dq6k9)=b`J1()mZ)hvYx}+$VQq3~VD{x=AUrVgCsO%sZP4sr=Aid>j!oh>>0#_5VT`YK8kp^JSX#DWW7yKZ$ zgO^CVi1#$!`0l_~468?E2jXU$!01+cbZwKD`w0a!SI=tpzZy$3INDEl#gex|<_VQ7 z95(7}OdRHgDEA2{GbT=wad26J*RoCA)V{$ThT_1}dM; zVpr`$*Z0QC?2Kh16&&)cnURVA(l7Y{iwfYeCXbX>hfDiy|EZ?>)&htyYDL-DPHvMC@e=r6M>C<#Fjp=qHcki`0X(d_P=Q8gABl(xs@U|9(Z%jOU~Jpc z5(G{KB>%#E{E$_JL@R*|#9QHcJ!?j!QUq$jbO;u@{@|n}pw-Jh0|~e3S9?kL)uqt5 zK`%X`he|w^qL*jm)6kA5n}u58JOT0i3%aS|fBL~_PvjI zfb{47PQbHY#-r51jkeqY+0qsM24C+J&{0jY1Ql~QCJUv_ogWe|5B+^*hA3rLj;N~3 z=6^2h_QDgJHHqtrViUsvvFN#D2b^C%FkC_L z^g(5OUz)$uQPN5-wTfO{K!X26)mERNm%T}m3*z^B3&S{_s!;bN7K?=xYf$gR*{tQB>Eee@ z-oDr2;Y*?re^~SbZP2cW|7jlK@cHxJQXW5G$6Xnv;(&9QYRN4(8E`+2kFU3R87ZRS z^`T@PoX7ExE-0bTvq-Z3+#*h1VBD`3VchRXcxE)X+Y!!{=(0u#2SJXT=FfU%)&z~>X2 zMO$F*;R&JHs(B;Ge@k%R%9pg;eW1HFz);uItLnKum48jW9s226={yY zIuhS)$t@J{qI0#Hpb-#n%%`F+#ROoy14Q{fVxc?@nnLOMHwdgucN_PY^U%A1Bls|; zL)AQOq`PXR${&Q3z{I4=A+3wluXOX&#qopaAg0bkiVh`~M&c8a-J{;=jaWiIcX3}b ztit6=N~ivOTb5&>c?g6Ro~70_C(h^>F1Iq>p89cE=O9`sz{t>hMy8rOx@)|o_PsEI zJ}mqZ`c&N58a@Q!s})tKhfXf0y8Ba6MnFpxr@-YCJ!?NF;kQYY2tPu`sDaif3o;!f zUZS8@`v>0;UEQ%bhZV)gA}f|tA9d5QNvQC!FUj(f+>y6S`LJWAYOgQn@`efCzHr=u zztAl4jT|mD*1IhQcj@Hkv@~C0_OLOdNmFTZzR{NLM9+rIzCT;@KD-W>wrwZ^jQNq) zC<Ydb7QYIF(S+vfS%26;}e;c)CFUp4ppXurf&TCBvCu`w+GPUv#8thL3+Vakey$ zAagWk*F#lTOlqLHSA8RFGxF_wDLo&NV_Iuo1TxQr@}%&G&s0U615-@UlK0A5PpnK8 z&bQFIZrCo2*^MA0EXF@n_-;Nr-BD9M7aF_?)ZpntyHBKSw}$vW_YlPqW~#+wD_hUd zHcS~u6BjjOD_|Cv+S89Eswi7cJ%el#uiCPsxHDGEBY3pg#c#bDv;)XTgjd5c4JJs~!DC}{HH123S($@yyqGda>Qf(;;%(ZVVIJu%baJCmz+O)PcW*bP9X$H(GRdSC@#RVpij zMt-9h17!w$n8r8n*pW(}_m}4kQJvRg zh^M*goVz6nf9s$L7+%7a_;x>bms*N#HX!?!(r(t~H%Sc%NgwnvRazxXVKP5AM;gN^ zu7*a!E5}d7-{P84MbOyF+4bjD~@{=4n#Hegl1%M<4jt<`+5t@@djAN7@j271AvkGkOm4?FMT8Rn+kOMmj$ zFjbn*lA)Pl+eLX+YV?)n2$)2QE2hvF8@a0WTqCSyCX#QXa{#*lSsg^ttR6Y)z4}_C zpygMCJR0~;;7Fca>oh5aK?T;CI~fpX8>o04G-?`MB8h^9`&6G4=5jv&belHZ(TbA0 zfSQn{ujw04)h@07NdAcZTu6$`ubt8>Cw*wG#@=zO5~1PJ=@SG$3hxy(jR}plD2)NKbCHRVioFmvj(|H#&pktXakNVHfC8Z;=xj zC3#3;$YharUS0?HBtW9H&o((q_sB@sdwANE;a}StSMfb+ySa2SlbRC z=)UP7V`e)>+O_q4WFNotc2_stZ#%5Z+#S_8XChob9okj-ankO&GNqu}ZhE*@AG5|m!93p5|MN@R)n9%p*9vM$A1jmER#18f|Fl;KBidWVF!LNkCksOo;3 zRTAh?u~sU|=>;1L{AN z5!9iD`oGgw8yKH-cAl+3xCLlYThJtlL1IW4h{G(4_nSihoMC8U-a_B4>^`(*N5d~S zFcVyX=8=8t^jglaZyX+cIH>YZce}$-MRl4A8u5mh@&@MS{ITd6f{Ll$ppg8z@g_=_ zy<@^**qx_fLnx2d5388B;eZK8*gs{OtSHZ6G0a0`ITkY`TCs-FL$2S0fqw!RU~_jF z$+#>5FgA(pg-F$;mC;yWrVT4u{ox}(-eA$UX3%Y_3~d)R67sL`l$MTdvTFx|xmF?1 zc{7+8^*o`rFq3O$2t;}D>9#*!raA1D`E9+k%URnaVOant# z->0IcwkD8^SX}p=P-}XxVL7bsz@aC~(UdGh+ybZq3S{I5`gZbZH?Xl>n63`GE20aM za`rd{mIf1G0LF^o2Z1?^EN;-tI$ywrN?90zX^JJ^^D}-i8EJ2NTzXOE{o>*+EP%rA z630uTMc1lpM?PYH(L79({is6MU{kC@1|4d?^a|~#H0%cBu6laG8jMokypOBs&|=I` zn)A)Kb^Q%d=ZFQ^M;EPE|32(M#&<-of-l_GQ$F(Tj#s_F8Iv8G9~e*%IW-{2jC@IuZl%Oa5{X#upOeBj+oD8C zen+k8lzFO1x^lvU<2Gu8t{UEEyW{PkWY@~e4NKa zQMd~R2J111%wE^LYn)pyvdFV@WSkJUVb%RAZnXJd^C9b<`~% zg5If_(#bLHPdzS<9CC<@YJgSTvGA=({;R zZ8}SVKHbC9v$RY=;VyVm5%$8AuVa>sGG}`5-};=K9xrwds<&xt&2I1CdJ|W4dQ4if1FC1VUci_wr|vwTNtV zw{;uVdwtd-dGUVptNukBXd&%J7F%V!K}PRxX8=~oTUc(fAHqS3f^+qGOYPYE@NV1k z$00WPS_-H^OFkdlLPkMW6J2_`$;( zp)%N8f5zRLuoCCgl6TX~-_(n0StrPhO~!GQ9Tc1t)AR>kGcf^0xU&80yuHjL^N;p* zD3@XJ#iz=rBbhJ+dE!3xiq~GUc|_R(*}%tMgwRsx96QOpOr(5tD~Pkh_c)+Taaqnj z95+egu!3VW9l59<&b{!<1xeazUo07=d@Gk2nVzPOi6uVFYk_7`oE~ z#B#r&)s+=UsY?VKe329`yO~_ONyZKuyF}RL29q1@R@$$wiri8x!E)X!?OU6m&Gqe? z9}#8{nX!uIqduuPcjsJDgMut3R{a~otl$-=w1emtKh6m2eCz*bj_sN{aHOY*i(k8A zB5&UlZK&}?jvl7jL#ZJKw}qqFPTIT(Fo2I0lUomlT$`FyWZfv@Ooal zu$(-wJwv>E$dNzXU2pgN-7-`XZ=>YM)rwyIU1RHIKn&3?jSrsXuI%?`S!j#zjrK*7 zQN1kY9IwX$i=_ajn*xef@FroCb$N}G;Y#kB?i91m_3QJ;>6eMkAzDFq4aLml2Xx{Q z-n9=%GWAQI#DUnO^I_wMQSB}zAKUM9r<9dRIRtyL6e_(0@#pR_0Bihwc|S2Cy5N4W z0oJm!I3P`#<6$e*Dw8Te31?Z3)Y;jeAd$c-;OfI=6K*Z3=m%m(`81ls>$Y|8aB~e~ z;a*-N)! z@1Rw}uQX*CgdH|_t6r&kFX6r$!RT6yp+rHsT7cN%a2Nz93?me-gpvBIb$MD)!`a@$ z)RRv;@ z$HU8Qwk~2CjsZo8cqrxmnG$HrvG6oZC(~;1W(#jrZ!m`5#vxI9HH^KC#yNVmtjohBJqHPH&=a}KXeZ2D*F7HQIU!~`?vF`fnNQMpguUpX5fWUA3` z5IN=V>O^s+8zv8<@Kkn7*G1Kn7l_G~o-38X9s17`=hSl?mV}fV$7X)g2*8o}#v0CV zfS2n-Aodk@a$SpzPJfyjfkmtn9t8~mkyi2SRQk1I_dt5u@;c0DXT#uWT^+-wWNiZ2Ri(3U~fJh4D>4qHyK%l`Yzo!ZXk{9Uu zwANz1YD*XdE+jZf%PikX}8m zl`LWOCugtq#k z;S$qo#)P|>6Bn5!N74^|O_I=`k~H5maI z$B*0uhj@|N>HFW}cwHEry*_*rJ$Xo^t<6q^Vt@18G($gqx6 z&n2YN{_PUGVwcIPiJqqi+#D3U_Ei{L3^7Kb>L4krrof=gz|_ptT+76yehUky2vCNa1R+PG=s-gOG=`O- zjhU%|nI2$5gNgz0zfIX2TiSd6F~I{60090!O>uT{HKKD}Cq1}#U zz1A^2QHhOhT1^d%;1z|^FSI7i0HZI6%>4<>Wp&D>+}e5!9s&y|*E315Z5uMYN{*pW z66xW@qcD$dZ#eb01_F_IQ7<8O@f+U)c2v{hW|Lw z^Js<0>nw`DcfMrx@!LsiKs$L=BlG`nA2E?83PJt&r|%~t{a+thnAq6HD_Zw6{G2a; zpq~CYoN$Se|0LPY6cV(*xvFikE<+zySje9F`5cYQf$QBd#(C0l5;rocyWzc#k8$S1 zhRZKAkGVaTz`JE^dI2JBT+55iW#d}%Sz?D|DDgV}tbr<;7&&`pD5d8nU$4Vo! zFk+&al8&&>@+z;giS2;?)!ghmhzO@RGt9q0QqKGI+s5&cCy*M8A8kz$Sh5iF|GBNxoSwb>?agGQ8P{05H0Qdhl`ft+mM^o#+&2_dgbTIuV z3;rhsuJFfWarpn!>c6|{ulXOW`~U4~pKH?B?G+$EsTk~kclF<`%If?F>0x5}f4uZh z$*=#ixjE263g~|f_OSb>wf~&B{s-Jh{2%b2)7U?2`RAPTuUh`yXS1aLsO8@?&_CgS z4#xk1qmus*{4+Eaq(Q;{RR;R!`LPjT@D~sO9e^k^qqCeXwV)6)lcXiRDx-{)8a0!R dxVxPxgP?}2v6i@-qP3SAtBe!7i>V~<{{xi+A|L<& literal 163734 zcmaHyV~{05)9=T&ZQHhO+t{&fn>+T*j&0kvu{+$ct$X%;pSU-kFZV-5cm4C1+1;n( zbW~PVD#?O^p#cE_K>^MA`zt_Bh!(^E0|C8(0s%n+R5MdY22VTNxRe?DU?DV#wMS}u zt(F*)-vPxOgGS`S#85vp!OW>rNJNe5U!GQ?*?*Q8`}E!CVl!m^v1v&79k>)b?>QXR z-QN?BD@s1xH5ZzkF>uC-%AJvtQA(=tdo(Vh@WP768FN-ogI>$ihi|{6bsif|tk!F` zEWWl&P$`pPjp&5zu5dVT)F^_Rs2lWe@Upk{qhSfbbUKGr@Oqz>+>}tfNqRgwx2HhZ z5ci4h&AOeS1GEo~GNU=U=7o2@iKY?Rx_|TgrKNNq5r@2t2y^a0MV9_?q}eXVU`mei zOyWHem!CT{4Cdf?CNdS9MSDAe3I(f~1Ocp7=QnSDGE|74oC2yW`{M+!hexyQ^i2jf z^nq%Q*zRq!(b?8vY-Fy2&HTBm&zkwJ-qgmh+O@hbhBtIArBV_{%|ip>vW)}APkZFG zs#-PKr8rIxYu>w_;Tf&oBSdy_){<{a=+E*ztB~b08W_U0?XXs*YMI>Ou4aQBkrWx; z#~$w^_R8Il^Za}++g*dl_JtP+rT=_@EunRlhq|Q)bV#D%QWfFS>Re;p&(w_8&ysPDh)+e`iZah$#Od_MJ<{$XF&SDSYJxUV5yot}?z zaz3S)uX{ty89yP?w#pT16jK~QhEb$5q>efu$gPf;SJdQ0)k+4J@5J$vf;a^a@yeP!kI z`PsuzLo?>x>+SP$|NQyqV&A*yZ6i{NJaGoYswSaJ#Un$MTZx5|Twkv{{k;$G_hJ9iwGpIb*OMe3h4*Fzpv zZs#SBZ{8g`dZ+G+yZSl3T~s=4wcJt{F$nP?YbCKZo3S=5qwM&j?D~gUcOhTLm7Sm4 z>hP*ldA#iL=mQVD-!Ko{xll?Au6)^i1<|uuG&scNRk9Qh?51zY*v`-e`E6qdkZNCNwJhijS+I@Z_lA$zO2PcgigYkf=B@yBXA zvH>sT^!BUqU2FbsjE{x0J>dtpwp_SX4mFyqhke6EHBXugyu6mYrL=2EJIGz zP>gllQI6%nx~#Y`}|l-9>%# zVzKR+?32oyUZsBu$Sco2-1Db?$K33hM10HsQh7N|vBcI#h!5B#{tHh4L4?6f*zy*@ znsEDQ|Je2Ale-bKvOlCE-#%RdWMeq46!!g5du@+-KPb`q7w2br72qF(S@oD-^Ucrq zwfFjG;MT0xA|YBgK&x6uJL|D)qkpmFRZYk9sPC$``h@$+izT131Cuu3gZ$MkuNwxu z3lcK9Q)p2VbkRMi85eY4JOgitF=X)c;&$tMCrk6|?e@yz_G{|%o4)S*&;DDPiR<$t z2jr8?=dX-ggzc*YvuqG5X(k=|tG?}OSlIyc&zo&+2skP?cq+TmKpCQxZq+sedH)5O zBaZhH_AK5CwUowBwYOlX(%OlVxXf6=kA`rM0RwHZGp1O|U&iU{+UF}9jF-VmPbg4! zbY-T`(X(}o(T6)Hd`KsT{?uc>g~WzfBPk?Df{pfHEl_8a@~D+HsoR6 zFCy-&uFPC_{_`Zk)e6X@>koT}?xfeorOq<6SpOK*GqyY2(kV~MQiMV*}^~rQm0rBdj=L-;7;jFo6!V_&E<8RVcKCD0;rw+b{qbb#s1i0> z%{Lbh^;UX1b7Qnkk}M;6!*A)SF0p zk>KzxsxhL~4)K!W(zso4o6zjimJ163q9Xw5KCV6@xTYZ@m}!WB=aIXtXPYQ_7wJ$s zD|9IHmapTFZ^|N$?rnDI1emrQ#z2BrO8xF-n(*4$X#ZSofB$^qyc^;lL_)3D` zG?BD|z)J+ZD$luD_pd~P8}kU?fkBbP^R6s->ZMv8OU)F==Ti^gvZT4l$VC{d$L;=0 zi=F?a<(wkpGC1_#K9iS_=Ve*D0iUzJ=<5#cMkdX^#Q_NWeMe2uMc``B#Q=e3$%b9a zbL$)#`q>zJ_I%YZ;Fe|lw-w*%G`ail<>wE>5FMo^Okw(R>)7xXrrak<>D9T?Vuib# z6wX$RgCXeO z7|l79(Eeub>zsNlIR5GX`q&pe_g%ww_kESt7GqPT-t#14{kvwNd}|He4dh0mvAagrKhXVgql{Oje@ zAi^WB90!5(4Y@{Ig zP%4m-dj$LCAmgf?LtU=Hw7@AeM)_fo3yD@rRNxM_d5v`zA#C0>ZBv_$diKU-*sUl? zq8*417_dPN$jNmib={XGq0pLB0)TZr9ai@hnkWbSLG1x1NT^UNNT{Jzh)AhB4-*Q_ zp&cA#WJ(|}u*Ss3U6;XDK4LPg#;IFx9p?*hqFk)Ees*d6r>TEwK%^TL^@6r~ZgtF& zPuYIJixUf7k?Q$;0_aCj4$;L8I7lig+(ZE}B8Cws3htd50S_C%gsXcX)Y679Bu1$y zCW)LRp;$(|KqM2sAJU({e?jBsa-d8Mjs02$f)~p4@-@T>`S+kC2_2NcnZQ7zmTck2 z3*&^C%miPomDzlW7m^>I5N5UFg)TvfC296a*sSY9p_UAwkxNNUsIH2UnaKhrh7*Bs zkVQeP#NeO{{#y`R#Ni|7F3LVHj~Ln=Vz( z-#{NIW1I>|yai0&NMub^RN=2T)i_UordbV*FOxE3yt7ODjGG)CZPg1X-01a}4*fE0 z@BD{q65aqyVQ4hg0XBr(q`DzUkRWLSP?~>O%p)7mCF1Q^xVcm-IJkSEMYUsB z4YrM)CI8I4X;z{|{Vin?HdTm`mBsetPA!cl2Z!F?yoyWDYt{B6xDC|<4Gdp)_H%NoDwlPD+eJp3lE(x68wgUn=aV&aQu}dJ%31}Du2-c>kk~JfBStrF zdu17;y&5U_3*97Ykc{_!Ruun~h7XtgtR_wKG|oi36Pn+(7K3)jxrWlaU#SUHOSdzU zoUuphxG4?oQ(fKSYZVg7OXMl!WaC1t)KuPH`K|N3EfQ)KmpFe5HxSTz+Lsh6<9U*> z%6lgYh(r;(_^Cm9aW~RU*;5Dn-njAfBPo-}VbFsHBx!CACJn`m>BZQ~$^{B8ACL^OP#Es&J|- zBFFy~-S|#KZ%b#qgyO?4E*qzWPVej+O(tMwKq%*1uN*2bdjW?|&(5LVJf1`?5;Ofb zq`Ce!1fYu2Tdl|yJkmxfx(!tu62od@-GM~KuA}>9M|t+nJudhgS6SWIdN_n&&Yg7R zt=CDS!{=Qt+uiKH@^pzG!Q0)WYKrwYS?=53><8MkmHXzw=iT{1c|OTy!yDjfTw?sR zY#LA{Kmb;h*g*1hx@p!`Yx=?A`NJL_SaEa_phW&tEC9+C9DtbyC`A7hlYb0A8683L z{ukhFk6dz#G(wV^42ufGN3wW@K#u~D3 zCta~xi)T-;au=#rZc+LRSn~5cmGrz%{vJ_MbAeL4vQ?la|8@d@PQTF-e|8`gbwrdJ z!U}$8!x=@|mR(>9{~cc&&J48Gj3ZI#POC#z8TSXiSjpj;9!-5FD?+?sb3-~uO_rBG z0@c>`9+*Guewl~OL_OYZg&I*EU-8{TgMF^fqiSyeCl6_P)?m}^*sVHYHa6HCDo744 zPre?0JljdoErust?4oZ<2%_dE5J&st+RxMhHIfFQ5NK>r3(d-@(Wi?|XO_q~yRMcaGbG$CZkwqIqAUOV zDi31FnUG7x7KZ)bo*8}>PwIGR$KDjNagTg|d;8XoY`|I)5+sMy5>=>IC6Y5^t&12T z$o=)Fcit_tl$wB8l=j#9w|4?cqiV5IUmh7ezVoe%NCAZ1)DEy!meRe-KcZoqKd>~a z6+p1ldSDhiid z!Fp5+H|jUqD_o?>R!}8=o!$WQCS_Y;)ne_Y$w;x0tW%L%cuwi2%ZOR>P{k?CY>2czY`}LK=yGXMg@-VqMxvr+U$bO zgmT=T5qB3|G%y&48t~htyQ0tiIL1sC=tExQ54cC&_|DBy7+nRz)Qu6Y(hCxncP0)0q^toj+@9V5mQXZXAv{mhnvj63H}@x3ff#q3 z0l2)4-oGD2cUA`oFZwBQ~%+<6m%)B&HgOrse7o?`XoaXbO}1JgJkuN*pAXu1SDh6e5an>Q8|O7 zT7w9tMYqbA7zAr}V+r1uX1HtCrveQur|-s z5K@~>?%k281vcJ7CY zV@**_nBNDF&R&SGdt^h?adJ-f-GY z#j%4qQuLs5&}J4;?E>s>j}fg_6IriUEO*a+-y3*y6;{5N4C;7y zw$25jF}f>!ioyB))&en-Kla)Mb4zn=OHvmKFs7vm@-P}lTjnom_#d94zq{l&gBL^~ z7lRFi1FZ{n4Pmw4w-U6wa?Ri0wL>myFo}MjBSwGTw*k8}MxUT}`PQ`;dls|{!nj<& z;vOH~2lTEwApE+`^SS;d=rOeIa~1BTI>#@)`F{B+L7H!T{pvf^OP&7>bunWtk+<@U z+x9l__4Qjh!$-p*BSoXH*eczdpkSuKbig<+p8!kpvqxXe38&#|K4W^702K_iJ?8OJ2-;dPT3c~^iaSz@ zSCP!QsLZ*l%vMrM#RtoGdhmtx$fM9ggxWSiNjtxc6R)$zul>6Kz-)zE78~LD1CJxJ zQRP|!duasSDaDWKWcJK@ZSNb5N^S3Xn|z%4q503YXD)A`bp28@q`f3j;#WcH2$PCg zSs(h9B(LjuIV+xAgi*p! z=+^3nO6S1B)~_JsoT5$c2np>LKsVUKFvy3lyN0T}hN-&-oWRx*3at@-S$z|D-TXY# zO<-#eL1~Qxy@mn|9JEbyndU*SjdC3!C2~edAUGh0BAJT|=xIX2usH@&ATE%bpe=vU6#Vf%aR9F>@5hm_ z9rPwvAgXm4MXz_km+<|q9tTPQH?Pf1Xg=cyIvB~l+ElKO%?g%t@*Buh;P2pK$w9w2 zNdHPhoK_b|^lra<*aMgiH&BpPiw>w$IT&nghIPFCBNc+-A`bpE`^#oFbJZXelwrw9 zN+Pqd1f<9|L@_9FZn`^<)U_!WejeLz`i9x_G$FVwiLP+#P#UrR(jY9>PsdyfQ)1AT znj#`ySw*N}Hw7^;7`%bV1_rYlOeoP&k;KP4X1dtFlivD-X>Kp8^FJ@EDskkTI!(CX zhIbM}nT^ATjQA=0CrrLT9GT;zZTRw(gsEO`i6G3?z|7bbs@RbtqHmYQ;5JhJC;O$L zP)@8dH)q?or!y%q!j8?@uqmt!IW#3RHi!q}GpycI7FMLL2oDy0oHGI^r4T+}vu=er zRDwprVmVegEsOS4y{q8Ku(^T%SsZy_Q42Z4rk~6ui?YO{$KZeh`r1nshB`{Om45N=X>`m+vaApkgtvUWz3a$Ms#~1gOVw zAT-_{_j4h$`bYl~(Frjxr$ZP-Io8 zMZp;4(H~sA;!w7!li)8p6eFX6V>XAz^$%n!G8w-MrD?SwZ&SCmizzQNVF?q86uB>5 z5bMHH{*(-+VhP@YU(m6{%-nilDtb!*QzpX`QWTeHUWHaoJ5gxO+?*Q1R?{AZoxU;| zG^2_BJ3-M-Dh_VDXYeqogqCcWm@>&%+fu#PIR|u9`P~UytF+lqdS06nMFCqIRt9kh zCC7%lx<_O5OMvkb*<%G$b-B z{>C6OfjVcsuXNB+8>^i*oGKcOVUH`d zFWm208>5|ECMuFx(DDZ&nMMSkjJW@~bTVsb-6wWHF$ZTxoA<6f4>K_+l$SkjwPDvzCFc>6}6BL}t5EMoz4+t&rjhYJRkwgio(FxFmz6W4yZmMDKEm3TEmoVHVbbbns zyX+nTQD-umma)!1aLH!Ons`}b*2{duc{(aVR_cvq^8MmoqMpIdTzuJdTt=L~F*lR> zEq^ccCK-AjMA0l)tj(c%ze)tYZiBKXoGVe*U zS+UnKuo)3NpxE!0>9-sr=vG8sAkz9h# z?6`7F9lT^nAhD5gXim@xHIj;8i7*vE8x9&``c`Tk;Axut~_g4^$c?(H@Kt zOz5I{vCwVejT|EM+&FPz9h_uPzqi_SlJ-)pv9T27JXHT*AwCHHe09*d`%JR$=Y-kd z7EaJ{A#G+?{?tg}HBm1}SAMkO!t=s%5Pif~rN7?erb26?_+Xs`EEJz+VH#%MV(b}g zeMwqBSDI4YG6|(=hu*S1*Z;UWmdbH0)MA&terD zS3C8pp@tb6YOC&_r{#_s?k&7n)?L4vH)wq{#=;ys0cI9K*m(A@(=9m`*MO�g?SP#NP^DvO5 z!0Og$4x~cDFRf_e5238)ycUQ$#(aWrrejS15;T=8Uu~06C2-y^x>G5}i}Ik8i+gw1 zkyPk&7;he6^QZ_%3>o&crc`l+Gp63e5Q&$?5S|juw6Z?$meJ111HKM`T6|P;Ll02o+cgP;g2)2uJ*KxDq!x z2pK=-%#0JzVJ$-n+n8xc^jX!+P0S zccblGoFZHgO8mm6Z3qfbZBh!*a`2x)aF{7U)etE`vEV5||MU)cIS6N5DWbx6!bPvs zmk6k(+;nm{enhbhWIp6FQC=Of4EN?prb{bi?B*n^*$U?tk+V;+n3ri`9EG9Qa}ZONOqmr+C*9M}Q^fEXona)c}8k z=3Rw&%BB!y>LOT8Ud&3vzpx3Em=TaCn7EFeD=QA^nlY;ozbv-A{W^5?zpUYvP}q5- zA7X+(Hm;5mp8qY>)}(v1)ueb}mR(Ql$8@QQ1t`kRoYxUv+hbh!ZGZ3Gy%E-ed{w|5 zU!B|425Fu#8j)WJKBR_J(JC$a!Zh+M)uH5b7AHqP&Q4Y^D4|Q6sF>=Q#{h$sBW&{} zy0=H#-wUa484XUzzIM&+@Wmj%~9gJbEDe(j$ z@@W+Z^afurYW%F59!IH{`Kas|cl$|%bxDqoPe;34!2F7Kj=J7u!POyW2hcRM( z+6#NPe5QVwW7rn#f`3#5$$xgW^G$g2jk|RFII*d&Te`S!DX!CZrK5j7V~aOMV)$N0 zLkK{}s@p01`$&;Be)xLl2)eB0;N~;?M=GCvI0WB#EE}<|?&_|}VeR>NwXTZz)7|6V zeT7;)5RzAZtV#MaBzMtakFp@xc>j}3Hiw(!oODp}I)y{wa^!X?yLM*%-;uYS)_zDpsHqTP}@Ni{#aOA>o*?JFoatugqjtG%l5QS6; z5!fsTrZ15OtO1rSm88TjD)&vW4#r`0I|oX@hJ-gWWhp>}Oju=o=aUr=lnB#n(YE%l z!Bd~2x|c+hOgHArxpDEYz?t zqFkBpyc*~h)ngLN@weMDH&ZC!DywT;`&GrtgQ2C^G`$=62T`1uR||NjS$v(k(rPxJ zf!Bc2Z61(ysv{sT`r!<>F)Stjyn`tSHc>ERxlhR02T_eR%0635qW)UTASFv`7jo~t z8QHL;OLIK=FgCkksQ?Q<7LgMz0k+DALy8mDRry?i`AjBOBdbl<% z=1R=lZct9CWY`wlH`;+$U_!omAs!zBj8VP;W0Y$!7@=NJv_NkTz!-&B3Fr*H0LZKf z(3H=BVd+ni6Z}D{p6XT9{)UZU7qE`IR~fu$Q8Eeh`4;Y20iPvG!0v~dQA%R}5@IKf zggm5#Lv+GuN2TnPOa2|v=0ofF(jG;cXiy4Rgl-ri1vgcl8#6Y zD*?U#aKA@6sziX*NpR;n|ClHlR2$*V;L5)&T5wKm>Rn1XTA)5q_>hV@8DyaI$P?4e zb=_fAzBi?cE%kt$ zdR3}b;z#(h?nD~=P$32BE24;h ziOzy~jK;w}&|U@_63awJHeg1La37HyaUF?;JC+KUW*OoGd*I0`!yt)K`hi@AC__)< zp!9QpsfSaaqKSMuvVLO}s+FW2BuEw&kC4e3)e$RKLM$FY=HDTGCq zOX<_*2yj#=Gmgnft=u$fIhiu1YJ&kGY-FA#mSU;X*x)b}C?kN;C^ZdO;1?+0-SC9x zAgqKEnJGLL_FGh>j5T((DvuVaVgrV_N(YCylkK~0{!w}5n}a`blnX8~{w0Ut0&~I< zZP1^pIwuX1Kv>`kcm^;pXEi7mFg2)pCq1Zo96cy3jRO(oIp~{SJ`d2dJW6<=TAtKX zT$4Fto?!;X_Yhkg^x%K(AsR-QBksQ%Fhww|FvkoGvQkVn5{v*>9^3qrdqlwjoNMRC z#KrGRUyjV#ga}Dg)ecj20vU?h)jum?YkLov`Z^`-|GU9#MDcf$Rd&^~;^O`MB!fc@ z-?->$-Acld=Kxw?0?#D1UEA|Tysf}bB9O84ij>UzQda+SL{BpzVW3pf7p*F`YQN`= zy1DEF4(_sQp;osqNEy0KS6{3juI3vK%;VlxNMFKc(%hJ6JdYsaH=%iTa}|7gm)b6O zao|*(gJc+X3<7XJ&9ymnOSI)fltisz#h8oi2|@vLs0j~3JPff4qD)wlYv7^~?j$oy z=YD@KY9Q_SFFUfv+9har1HOdJt1XmXs#Lvd1bR+BhG!d+8$I{Sw4hHfe#6n$e%gC0 zv#ep%pGr*B&COtN5Y9JsXhc!NchE=%mvWT6#;FtDo$s7^)YFhX0KI(*Z($$k5Yn@q za%631+x=1QtBRqL_s;F%hf2;;DXNsO6yz24F-o&~7csIZ*We!(!htYtD-IJxg$ryF z+ZToqMMW$X3=zx4&Ceib z0T*iYMh94_frhncE9FpE@6ciDqRZ&u;C%$>VN71FnJd13E6;T4GyE{7$<7LOrxbqh zJ*c@6FLM~CGwv=wSu|(TL*I)y>n@W^k;r*LZTYkMhUylHgjzK-L#?D5k`2-;6A#8l z)E{sTNw$;Ih($jA2&upRPlu}?Y107jI%$imw2t!X65yiuXE9^optyI64)OyE)+8O zTNDrbUlL1VbCBYT>&Zmck(v!IRlHdgodQ(JqiJB$qTLF)y2y)c!ow2r|9XkJy5fKy z88IGoa=7O&-nP3E>)`RH{|TkyY2PBV1eT=XLMDZC1x|<44U=99O=9)0yU!=|OHO=S z?vXEt%C*u}HX@gIG+1&(&Z7l(O z)Yx}kx5%lWWlE)>!+@5l`zT}=B@VO(KNV!=Q8`E(RNycD0@wT|KJ~m_0vcA(jj%)1 zXb=NMc;%$kN?ndw&S^w6FEHb+vH5zV9r=t(>_LvVO?B# z@og287T8C4x;c`Jq1C2=(uYxsr%tYTVoOyG@<7zgs`ADHtS&*)njJPz-XXgB(RC4< zOoNS!1s-5jG~({NoBKq!H)WE{wzE##ryy^)t5;rxx9{NWhxgF{XT|;=tx+}S6pOVE z*`8h&xc6(>G6G|@uc(l}S~Ra)Z)iX^H5Z}?Mr@N<4p&U*;piWgfGY;hgc8_(lXDjx zcEnDM0vfAHHsch7ivh-F=F%sxh;%^IAfLcKkg=0e=S==V5(gdi5iNx)5R^UyC$KGa zbVdXS_qGtOnC)FbFgyycP>VqF;s}e+4QjwmXfNdKhBOeNi()-ghB_iiph_ak=M+P^ z!OT+hJ#H#v#!O|ONzN-Fag!8LINqqd-3SQ$NhNSMj;?)N0aZnft+CRgTP%iwOk6$_ zzVd^_@*Y;&Y6t2RsOvQft_j^W#H6YWsU)ssnc|Ye^k?ZoU7@V_pUcuVp{7$A`Bi(? ztXi14a0c{}w(MOH`U2UYaGHTY-Te#wa(PjB2A9-eA1e6!Q+Dc`7B%Q}aZu+qvzuh)w z+62w<%*{FV-m(E)_5H)n@6)psZsL&Gh!9j_1*o(Va-C*`ArV(bEYN%9--cJ#3;(*KpP?IBckuTj)@_O^2XW1 zp_RWkOBdGc*wDw6zkmMFpFL-X74M(lr@WtK*WH5D_fg{amRxAIXSo+0Xg57FZ&UF4?5rTz3+mI{#;z&a{*td-MU{n$C8u>Q5{1gFKE5q ze0T|#_X`uoS4)Tr@h5^Gd_3HF1l{cWWhomEX6|f!1oFSPud&Fgui|6)hnF8Fx(IMncaED%^BRGl3wvmlKy}=XCzxj-d5Za;6HELHbDz zSBCn``w&vu_hLedV#2tKn;Rr_JVk#VrLldDwdc8eBmwRSa|=OuMDyHIB;?1ed>47e zX828c{QSd<@G%Et(#FH;r|3Ne#Je$ZDVU2njNe#BS2qGPjCFOI_s=niQ@TmR`%jy} zsm(iub&SpdP2D=i*QOInLR%AF%IUL(Firt=YP0jIN8h zXcTRTxfEMu<8p50u%lQPbyyeARFHtmbFA5Rt8RT~+tzM)mYuDzZRj=KD*VK^YV5E$ z$fePqH*=X8?P}ieOy|J8UbHyS-?Z(P&5rZ122kj}KmJkrT^pWj_8hw{0J-w7M#>C3 z?zI|nHAS|~|7w^2jr^yZ4xkzVmQ~yCsjN5;?WS7>0B;VsST*2c|39N3R!Yg94R76JO~NeA)^~>C&dzS#3dotE|7YF)eZT>%tz?AY)i>W3kJA91hRbjJ znp%89xG_jwA7it{uUXX0=Ds;mipx6mbm@25I`hRZ84G}vAI<2O6_dZ(Z!j*qpcwDM zn~gUW`hX(t^15~4^wPO;cxlD5Y-kQ|h1|m-P2}Rwj7tC?ef; zx0>6!sHMNjY1}Asoir+daYE6cIMyMJ&(lF#RkwCV(bX=A-(o+0@tc5G`AlK_B6vo@ zR?M!w+y}9bM`oJ;Oc&-bJ*24K1u6|{IU9{q< zSvxXvqyp32>0T-^wNtHM6!&DJqo)V=OQ_1m@JI7@&no$*RawQz$S(x|bkQdv`;+%} zUgF(~o`JzkrC`Opo?WLhBPxPplhfMCDKi;mzrl8RvvtQo-M3o!jI1ehcM zCeR3g2^WU44WuN3mBS2R3M_PVrseGfc!dR1BcQ{SHXE4&vvSB$W$Y6b8p+6VVlbJ4 zh6ZCJ6J$~CYYD5}E39lgbuaKahK(YvdoFqXzKbEQzlC_{Z$TF|GCiS0TaQc}V9db+ z!OdUeb5tKjT7Nk_4w5AZ?;o|~Le!gM0^<;v@%n8N2Jq@YD2p_ft7)6LU)+00FIwID z`>?b7e)N`C{$RlnQ-0s7vrT(+SLs1a2jLFKk{>NmYwsWMm!ccSTs-LhYBwB$R7(Z3#pfgq%M+jmfmcfh4rEJ# zCj?9{s}-0%8%L;VB;xeW!uTg&mA^8kT$a0c{Mn$9Gip9zx%1oWw}YFoUkO2WCq*d+ zZsdYbCzu#WZfB{N>zX7)vf<(4xBiYLkJR(;UkMP(ySLG#C{SS)Hd&e(Puq$wL7lbj zN@glK?0@nsbJ0$;X*FR~Jn_&Ys7i=oPMeD65tOY2X<@V@MV_juQY|Oj(Rk*fML5L@ z->az}M9{ne9YL|e?|(L=4m1eGxL}-c4C|{8jkVN5$-J$TO-xQlN9Ga>Rmos*rX%2o zvN2B}zY45CI|8AA#w5u3^k|@R82Emmq!gR()>iS&U1%6!8M;7h2*-Ed86np36=s}s zqrjY|7_fP!4y;6djB&LAVs{*Pof z5H3u1Wy~PRNt^f}?z}+?ScDG#-+XDSEhiobydTc1r|Ke(pKso(>P#dB;5snSubrq* z5bCTUz_p?+P4tVuUX^}(8n^yX$}gD()t;ykHj$?$wri ze_wgeV2VT)vXu=6R%{D1-rnQ_=5MZntxFih6uE(3CD`{YrZP|%jOF*eqW#Il;TBim z=En;cY>A}@b>w%T-bAxo-4t|s4t%gR{x^mUXx#sRpBN+h9-AG(12~;%-%Wc4o5r$q z^~C&#=6vo2mDDBFV_pI80B~Y!pZRbu{p+RGNCE_cbI*YU@d-mvQxfa`TiS;^2U3*Y zb+7Vx$N3-I!`N$&V00|($$2FJ*nzhDeEm(!8>}U_Og)Ti24#t8L`g! z7zuiW?UrJ2lz`ccxqNse*HilqFc&@7d+ny9lYgWwHQzj{U1TY`2`0qH{>=>O6O{Tz(z5r0f+(m&xxyf5k9`sTrkaP9uUmx7cZXrl)v15!K3;9yi6NcW!mTZ;D7Gh z32ZQKGavhvhm?reJ`_AeDUga(gyr>!U4|+46GT_z*!c1BbDfY$oS>$|I*1$|Be9G--5OBR4vnp2kY8VWn}Zc$+fJ zGliZv$rh7PqHo`)wMBKA94dga{eJ=CdBBNE>yTG z6AA#x0|14S0wD5#&|d(g_Ya~4KnWK0Zt3JDe!8trw@lG z6Sn@&-pqo0+}ydbCu1owucu}lS$KwUdpg-(J4%GzLtnO@Y=ZeSZq`%{zpeO8pyVYe z?-vkL77|VXn|qWC{lDMZgunTuhf~EBM=d)_>eMIrZ7&Gkj@ncQ2m#`Sr9L`nT^aCoZ<_T-0*>{MAZRi-u`G zPk^5{9gsCW`!AOmyN-XNWIY$^Cd2nlpLwRKBp6%TnE0L^gG}rHTemkyQAT+Ji3vy^ zCSIRY&KWh+E1wpQW}XF4z%Z(|Ru}Hh4(^7I?9O*C@BS6Zc6{BxPgo_py-ye-FmnE2 zxAW^&Q!lEd`0`)*=D#FAKXSMhbcM+6Yes~P;@sn><5|Pdah}GCB@=ZaYo(HanjM5-D|7&e@3$X6ak8=ql|P*@1@*Y zy!T0auJtIip;6ebj##%9!Tpt>^-CV?&`%Ob8lIZa1A zCNXsK8<7!}29KCkOsk*Ui4xWC@LpJ?{4kwS!0sMCs!LX?7PB7a@*PzzHnR=#zaO?E za|Aja3_8PJ;qCmmEUPFX>R}+8k+bv;e~L!!k*X3$D$h+&;52{NBwa{(@@=Gu4AM| zVFr;W{iKY2jBsbM;6o+C{0fmhVppZ?W{ZF>w`DiS6&n$@&kShLCjoJDd+?|&@%`+s zdG{t8xv#{p5iAq7QHhQA5ZdeqnQmn=`uOrhGA4JtWk>3TP^mPB)|lsGkAA?B${OMo zFYu*J*k<48By4pH*Q}xTxO6mrc6jx55*}YiEZ-~>Nipd~5&DDZ9dZ%<7F_}VtUWcu z!lf-1e>;E3_6ku!8vYMuDZ6B94F6dX1MsW%RLE+~WOgkC{VAu-Ak^fGbO;P-k&l3x zm*2_ZsfI6pt0@?v{0?m;N4zo-B&f&uOgPIn@(m=3Lk!C`U0Fx3$mzo1rTZLbx>az(Hv3juG(jn%20C0L4Tfc|kL2^StvE<_(1O zv%U#@;xJrDF(5furULojE&^t#t8>0}ilRZK`jNO+Buan{ zYg3T>-wW9LVQC;>P#*eF(&J)e?|_%*rySG|65uYHKN5>q+_2Yfu$Z4g@xwiuKJTfk zWtn+Z^%@bo?0jJ$bP%7Lk?(B-N_PHCBHsKTw%!7&j%8UG#@!{j1}C_Ca0nhCkl^m_ zZX0)ZcefDS-Q8{D?(Y9S=e_&Bd+vYNs_N?M?wQqET~#yFv%w?$GO_sSM@iZR^}c1Z zfAwHg#Kmve$6M1+-XiK=bnDPU>|C}!S#FR&C|WE+6Pl74u&<1Ch?KuOJJr(18oOlo z{sBvY?1a@Ycm5ghTGeeKd&mcqu|Cne@*6m~uC=6iElZ2F_m-bx+>4^CHXKX7X;M=> z@^DxLtsgQFi7n(Ag!-Mxq?K~Rtx#8-F2FRVv&ftplc$DY#-NB`h&fl!oLzq8%e!o; zktg+VQ{@$7yA&QvA(iI^h4nXe@@$OzVb5-rAKcI*ujR_j&^~M8V=4^#aspC(%4Lw= z%Keg5Ta=S^z!+Y2?&Z*9;z&3WR9U|dECe$O46pvw@5*w)`TE-~bH1d4Rab<`GUAm`%Ym`JaHByLWC_l%L5)1FO)<&ab^0> zPiuy9@W9)8nMWPaN-pNI{F!E6sNmAyif!t;v8qbYC``PFJcnl=sDF zgCSSO*&%j^hM6eOLY~;{2!F{S^VHJ)Dgg&X4`^IgR?+RXZY_t_gM46OuBKPoyxn2k z)_148pJn^kdt;VJo--7wecX=?Em_rj*LU1dhD{>|8LY8@oCweX2l5a@O~k9}Lw{yB zrJ1LrdjFhK0E{9;R~4ahlYTby{v4qqrORia7l}iw_cHsy*k;`iiX$aFs*@Li82?pj zCPgk5rSSt^YNR9x_b23f9anm(30t$Ld~$i^v0cfp(pfbOcb4fRq=}Ri+TG*$$n6QZ zTWM0uIzRt7-_3D42#9ARF^jOpG4(EdylI0vsjgpN0w;(ClFF3SsYMr(ViSDtN)~C+ z$aNu~9l;NVLT1TfXwCj43!w)`euBNFE5l@20+ zwf@wg!y*i$(9~sv7FC$9d*ap{ikPhf_;G$_PXL5FB2yDA?gf`EOB7`Q!j0BGm2xUI z^_2mPxV1J{kdwdZ9i$SJ$&blDbQ`fA>0|7)*ZlmJY?te6D`Xifkhx!Q6d;`XTW!H| zOCTl*o=g5bc&dF=7*po69`53=ZX*o7y2xM6I1&Y8xf95PdI@Fh^M+c415#BMKf8Xc z?Iv~b&Z05PgAtIT`HvQo_@#=Fv`JD`3g`1AmU3NloaN(G#l(RQsi^;4y#=Ki8Zom= z^gATg_hCgUE!K)PY*WsHcbO7M#}y~vGrtgpoxZGJ^nExvy-7iOXz4(inocjz3wJeq zct$@BvrwX4b7UWS*RX(6z2G#c4a@4QLbxz^s7Bdo4h24ZiX#&gs@rrsn%K7=EAjo~$)0bi^Tc-_7V`nPDN2xe7(nDMMJtpyP9# zME;@;m$ymSvMl*?-YHMQ6X=YGc#>;^?no!oUzNYS$CN0E%0#@K^ol_V=iZ|U!%>F%< z40?ot;ut}}L*2nE5WGvP7++vte);d|`pDo`r`x6@#V}+0LE=kpqH|02EWz<;nIP2yz6#QHBfA)waWQ2qf8}5O8RiNkfzK9l$yG?u*LDr!ca<>gFYKf_IRYR7=)|WVf z>H9+LKAd(2eF+zRUBJ)J{GB*E^rElc%e6b8_Wj<3enH}jlNZB>wU6-%KxV&nz>Rjm5Hh6a6ALKe3z zp@j8$yNRsBCPerujm(@}m(roWB#WU;n@pVJ2QIb+k#D?0hbhl7ihi!o&5%YqL4Hf=wBOi3p< ziYhG8lDvgx*7-EhN@mcKc=uxO-sU^U^a}kB0H_g&@dgk+HKa>CtO`eYE~4mHBuN1C zrqioPCB1cVJ`RTyu{KY>yw^6a(Fz$AdmbC{?E%eCFdP>4`YzTz-InE_rU>YzmoH?W z=1A5&-B#|McT*ExPwd_Ku3flTI!?J0h5jIdl{0)@`{|qiVO#uKmU&%EQb}PHT@LnP z@8Pk&b+(-7dea=W*}3ld9JOP}%`2hX03Fg2wdu2Fb%f*GDtO=XZN;f8_4-J((+L|0 zE;;x(l7E)aUM|P8%RBba;-BF>x7TOa%9uM3gU+bWe~5DJB-!dcjiO)0Db<7G9|p$n zouN(hd7x2%}1Cci{RCf zE1d-5rLz&KvNR4ES{TLaG#xm}sng|w4#f{h2l5v)RYW|E46Tyr&T*uHp#dutV5~bMaBb9@Z?qpMD0}t2-qFi{no(|1QOi+B|O^cW# zjbLj2Egb|6+Ji*KYpA$|^4;;JY)wi`0N(M(49pQCUq!(y>p4W@V!Tc*xIHywoCs|e z5Wi()e0yw|d`rCd`{?aRq8;rB>xI^^Hx@vI?z z>>oypRs9;uK~n_@-Y2lzGPL;`)TE+tYaLCFZ;!~IhGfhGG~jp18@gE_+$L9maDHQ* zoGHdKD>qmdw-2pt(Yl)ivu7GTHaDIU(B~PxK2>P&l}-M>&fkqZ z9#;lWdK@Yv)nFyTu8C48K&b6|DUv-4e9Z6pa&@JQeik1E2&?NWmg&FcPug}TZqTDt zJPtd)FUO#H%4_MS8^&n#OcWa?nXlA#y5aBORY5&zf-cu)n1;@KRzwiHo6pBOX{s&v zzVE>a{FTp8YE<6v#V%j<#L;*zV&0uWsrLuL5+awAQ&eFuwF6kxX0Rr5S3Gt0i%G)J z%PSs!rA(UAF8SM+isqUJVBBSC(=r3a9g{$Wtlpx1S3q(e$cpCA@roMk1#6rij3lqQt8Eqh>$)>BO~xGmkb zZ)k|D_+f6}Y+@03*sP<~KCEeSvc~^8p5wUAr6RuFAHDX6mRnYH?vEvV-Af|NnO^-& z^DK)h9qWRla0!imcc-1!rHD}EvUf(#{B1%GOqSe^8ura5kB_#?7uo1T5rEHfED1(O zjM>`?nE+!O+|3TQUZ)w%p-Gf-Pe}S!e_(puO?db&q)waQi3&U<>|-Z5`+hfbsVy^k ziz_poCwEO8#>Rj8V7_yDWQ0S2fklC|`hU13TnvrZ)mN=onUTCU7uAczR-V%r{`huO zbitgyxI|?jnI(~zmi=0ZK{O28<%x4uy0&<^y4kz)aNJa3I1EyoqTJuI%&V^=OKnuj zw08X=hg*F-X25v#=@S7d{FM6=)iInAn1r1j?xo--t;AWfktLYToMXQY2#<}24)kaJ zZvLG9M$zOD7dFSNR&_p4v35*GZo|huy}XK3Tu8DJ7|pY53*Lqf5iSC7uS$ zj`_f~o3Ar8iyi>2Kg@ujiqn&dWo8W_YLZZy zvP@&7#h*PWkTW7%vuXQhDWY}{*?b7*vo|3*Fi&AU;E@KFlu0tXhh!|^;U&VOxn3tA za3s!wK%Jc+);sw8itjP_{J{#vChyt87TXQ_E(UR{V?@eI_7dz9-zM*Qvlkf7QMzqT zNzsz#1ZyEz(QQ?AbI*PtVtc=x>b_UcL;Wx|lUrQzCDMynfhBr^dKhXHU4>PuvhY&# z5uGh^&UnW2-~zhkGt+|I_IO2I>aKN_?{JVKAB%YLu;D-^L{=b9Y$qU^Z6#IK%|Z}! zmP^VrEA=X>5v@eQ8|?{RoMu9(qDIQ0&4 zPI1t(mc(f-b^9LF2p2hOXQHdgaTuN-`Alb<}t%tDXdAfNYgO_`@fxeo)WHC6RY#K0Do*Cc^QyM8 zBWvK#9_HrFf10AIy8@VrN8n(&qR9Vr9J(4B8K1Vp`Wg?~5kG#kQZR$T>3&5+%K3@{ zMvg)Hf%E=b_xU4rxgRZ_Sr1a!9?YK<90Q65gMyN7IWB-gqt0;oN8N?va@-f5p*YJ? z`vl9)%n2N?pV#6fOh@OZH%E1As`J&Ns!pAah^MCzkKKE(7hdz#<*h9(EoIMvHFPBZC|Pi`uxN?UR62y#GS;-w*c&>`{zV`BUV=I z>Ik)gY$l0-_h4_^mNOH~(df5Ewf~=@uNM+AI4LWKmN{OoE-YWpwc^;V~1s#s1+C{*QNdLcpIYJ1m>RL-;p9tmWZ=GkeHV{OVwXwwV$W9YAZ+WKo)bmP!j0mYPO3Pu2s(bj@ zXG=7$2b^o$KlVS!6CP@#FJK8RdsP8>NkQUp(+<{C%^*n zQ)3;hh7;!p=4V6G{O*gm8pk@v*qdY3F4Wt8kFM|I3vq})!$}T zHV-to8MV!h{B+^&`p;-HW+4clkwgiRsN=V=cx(T3YA7rqlFIp=lza(pIJ$Q>p{$`joH46PN^1h>zY6SBM`~UK%?(H0R=O z^QXc4cSl&-cW6;lfP=BqO>KU_-CMxt&z3m^)3RkPSxFL>`zvhed^gMc?=UnHW-Y3p z0^H59(5Qz}10)wm^XDZ^R##7Qi1&tW?Rbfz2l@>W3=9xYE%72DpO>W2`?&Dszm@57 zRe`Y^>+|vx;R!1vtM|<7mN5+Un)4Ikm+B!O!ZNxD0DL6@=91RL$i*@Od z<0ax@HB7VS_Y+v0q}L-l&!|Y0j*0K}MO3BkW6BibOEMQz8ywn)ew#symbbC2-eJLF z!?fh*%&S&1;NovD6e(4XvcZ1ah{towaiHj_HL|;v%BJbv!29z?TIvmHcW&y~d<|=+ z#EiiSPSg*sMHA+yE;@M$iJwIQv`8ll3&%-#smm)rNz*1!2YauE#$=hTHDGUM)pd|X zd6~&eWegOk==r>xf=PX+yKaqH+4GfM_1V`%4Ms-DOl3G=0++9L1PIG1Vi;vj4YY&i zO%;8uHfuA3Y1v8#r<@Lf@>37!B@2f7Kk${SOHVIzrek7bM|4#pU}ss%=Fg7@o|W97 z_Kl#FT{Q7~A-!q}j^RF4p$`>Q6z5MnA|+WqOs8%+{{~l{R6h@cWeROx zVO-XAo==*DuI`$jYD|Qy!c6?f*Njs!(lA00X<*fw}cwPh>8J;+Oeg1 zMJ;808zRdcT&hD`l~gChPw731kyWRbB&TOx{3JL7RH#Lw2F(#=X%jZqyheEGDDwlh z$m4qkY@5!FXdLa#3sYZ$bQLYW>ZwE=!(2jb<-gTW4YQ1s&LY&Sr$B?5Iv8yD8OnzK zWFIaYQA!0NdpSB=%rsuW}e7S#&K8<>RX8s{0z^#IlT0I&?3Ec=CcP zBlPJergph&A<3{gAOIUX&2G_lnOS~7MQr^}!>u=fpAxBg3UG(}qzi%g@!oBD0YpPO z?1SqWvp3M~s)+u9!nxogA28I7fEuJ~G}nWR%0_CedK>-%Rlrht0+F;Hiw<=A6%K3D zVeQx(De!oal>MtP08^$N+2Piq^KM^qBHy5??&VckLFlG1v7uPo{a9JS>nSz(#}A#? z?MCmT=1IX9j3&#wk<+IGwaeR4dL8!x?rFKhj=g#x1l$oh3_0Po%ijXj|w*IlD8LWg+7;T`<`Y;$fIwK>2%dU zpCvo}ZZX9=QQ#UMxPG`pE#DAv7e9L+G}g8?UwimGCi~MXd}+_+@!sK(5qd|II%yBb z{}sdT-l$`e0J*}QwFc>>AK8{_-O7bL#JvkhldEJu>*}Pwyto^uh*!@5qbUp3hH{Or7Wwi)B(yY|61)?3Ltc zvbp*44plz|@ysTqkB6PU)~pBI$e}T@rLw3=rI2 z+@US){+kbtT8Uqg3 zBO*=YvgBMCu7Wurj4CpkRtfk!@;op}9eE?;M}P*SDsq`Ra>rSwCh{?;l+Cx&?sOH7 zua3Dek6bDN8lW4g&28)d zuZ@>c>d4j#<=uRsiVf7_zm~{ldT0aS!5`g2B^KPu6OP;Tbh-dHUA8t|1|u`GS9{A#c<6a! ze>oJ(7YwWwVwN(voQUDqJ{*Dq4@dIIrFPy}iBrK$sn5=x@&)J~q)FwN5TS?NP>F`O z8&HXWTSfnGpl=NnZG?}V%G0A$x7dBaeRKfn;a}dp1DHN{{QkqDv07um4Pnx9;$IRZy){HAl4fdOfQ0CJ+=z8bX zOYu-G`kQFh5eIAKgz|cyC{uquq?5w&xZ4)GD&O=@%x!&UUV_PfRYu6dolqG_seY ze7-|Q>&H-B6~G7vR(@c;d*Oe0<9`SS_y~a@+$X!gb29TXt$|HrwH8TeP_OLmhLGyb zEvvUcPzT6tHi<|`wdWSw%dXThq?bmsIR*-JwwdJ;n6fVsWY%-7J1A_A57eOKiQdY( zN{e+st-sUwtN#{iQXQ!8svS~(-B=M84S4bemojX2>zR+Z*r)uu)*^%{jbFswA-#{6 z=~M%fKE%sxGT5TM4i{d4uStWoLTL#!-@Z_Q^Lp4HJ;*>fKJ3nr#6TTh4i^g1z)$Ug zO4Gwn9f1feV4$Td(wEQBS)S3WQzX~{zI`IGzTwVVG&-K*%Q7ON-UoYf?sB@r8~4eG;+w)7XS8jf=L1fe}-{X#Ck++gR`W2M0N#>f*|%a@r4B<0KqHXT*1Nx zh`WxXU~AHLz$-Rf!OA&6Yy@l#DTwWM1(RVU&agn4*b&bDr11(%Pamht(hsT5V`r*c z+n4JHjUno)j&%hb-UpWM1C__SXUDsXuX4HqYoZ~a@%T$@c}{nH1;b%Qe_`2u)x+xp z4-7;-@he0@)wSz|RG$F33YhtQDG^b1V|yUglRzvvzi%Qes%~jFq`C%(#pL&$heXwF z?t)Y&y#z~w;`bE?L+v`<0mpUc2b;Axh_kQp!P zM7mq!hWt&n{m9zXbVDAnAJ=)?@_Jp4FSU3*A3{lWZjDFyc%C(+%G#w0-cEAY&Zs$I zYJh%@MBl+6{GFxX;fB`8ut~!nL4q6W?G^F%hG}N9Q%vZC_3f4Z_NE%dNC^3)d3%EZ zCZ!wWSt7jyfGu*wy-TY(Xmhr7r6E2D56>qrwPYs}Rl3s^!HY7QJ7XtR-xSqp^n_oz zQ#2hk)l5pFtC1DL(i4Xz+ z+oTj&uw=R1aPa-YLoA_?DY4wdbNyG^MBY-tBnw*)N0%>7t~tGdD9OB+NZt#CUgO8NJaU4v z8-j)QQGM1(-T^|d!~2)SlaK!a+LMp}4uX@9{{h~UkN*zflaK!a!jq5x2i-weGc%vZ z_vc~L0#IkVo%Vr~x*^b#LI+Xa8xr~?=A5m9YlNN!JSiNoH$@Xq*T{}bgg;HK zo+ejD7Lz_Q1b(?Jwe-sKo&N5f;69D$CDwYsIX_fb&5zvc1V(u;5PLa3;aDmQuG~J> z?g<%%Ml*bfd;D&G4L>hwcC>g(UQ-IN(irYi3OM`nQOEZzbAHIQn!mo+xgF)*PsFiD ztn*%eeyFxSty3@QG3v8U^oHzm%e6keX)h^s7M1qnjNv1K?|Jp!baTG(;&|2N?u_s1 zto8X`%j>18!%JKpBJe8&6i;Y<`1e}yc8d0dz7(GsP`bg=k)VK8>OpG zIU&%x>XbK}z3UW}ECTmQj*^9ZCnmzPdp%Kk-OS1MiTCyn@P21_etNmRv6*Vdt>g`SE)a1uEp$~$$SA*v#Y7jp=DO8yK5#a3|?ES8wuitX(gMR5VJNY3h^uhG@ z>T`SZF*|uNGkL+|C)Anj-I4Cy5$x?v^7iWe`~-P>1FOK^*0D(BmCg6;?Q$Col77yT zLZE3oMI8Fty<9Kw$}~cPODE_;^;Gwbut3PiJYNYPJawbC&5wSzV2>Vx=-IPA?v6ch;jaaNf zKEU77MT+MC${1k4GJDJ);J>=AcX(Q{Yuo%jpSb(l{06lq^OYFVIzh|B!v`Dek_cZH z8SIiAUl$eZk{Taq7qd{#op?1MPM2S*7dztGuZ-uFI&!EJSsL+9o+vCCt}z>Kud`(KiaQ$+<5J*4iB}-av&{kT-{|k;-$e7CNU5o zL4voMF)+5rzRy@(yKRr}qAcJ0%2!#)@qDPFDPo)-o$0q5^z@K3YST8j$93-f6v@We zc@;~au*to1O%UDkIuJB!eDGi@O2 znBuc&WKX1mHbdlpureBzzwbMG7t=83Re?JQxp?%D>wCk;gtX zR4kmN?O+z;;@p-F%-pty5b0@!hl9VMBHc|nrvfux$0XjItKHe8;Qf1R=!wF#mnDKV zURNe?aXft_%G&_|h^Q$}xyc6S>~t3dLa(X}F?O`bLxrVM`ba5c_0ce>Sm5B}aRG$N z7?3Gi!xTX{cdhYH!7kyikfciQ;C{>>zF$*(zJlGL+K!g*w8ZVUkT*eK*ol+whJ78v z2H!<^Smdp8p=#cs{YNssk?Z+4`*s;yXo~H>3i`#+LsZ`*P0o<_&d${gU4CKZ_hn$^ zXY8Hr+{Vj#SIycwVq)cg#58dgJY?l3vB%4bZb6zfVC-dcz{`@$+FH&-Qiz`66G&cN zc5cor9X-piZ_do5X*760qq6?7JiJj+cZR_i-3j_P!i^1+-Qq}aolB)B^T=_E&&y!a z5|3?YCajkJffi%!jV))bLl-9B#WxCPV&~AzK|V_7XBzPI)n(8fVQCv@C0QB^U7kxm z4W?{8#l&s7$qru<(&1%g?o3|3##i573T1E60wt2U?k$6;-4&@~N2Dpdt7ecU*S~CZ za-v^sGHiQ^^tfinFmF&p1?Un4Ld*yt-(*EqdPJKNk?Ebl!D~oLE%APBIrw&dwAA7u z_OBF?o(1q3fm}N#8PlbOJ2E&RJhDg+0ESFYBp`-47c^i~q)?dnf5v?^hbsbLbZ#p# z@n7kpJl_oPH=`XK(Vu3vEV!=QJ?*R&P<0!=Hd-i-?(AzbdiUp3dC!Y%CS%SzNAv4A zw}ncok&g7`mvjFsP-7nPoC!?kT>8CLh|Fn~z~iE{PrDZzqKaeW-6ftHEjB4~>uSj} zIgnKTG)N*C6G=m27cB&3XLzygKcr3WuQ@Iee%|`;r^(D)e`A>=zPtA(PC!Hn=k`p%pLb45>0;*GZmG> zT|vVL;sgM4kxADsrRL}pS z_E~EHH4e*nTOh z?(CAsB_x$`h%)V`rwv~3kBL!Zh(}?h(o?}oC8w35Me>RJ#oKMu&y3Eh?|x5}NZKhy z`{xmhi?xrUqqHaYJ~8lB)Zoz zk+u5544p>W9fS{uI}tMyjJ7U z?G;d)8oJsn(B7{vI{Neb*Bjjne8m5XijVmWvj`El`?L5ow%SlHdrj9fUJD(Nf80#3 zHwrx1-a&(qCmE8va2IT%g|Lg_%Jyz>t#@9biD)*um1s6}l_j%!YD_ErZI{vRDlvnc5Gvv+>C_I_`|EI_{i4Tt`1C6|d6^4;bz0e?N>s zi?r`-P_<{<#Ba>E$hqT08=o)!k@k4PE!u%GyGUFiI%_{Y+-1G+@xD6nc+|m7f1 zx2f~S4yN4TA#rq^fbHR-rf{5qhtG5n5jh}?Ip>QPzb0!CITb+1IR6h6EP#=EIO}Cy z-v9nPHKt}90I;H>uw*0CONWPFMUr|ztNaz^((RQ_-}z>>Dl4^QEYib?iSZ{DZP9pB zk+jKlys^aC-7V5W>C9>5PYBu~`6d!M;N=>i>v9>Db%I9r9$ur^lg#twwpvLW5C~!b zfjF(Djc|xKdRrFUUru$b^z=x$R8N!AKAvZ2DY?IB*}D2)6nf2^70PLba66H0)9%&F zKyQk@6s(G+H_81jT@~ZoARX___e6RsSjBH$4UBDU zs;o_~*Dz>QWL}n};>_}lvk71KtR*udNxw#h(4JJAm>2`;0KgR$nO>W;6z*rxPW_MoQQuFzJCAMoY{H52kkBFMKc4KS zP`a9UitA0cmG{ZZT*-Vf#L-T{4C|EfoYqSVy35tk65O`Y}4m5K*EHtYu2!U8#|VqOs_yn3YQiGLm~_S0I`Euw4iLf0A*>r z42*v_HvduwqofHz`X%vJYymeh`hUx`jFoI^xrPfjQO0otgpYjIJmtJ6kFFW|{N)+Kh3ZnRb1>alXN zGIt?=x_k8~EfS-ed~S65gLA-}d}DXVHs{iX6T#`EW-eB*$r{XSu~yO+OtMiEOS;h` z(j@Cz%n<9EYv|7)!9V7)riXE+k9R&xy&pYdqL&yI7CH{0L8y*v!SB9H!BiA$|AV_J z_ql#QA5I~f?2e++|M(~xs>8vveE|UQK8f@oA(9Oy=z)V&Gz5}w15+dzEbO33BqxO{ z3Ys5NpgF}3>Nioy`g7VqRFHQ4--T>dPl7**Uo^$0&>Q;IyV=*g2ZhgQ9X|Me0${pt zHok+oAJfUMCel@G-Pkc9^bllfP$E@uq>G1It2Y#j%|HaHzP~jxPV(h6Kj*3U(UEW(>Zd(@K(+bijY4g!D@Bv)e~K;mgIZNkOVlV z+duirG-rG#)^qJE)ZE|Vir>Dt62x2YogP%PTTU3&V%)Rulxbc&`_72ki2bc?Z6AXPwW)6uI@#5YGu^d0l3JUQ0)uI?kxK^$x8(@-OsJdE8?L<0De|7(>2vCN>iyJ^$6Ujy>OJ z4Kzw$#)nMIKpOPVw0T3r7CLfek)EN17-m*ViU?VF_|M8BQM9bk#_JAT7SeVV@c(Yb z{N)-Mq(A|!>d5*I&rGT);>oR4`$$_$kRQgu70^VeeZlRH$gh<&;Bs<%eW%oDJ<~Gp z;KkDaQwOg5eIWC2%6(Pwr3kDf^cOB=#DrmdaS^nM=fYeRIGG+wYz%X-RLCe<*uG8_ z3s@E-$F9dC&1l>T3v;CBT(O{)34Aw?0iTT2(od0|REZ&a7AZwcr>&8KT6XRcHY*A? zK?3p}NU4M?VbZZjQZ!>vv>3OdXsTv!m4E8n{IfIUlXIYfWn;LO^#!4tQWku4m1$O~ zPvSx9vzlJkQNk#j>bI=nPSPEoWE0-lA-226&m!+d#AoQanEbuBJT5v4>sL;As4Wz; zCcUUL?HJNU#6~I4k6?-I-3K>}laA4&Yy!vEvZ&4cvqZ`ClZNl>-%5)FomIv;t(q-o zZx~l^BStyTjO*nz&IJe>TL80cNQKO}!Sc|b1M;@PJ}Y4WK#!Yj3q%QLt%O0M z#N3Q6_16kkDWyoy90r-5d1wqXkdi`{0o`7bjf;#nO3B4KhYu*|xgx)!%AM=A>SGmB$mQG*ukk=P` zlJGT2o7k6}eDU-ASpiO0M{x%d*Mu=I;56mFAhV6VbaQm=sMlk1fPA8dWe3on1eH3= z?AgDydtZ<8EmvTcU7mmT)_>HiZFEmiFwsk4WVZSinX|obokPJysQICEH1Y0m@1p7{ zW7MVX@S5nt@hOVQ_=YHZ$7QjN&CX>Uhw14&ZL(Q*Fg%lusNF!$!SkE6Lq?nXEa7b9 z2>W1PF;A7xcp;B-{MA}j$N?Pu4iCssiHc#)`b-gV0LN40ijv}_;LSgA-lSMBD6qIX z`1XeVrxEnoN7UH_H1G%mzk|s`>ztTHZsSHS$ofuGC*<?dvGmKP6O5Re)2vh#+Do%14PvHxpS!YjI(;fmET2kUjc;G z*N_T(e=_T38@`YDER;u{eIm;R!n;vIp>k*q6F9bcPEg*69EfSd#n3PTzNX-akd7ge z`MTvEzGvS^R~7g18AbdHItKGd^E@Gx(okvArLOzg%hfSbbQc{5%;<-NxiET zKFUCWPb_49K79_-o8qCz>=UXP;7o&Ht z<@MK_xYnlwvSgTa!&%+@(L|V&eAm-)A)Tl=JugDYbX2~xYrPBGry2__LCd7^;7sR- z56|JkzN$SCT{h}BW~6uaH|c*~D$vwFP1n*tB|5LIXKFkccwYLGoK1Yu*YB3kITi$l;`5-|w?7L5! zlpI@Qn1M(p<|GqhAQxF!FWyCmPllDuuZQ-*#y&yDEK^d7HH^;`o_T&~j{BAQC{hoZ z4+>ufCzl5+*^mUuHYC1_`|{H|HZ<^oX4)lGHNQ{#3q+n{jc);x3a96?j`D%b2=$F{L3-YVmiKqYL#51 zCzhIm(Cse*wQB031Ku3hT8;nO0+0SCcOQ;shfW@bRy*pvO)jPh2ywhkLq)$ycXM?- zCENDU3~_BlL{|fmVnp-{1g@KHv@2p9@{Ym45SM1OD|sC9swT86YX-4XgBr8O3&9fp zRw1WV7m^lD^!%p>)kd`k?M5{hg-6OLnGoWaM=D=UuqTd2=!c&;qTb=F{x9avi02w> zpVkhvn4dVj`JUC7II~U-lskmGPIwAHKR7|64HhhD+vmXfE?78-EpX5xM5*Z_BC?nn zGv!20fwTe#AHeywMKU@28;n&phA?R6fq{IEVCWbyUjcx>*eQ^;@%84&Fg^Rzzu6i8 zYga(d2u!^?){XzyyzAp-^4l2?D!2S&wrvU3uXzNj=x{bF;-qa#*x+s+rzUZlLZAQ5 zJ>{qNa#p+eC2z4PNc{GhHGdIg?rXm^A2xJ`XdfMN;J4pbyWWOL4j(r7i03VahHER( z5@aYe9p3FQy1#|B!rn>abXB#2-815JdGo)}++&`<&whTuz4y?)Cfu7o+OYGCT$>DMuwG|zds#3lx4rjvSdFgiY=>xQdt$17Br{im#w93Ab`$R# zht7V=!;u!=O_b-D$GQdM{+s2X)!by5m5NBu|3i?4+A>K6Sz{s&CLx9E3+i@|4iAnC z8il`DkmG5}i*b4XKG5DpRLvbU{}@6K3}A~m zMh?gu45dy1et3vL4gRbKdbX?sVrWvK4s`;heQ`7~bXO_C{H;wz@i3r;d@j)g<1?}cNjZ?YyGkPn1`mLR&;aqrS>+2wL=*@cL6 zmDa#ba0xnd@p;MOh5aaN6#ld&qiexv0^U<`7~YbO$InL19%6Mc1@rNe+DKma)B(cA zb$k!1BP9~XgAw?gHw2G{u@40Y?*IYXTfsq&L#U2sgOiS*zyI=Wf&2`gDJ+Rd*YiJ^ zC_xx{2=Y7vK7NY7vFGi-s!?Z=*Bdgqswe~vB4q=(s^uOF}Mu5Mq=*sKXb zPsjhnvOOAs?vX4cak{53#_x{Y7vky&4T`md8GwR37II=rP=xm$_evb+v*4+IpG!0D z6&cRwjV=AY>YLS!&T;4v)Ml`&F6a=KCa^0#9MTS%*74?p?Vjq^rb{v$oQSs$Lv;s$QyMssMlzHkqD^xD>7`I)=pGENOVj@o`w0JY!xNNHnNW=9g`d zm(@vzmzblgPEV_TQjO&8&^e^UQ5C=vR~2}FJFrpHes>?~R}Ox1J!XCxQEQ!C@aW$u z-KcFhIz)L-%JstG7f685s?qdRJ|p6HbU)M=E#5fcvu=ssF3NsSi%j1z+$qWn+2ES< z(Sni3&pFEkf;r`9~et`%tr>{9=f(QrIP&XF- zi@TievOrrx_U)Rm;O0KxMV_?{xL*2sv$%;u{gE>0GTczw{+*f-)be!B+K|&u`a`-e>bcg#aOM-Ezg{y>sAw^2frx zotXQEy33{B{@#aQ`qg^rsb;9hp)*V^!j+%);AvY)Qj;yQJjBJfgdLx z#U@l=RyAp1;uG!bdUP$_$vKIB>m3}Ef7;rp&sczV2V0Ex};BZ5r#uvcG)4}A9MJ+SW&(3cFKj9}Dj-pDy zGNgD$1%O=MS{Zb4qbRx{eQpWOKXBT*RBxjZi*{A5rp^nR{(ndS{Opf{c1dQZ)v({y zy1F4NVZUEsb;CeJ1_#MPBi8vyWG!#N(*x@!a%pd4_iJBr?tXRVehJ!%QHglcFHmI9 zsN~6_-jl3@fU0zs&@S$CltZ6V6!#eV2_Xwp0j~^^acMpwHhHsS{s>|15PGCt2`)}|EstF3WRV@lz?LESyw-aW zt=rP$JO#9!1{@Gk#R(NHSkx{F?;~5WU`kMs6aJn2xluDy!BRLQ`SSs$3~+sa=&29G zb&*+Pc?-Wl_Dz5(qrxP0I$7N4tj6wQoMjL$qk@9C z?Nd1;r}qv%29#Qwci?VPIrcir@PKt<#+b={j%aG0Xlk8kD&tuxUjm*p4coDz@mlU! z0Vw4UZeH_(2-+m5f_28ivY^_Hra{|*n!(r}uvFEqn9PB={Z~8MphB)z5S?PV``pd~ zTcp-f-p~Wx)9L$d`Jo&Fit8I1+Mk*Q9$B2lMW=J%v5=F#T42vN=ep1;-ZDb-DoxX- zNcw#;<)Dn{C;`Y2RXM7k0m*nfAyoU_O2Tdwu@7=56 zrVtVS52-jt4^jRU#G<6vU@o|U@*_R6g@O_ytT?CaHbsQ1gqO}TkG+I<#S((Eq)gmW zpR2^K6+y(n=c;55eotvT?7Bn>Jx*1XR-_WM4C{ujzwH|f(|0v2TH*kea+p$Yr0@JN zU7{>x&^3xzbbiV3Yt+&Png@Kcmqb*LD43qX@EyHSo4nnP@|TsEo+p1tzg<>hcq)af zXdZ;SmjO$b=&Ek|OY^sRH+OgYqG$mSlx3KX>kNuT_~3zMm>6Tq^?#(sZ^(`C!V+R> zzZ;iI4VOu!c90u^g(ZN~e22-A!UHqR-EQ$m!a(qkQ01RCv(?4`h;0^?cE~C7Q_&K~ zC-e=L(hrpWh@4fWOyiU1a7oY?{Ki63=o;~zf9moW0ENol&P&!!7+Edx^`sor(MnWH z(N1es5fh6LTe$!3SxE>~RX*uUai{NgnM`!?VJDtSiCBaj()~^y`TrkXUjY?Iv#pD} z%isiecMtB)0E4?bgG++D1P$))u7Tk0?ruQ>fgm9vc|*>B?mhRt`_`&0Rn@(_y1Ht* z`|H}X;Y^m;@gG$=!=|;Zifs`-0v>wZ;rvYmLwf2-`*(B`Oh=mL^!PX|*8AeDV7b%L zr$87U>G8@ST8PHS;b02e+~v^ougt%iE= z|F)*}uPy&65)+YH0|V9HM1@yiZb&X6`cO`I6wW^lNt<@f^(ns)3!D%tW5O>_j?`A) zuLue_=Y}3a1mJThk4PmGr4RgFL#V(Wc z)2ti%RU_L+MwVN^&93DS7~4GPHn92T{XP&Jc;81KYc8U_LtkvZbyJH>{{?O{GCLHl zc5H3}t#)G0-HFKBwiwMRr%;89=aZb6L~-|pmbA+Yei62cjP#sB$q#?-M`br8R*eathQR~dSo%%&WztL4l z{zR0;zn5AZ`CMpsxI5>5we*kH^3BC|0X#0i!jSVSx1> z-u6SztM=jHFIPvKqp&J?ttTpct?Hc zq47H1M9*KRl-x@z`Moe?k`%v#%q_nqlM6w!SEY9yG9>E!{E8R}72xC3C<*1@wYTp3 z0?OrMyvc~KX;i+|NiF7>K0QH z7kAOb#g$MN7gx+keP}x|CXi(^Lc_|MvPTI+@dK}|qpvE6Zwg(3=p>>94J@S@(Mf5Q zRp?Pk$vC+6A=S)Teu9Ia#wx?;W15*4k5vYl3X!#(R>b;zs+m_yi*nyjm86B@GFn<< zO~0j<5^1T477kJSy@!NoI6W`iYpT|HQ!H?T;mNpmp5f^@tj}lhUPpV-wtuva{EUi?f zG4_jc$NL115XchEmHi|POivI9E5ssuZ*MK+>GxR!o2N%9(D0*Bmm^c15}A<{{%R?? zNDone*V2BcI{Hc}hFZ=D2azqMTu3-6GT>?_--R^D$qd29MTJu!{vH>hoH9yb5o_p1 zFN8w?K!7lMFt7(QBqX(w_cW}Cw673lTaq$D5)q365QQF64O%K!Yv_&aou7YobUEA` z5KthZWgMg_Rn@GbDOJ{tp^<4Wze|Oel{`mThN13{960&YP}tUH!P5MmLO}Wjwx9A` zYk>0n4HAY%zAuVKK0kykAe4wQADmJsW0P$l{1fpgehrDa2;|xLd{SkemozG&^KNIj z<1vnf++P+DCqf-72*2t!kHr~mzNOYd_=w9h@)DNd<^pBDMS>P<5bP^_b|dIl!gQ(I zhV;N}0OubIxy@3^H55%FJYe=jRumnAwMS2@5@xl@@~ef!bUg#=&ILTAWbhdW1Jp~< z8mO#-@EKuiqMw?z^yyj1wh+N$w^2lvVPA3qP^6OGI=_(Rv^UaGi+pZKoM2 zcdm?ayYcr3;pki0kE!;??oNocj=5nN32(&T*NsE9U>@iuBpA^NOXOr5TKTRNRZEG~ zxZbx`_t6Wh_@2uZNE-c#vyP5id)>^sqN3=M8~7kzJm-*UHzVAyI0olhgtLE+%VHQ9 z5?=k;+UUia!?F1Vg;>5Z#<^J4@SyqoK{C-J7XCF|5eSiHW_7GZt^^f1WNgF7Q|p_+ z+KmsXqmKv%p9tH6p1I#}#~6y9p=DB50__bnK{ndXuMCAo_%=l{D@r~yH0Z|-26Nij z*tLlRDnN6Gn3XBDa_GH6ffW(d3VlX;Ka{{c4y`I8DEb^)#~lhP7HQ2(PI?MJfL%W9 zSy^pIH{@9@#NJejG?In;&b>H-DS#lf;B3xp3}iQ}_xZL0$!4ZF4p zgKI~5+;XTB+=t8(K&MgK380gx^>-Lsd9vcbhxa^HyQT9LR_Xedm7#_yFBo}}VM^S< zwA$h-6)#|GcS2Rnga-H!!!C)3li#l)Hl6N-2jO9EVaU+|{7m*K)oJ#*>%vB7_4I2p z)ONrW+GE}iw`M`A35N&Fsrk5fL%dN{s}Qdahm~1dh|IiG9_zf%RQuvvqu!v|FHIBZ z9Qe-JXs9GAMxIy$+mpI#mAS}QTIMLRVho4kyj|p2TKUY0TSdFa6D%MHylu0*JcDQYODJEWiq5t#qTuAyeiiG!>iu+rCw z!>rm6%ra{wKwtI^({BGOk(Sg{_!m>38`?&7rU`yxUvP(AQ!OU__w&Iyno~yM4|-^t z>9@!fcE1&%6trrk7rmDid8GfLp|ugdq0snyIsO@us=F5Hv{MmpD=FaUynUq5?|6mD zxutLIJf6Q;Rmk2eX^ld@QU8-I8D8P@nx4{mpmW8TtPvdGa!6>H%A#gzK0$iT4oFFW zzN$bmJ<>tp_r56_xDW^WFs$6#R@?++$e?QMYd|L2dX_f2P6=uDB@%?QBVyOcXX+5c zL#Ccq>3=6!#^xy|zpvcCF4&M1cGfY}zbwf~`^KL`_&xEfe!XNM;C?Q8lIxe~TfSpq zUTCQr7!?DbA$U&-xtd#~CrgnPu3+NwysL7T%Pijlr)h%$3JLYL6IO|C80D-9Sma9P zpvZ=VKI-tFaS5Y^RG&3Lq{{W6VA^Q|XN5a}$-*y1O1pRANj#sq6tYa_X;@d^vg0yu z-8)I??TV##=%uW*AO55eeODpqlP>pxxCOmInI_KjxN2fJ(od|K^5j5DG;#$C{H-vr z-65*;NqrWdPkNc&OH#dAJE2O}A)(5;niLYIn5^~WG1qowC)w3kJ>38_3tXB7$3EL? z;8=6@)_k&(x4SRH?W{%aT7HT(mcT30Weq<|)1>r!qm2^?5MKaJQU}N?Xt5^VXwa&( zilmq6lPhVlsxAINn-+edR0%^x(df}cAV$&noZMpY=1)XL!h5MD-`5AObOvXHVJncc zDFw@8D-uAM1um8h5HgH`+HW2iZrZpi)v)G63EJ|Hc5d-vW;rN&gqsW`4hvUD0;o`e zcydhVRYG1fR4p(2+ahak1=`zTP3+^RlZGYWJwk4Li6zIc;dpmwSc-92c+`77X^PJ= zBHMe0h$*NeCE$V31p2$m6ghEoDh$vl`_2210qrjbn#J4rsvTS3X+A0LS-}tpnY&RE z4Wv~6F3rO#q=l@)4%5H_0VrfQ9AH;z9G5;pkA`qo+K~_Mta)jM>TX%97#3 zXrMFL>MLv9I?S3j1Jw?(h7Bn|)CFv{<2`i^CJT3axOXaioNr3=Y-3tlNr>}Ks%|U=y_ZupjmG=8& zXZ){=8fKJ6A;Tan7TE+-&KWq=L-B?=fspWbI4sv`1-`6Z3LQnipkgPG|F3SN0U>QY zjCMK#Fa~m_(Nt{Fi@;|6Na@d{)&nJCk-*B?RECsieccNy6ztJ#>eL4&0=;lH_4{P?+-{MH=Sni z@!Z+y#5Q~+JTs1bwmIuGKq8TgTvSSZL!|8k^A9X+2+IfIMQZ*I3V|?WOGgP^nSJmM zv7^>CK||vr8@y3_Yh8i@8qrPBXs#?OVON0Egi#}^fIJ4wjuRYBce%Au3f~VMu~QoN zjk4DqGo4P3?>!(dJ=>2twi9Iqb52tvn+I&xa?vi)4=Pv|4sJ>LC1t#7Cg%jvId2ap z;*sxMyBVYpwO7%**Gj}ejwBTJ=?8m(Z}2}waKqg|*5pE&sG$TCi-GZ%p|79f*n(%l zjYF=>npz8P`*Wo`byHr zL<}{?D|G5Hg4=5j00dzg51+ch3rP11e$L}Z>h%TW4MuhjfPKpC0?17giLr9QLL|;& zK>UD8j&rVnLJsTkB`o9H2`D}f!*Kh$PW;tKMR{^D8bUru94NBSai{6(wWefsToPFneANL4sse@+MQ1C_SLR(XtS$&nh;l`ku0?dk99#}8Q9eXo`3Qs6(j1?O|OOcJpSk&G~I#*4xp zx~$PEvvW9A7dSF=*fOiP@|Z11zG_CT=VR+FhQiAi`Ti{#{rZ5at~b`uEX z`hi$mx38iZJ^X~gOJnabn7L?{hJAuW|9p-q4_ zK`$SvQ6E_(%IE6>dnrN_Y4{-@_dp!@m^K(ECT^!fF!R8dtr*wmC=!p8G9S&1)_cLI<6oRsU%9!NMboj8dvveEoC8}2 z&S&D#ZE~#KYKkzgq-;?zUzEN2EWckxF7Sm=$1DuJ_|L1ZVu)lU&M z2T&`K|b(T&#^iC`R}da`N~ifq*RbZ9Yq z4!!hQBc5(Ct!y=|1|V1Yj;W*W-^$mWaM})M_peqEgUR;sse%p)mC^UjQ|2ASzO^*B zK_W^b>im(+;YEI7$o}my{M3cGtCKq1|d&)4gg`b-X0w~LSY zSk0jcMe+Uei%2@{N72>aA@m7P0hQ8KI`SrAzYU+^>x=h3;!*YYA=VsZb|lm9Fwy?O zTh+J~V#>qEZxgqS2>T80VeoyZ(^TXLLao6`iD|BV0zB%?DQi?aqe%xgM- zQJlxf6RzqIQQYZFo|X9}Z31_bo^EJ@va^|d8l%X99mmTOyi)SD7H_cey(<;y_kILD zJgat#=Dfa)r}Mkdw9+rlB9!O+fs#wRA=pLz=p@*NXReilX&BXHVI=7IKzw=uCzPrf zT<0fsb?qFi1*Qrn)eZ$232nEC$IsFYOsY4x+8}(Jjx?h84qRV5?%6{5O(Omc?lxwS z08at`3GQwcG-epFn{x^a0GdNB{w1_UQJgK&Q4ZUx5vsNCzIiolI4;pLVylb5YnP6e zWmSV@w8>1hY9*GjEmNu_v;%`})#i`qh!Wi1b4$ei%tn?Jxi`|eQTH%BMV+iqxCrQ1 zT7lr40ue%Wci~8lotX3kjTS=vyPbnbzxfzt(WHSn!@ z@Q6YNOAfoHD909Ex6Cx%dfvGTLrliLf!B6L@_RKdkqR+RiL~f?^T!iXVL%B1e#5ck z1}V>8k5}>oQiwW^h#pBAmT<9=;B8HV)D?3;7pW+|^NP;0mP3vww^@lj=L3Ok?^0R& z(ecwhG|>_=(IX*~Go}PTx>mqVJzs=LBT`n#V|g4)0GR5SS>KI=hCNGVdKE)&yu#ol zu1OG-kwbR)e^E8XTm%lfsk`;Kj3@FR&P1rr{fwaW3Hh)WtYbt^(}H}}s4Cxr!rG)N z&qLtUBr9HA1Hq!_fBl7v`-J+tI|=zQmt4ej!k?$*5dE+D{{p^$G2YUdreLB;1Zx(O zj7CQY{=4u$@n3}ewk6XM%|D8j5G3e@xisx}n&vCgs;j8rpqH^%}W+qY34eZi^YXn8g1X*8ZJPLy|*?2g# zJ|iRuA}mTt+&8&h*!Os1Bp96TbwI@MHKYan9uU~nQ|k1u+WH^pt=Q#%ucAc9DdvR##zBr7&0#t@ilG)?c%_U;aUY|ANA7>JsqrM1U>?Apz^N zy^h52y5Lt6r7N%K=Mvyq^8(Te6MHfUda6}2R~`lFn!uP>OuAgYP!0RFd+^*KkeOQ2 zfExBg4*FJ^*;@ruLi%WMTPgx`e8{=gVYrG3+`V?$904C9ck~z3?9j|19G3g=>DL1^ zyh70gZIUKyuZ@A!L9CmBwelO6rWGsOhx*-=NJ;++#U9$%#>4*Y6W3Dbz1Qs#{vz6Y ziramE5$znv-rId?UBQ15Z2?HzkYUT~KVeuCSHE)43+7kYH}KdK(%$+{!L;ggYb)?x z;u01H8y7Jbg*7! zpp5zk!-Mns!zXl=J zCb>+ovwtD8#I9eZ!IjQV9}Sl!TOkWD2p3z%r|45}a$BVA8tPz|RS|F6kz5wNffR93 zVe8lsXD>QLkAXjVVgxNdtxvcVo|Ii8q-XDzOMC9_CauDjP%%D?&D$wZME5ZwWBw8- zl9*(9TNF1Dx>%^4@rfJFg;r@LeGICEN*)q#ysM3rmAjS#XD(xZdT~6~eS4uyyTU-{ z&UAO;=D5hk9u62lU7+*DY60w|14}HUKrc%oaQs zlRSNSJWp+wQa_Wp2YPyXx3#;u7RqZ*(3C1_e)4rR#^`Jo=Km8}cM-OPKVg&2BGGN0 zeh>`FFk#X}F=t)R_)||H6*0Xi-RDslo8~@i_6!fBda<$@k2$#g3DSyNMgIFb$&T|x z@%)_8ulB~-`4*F}#^s9KP~Lw2A8d43jxAmCjr&KJE7ibV(K}wBH*?YrnD41T6(K+4 zdJq-YV*e38_gDvxyBDz2uNCD$z0720Kk7XG0~BM{9Q}*#x28MM%< z1o8t#(Wvrs4OvV#tM6F4vY4(`-=TD5F-`wB0eP{VIGe^Tj_hE&Uplj^U!gPn*!8fC z2La)jO;jX6ABEsHywKN+ueozK!46TX3{->mNS2^%@%a!?HvwUF=zCV2E6+9GP~T1G z^-iHD)WX1hPzZSvl=BSTIarQ>$t*}5|7e)eoSExnvp6S~u zH!aP<%a=UD$9I}>qgwNg@mEL#XD}nwr*4JIE3C^CuwN%3lbFX8Aq6Ofznxq7m8n9_ zH=;rV`8^>fV730dSIIYBq!XS4cdp3?QG1i`5fKwB&rw7Gq;C3m@*OCp(mk zWMT7|$)vKDb*zVpzX6*FJW0A)7z#X@n-6t>poa|;-5O!^4Qqk`t5?-U-W)wZASZP} zaijOX=`aAgCIdSNzZkZ`ya-C?nG2S=sxW3aw{pc7pnof>iDv-&({R)g>|pap96cgR zx-ms_IaL>4<8zN^jsaEuQl-)Xru382ClbP23~LiOeEPukKM}qzasu<_4lIL3cFML0iXm$wWnqFx zTP$8Btfb_0aSg8wBBm;apUT<~nN^n%pgHA4wWesGHO6h933-)iq{ougd6)nsNViV6 z(0E)dKWeVC2|sHMkoMZp&aYJH*Z~mKwGW3shBu||a?*l2^x#m-<4oKFX(#0B zf(B4zUAcU*2tWJ<3HDJwV>8sxZL--26)Z48F|i^QP~k%7e-~bTnLL}vkAr?kw|YIH z&vTXgc2~gX&a~AmhF&4ygR-6+I3WP~tBsBYCOI5=ZLgwh{rI#f{mtL08U7ALu zpHzqrES(DE>Ag5Tp;cmj*n3d&Ei|rPzG9MN0ZX^iqic{cE9G>dX7lRQYEwQxgh|h< z94oeFPlKwq9i!~|Ns8jwEf$H3xE`fsjis!(!80Yd8*>zfBb%LDh6FN3kx<|tB0z-(p-7;J(CWvm>Pj1b{P~9L_sA8 z1PgEvoyXxQg_iuFr7h4SdthR*>l93Jh;SNtk-mlBM7Mzcf2>P?Q50MS`srH>PaS#d!? z^8YPcA>2qK%9g?z7Mn{G8=FfXyE<=Jb%1Fz%AJbc(_M$vygbs2`TC7wEsvuJvjOj5 zX*;_TOJah^KB+Wa&pxemMe@S#ZN;(DfqB`SV%E z393dJ5R^6!)4-(%r9+46C6~z(1*vhfYNDu91`G|i)eYbG4rD*_g6z;$WlGaCjUfis zpljmD{w<=@87vSRxV)g4|B5v-t4pla($eiJxehL`C}xYujs;ypt;VBfOM|GGjLPvM zMX)7V%$^%VIN_--p+@UC`u|Own`+B+{L;&G!ju(!>l)hGmlcptD@!rgYIggc^0)h* zK@&GZkPjwBJ|9gijO31quj?3B!<;k2=UG!SFdaosU`Z0AX%JAkB!M(?Srm|W4?peP zmdRojoDq zA7sjz_b)L87i&fbLgOCb3ZVqKw8xnut!%PV6NcP-O(I3?b!><4vfdIf{Fm#fxOJ`6G9f>URP6*Krh+ZmkkZpLtw^}8_;ec#@!y@5p zx`NZfY|Th6FK<+MD;~np&sR$6v2|ds3Nox&1`t$#7!HqyETLx&o0RLv0$iZ?4>)48 z#KHFuv|zEIVfRaFAp>N;LVaY>K<+QX3BgT6>Q=l7vOz>gE2oP)8fZ-_Rkx0HaW`Q{ z(ZXy7a=JMlRo`-TOiYH)pHy{JV|(Iu11_J$q2HWOmZ|TtC6}hc%J)_3TMiE9NaXot z*xj3b&oux2<47NRg0N3b0#W-$O=|U5@$N6?Ro*{Q;OWoY7%ma0v-_d?-+YxvUBu+R zQ(5*Nhz{eFsd4P19vd&qm!PXMStbiUJ{ ziB0bEV)l=B_v(I}HhsG=!G?PCXb#mK2JTP9^VnFzp!wpVo)u{VTYP6n!- z$%h;z(YrNF4WWsN5cg>Vg4iNIozOwJm=+Tx)eYk7uE!{K955Mt)2M0y2Mf&Q$((+} zDv&kccY9|>F#a14aV{^57X6-0g!N!iNQ^A3{7F=)`(c3ZECM;%dk_!j9Z;CXq&3Zj z6|0rra90QOflp(#=&q=?x1#&@dF9~-_56$!9*{eVj$tF3OA@_N0>_zi9rZ|K^#yii zFM=G2qpskKhPp)h3`yS?E7Tr)2omhFCi$jyZj|-A0%~B^91{H-F_kN+Gt?rQjW_6Sx0h z1dWY5T!-=Yl$`J#qA(jp!2MH<{?qwQ{4LU6o%y)vAWm`3*r7Rm1Ca#u*Q2zpdbUnx zV>6_AnxEF21ItHA#=}y%G2S%?1C>6^OyujpHI`iziPMD|AH`t)q9Zq@@p`OYk;eyz zoy#o$^{4G0NLcoLqW4*-<$Iy};N$8aSa^mS_854dFn-AgdLUzxecRmCKqgN-KwOkU zz7;|RelCD=@rl2ULE*?V?6hagjgh;+SF-_9byAyC=G|8LtQnfgGSx|)g>-~z$0(Fz z?J)+$oa))v4ALQFt4&;rU(^PBu5FC0gRy}&6ZzJEW%^xY5+W-3X**M0K z;pin->izx6OeasL?HGrC`VjN=w73Z>%5poE-*DsE|8`vjW4bM8O>F& zc(M3p+C0#|wq)8W*6AAm?w%V~YEuy6R1rFmrrA+2kgQ&2KM>WX-PBZ`k+J6=8DR zq|&nwZ#6cbP77I|{sDrxQMJK@i%-jAw^Yd=pagg2Q!#8ey=XT`_D>KN?`v?>l2#|S zM90PV!x+HGQVQk6omvC-5b>bub#>J81@(<~`G%-*6+!^n@1e)uf}`zn@B%j^-yDBw zP&_(oNIgAkz&JkJJB8?ZCua?&mXO%weg;1`o)YgoKwag`+T#fKXRhzBZQHuKdOyE! z;SD(KsSu zy$`LY_P62BpuCGWbDKvg4sYfnJNJpm@xKDpF}Nxh&&Y znvM)d(FEyvrAFXfL(nF5b!g>&P$K$41S^xEy~a3pm1Uj3V5sTXBHH>=p7xlAPD@0N zw*1uo(O9R(s4zp*QO*caJ@qQ5)XcNAcC03lRZ*jrL1wuK51&b!sBi z0Nb23)`i$f3T&=G+vcOUhY8*>rQ>A}H6ts&@wIovsGCrF?sdmLHfHHLB=<00gbPPp zZ}C+w7bRyDrav?*O;WgoAqeah0Zn zLyPjG2j?xyY?V(ZgEzt;!8XPPRYpie7A>b7ZN>$6G8kr)!7n4-BF#rR>ZnW6q=Nh^ zXo43?%6GAxb6{e`?>QsTqUCPlvv#C&;DLSv#HORIj{Ozs^qj>^oa>yaBrxmfPoL%^ z!$~)80am^YJHbX6(N%#@xl~FsOv4>kt#3{Z5Wm+f#2KVIZxyv1rZ1DX87^3YeVvav zk1oSVPs{HF>UkR|b7)U_+Jdf5c-C!Y651(G^_|B$-;ubh+DGIV)yICyh4FJ93(nKd zREV8W)dzYl6g8!I{qB5#?Wz5N3{Z$bGam2HC|0rGuYAY37H1gO`rRXUGTx zt*qvlDY`Fo9tjL$XOY-g6y~Kv{`Fnj(xR11grquce;rh-yj-a4Es-Gfk<^QAJ=cG^ z({d(jUqw&P-79#y8=+%4pVmgZcbIn!Q5GHnvRDF&?1JrriW|GH`4XhI?mIOhH0d_i znKzTAo1Uu#$W`*nqqOoS^5Qc&ZgCi|Y8Htfj^$sq95)5CuBb9}wTTLEY_ALV3CrtG z+dNVdwdkgsh)q182(9_|;#T183kNG=zbcuQLZQ_j5gU4pW@`L;YDy(Ji3{JXOIQRQ z2=B;klODxmKOx5On^=ttVxrc~_}SvQmsZb40)2FK({s{{Ynz%E~BaRn!31;?xqW7-`y*f3tt(U6$)?DJW@kN-R%br3)?M<{MAhGf5(nx1Ce>JB zGQl1zWawzCFRaxqH;n>4wJ%$v2OeK7-2A#fs<)?C=qshx(r#?_=LT1VaaI{>=FHFz ze{o7as1qr^>&_y~EH@|0MC6Q{%GH)VQ5@ zCgj?Mp2AO`*k&GF^=hx1F8=9lB*!gQ~TPL_bP8;hts$Pdf%%JJpC3$KK%Je z6L-Cv!tq*=b)g@0Q8kcdgYq)JABLx0300?neKS-MvJCuI7$U`ts}HqeFwDyeE26C? znLQCkYAOo+2I1(hyssWx021h&U@walN^MzdP>K~=Y}X{*W)8FMfmhPgqoFN{qDBm% z{yr`2MUG$f&DrYZU@Q`K;w;Vs#x&8Ve)VcBY7-wQ<>Zxz0(|}WvTnpk|BD+h300Ov z4Da}0gVhaCGR=|9%@BbRO=ntOwxgvTN#*TAxItN%0bkRcyh7(k#?Ft%Uz0&cLfUJp z<6d|wxUIxw!s}xp#Fg3^mmmpuO=Jo^!5B~Lvx_B~3avw6`ADT|d#5V8Y;HE3rytJJ zxPtmAokUuav`li8&()i$2Mi4F#1-#{Ox;~|w3cvViKXPAs&2FfS36mJ*J-4|7(S~` zbOAg&&ow7I_T^f(!#EE{-mgIWrOKrSt;#N~$||kOCauaMEyig*;X3tAy%X}Lb0jzY;Emc&MtYZjs6{NS?rCi&2$I!dLg4~O>n!~7PQts5vBm^YdZoN zE*?Y~G2MZX)%pBhCvw75=R6#rWT{oEh$=HLyZtW`>w(w`PSY()|M*Qoys6N;@v0!H z3y-NAkEsjqpi)VP!7fl+7tfV7kMJyM8tQ;T4^?1|k)%#=Du{k1giAwhe*B#`%kuaH zL2ix6R_kIRke(US`-2Aqq)4i*SE^D~M@+CSdQVCP9V!pWnF1b5kTNXgi_R~A>rXF` z_KJT0rTR zy{=u!eCCf0&M5m@b4dZZ@u8*^l3=-WLTbLc-J(g|UR&TfLH1wwQm z`A@1C8tdn6J4GFT;v={rSJlKgFyrm{xyz`gA*k7g3LQhK*(y|KLVd`whJiYzE9l1! zST4cXWBfsLuB);@VKz|kJ1l3}(l`mSdT2$puNAUpX&l} zYT|qKGlEZTt?UQBwRxluKrQ4CSQ~cU-#atoVokTrmq~f5%NE|{RGB*y@U)k*GV}o| z8mtAyMTBbfN+sLP!kxdNY>NR*gSA1;tMDLi6_y6VGapIOrC|iuLcSY)4nIfP;pN-! zy(stu$t8Z$F35mn8qgS-=}&tZX;r3a5T=m@)mEFIc4lTL~2d_9S1vDB|ln zM0WRSgR04lg)5dZI&}Pz)$pqt^o2z~7aiDN-Hb(|IE3n-GpP^QGH`rSkPhA#v_&Ry z0?O&v!|mpgoYL*nt@kk@WA|Ms@ykEvLY`))#OFn^%hLPnw*QizB1g7x`elv1sg2NI z0WF+PT2H95nd9P2?v$mV)=4bnDTaA$PbgnWj-Uw>2^l$BKGSaz&7=$fwY&`reVVYS z=tHwvAlEzFWpTMp`&blwR&9@pB5HiH>N9B>ZN0RoLb%|nE7s+Q0ah&>-gHbH?ywUY zty*QHMxubB{#+3Z6W<0Kpp3c)Iqq~7RQ@}+)q~D@hpTTNx&T_}Sh>?hRh6#TP;T8H z(p*;cfq>^dgGS<37-76Tu&la>tfKjjAuHhQG$4=Tta4T{D~(6!;EX6WkmpcThH zhcYbQ(@}&Pb6EXG=z7pkrWG+)_9E~~Z*uGBqt)hTrIF7^SBF#;WnRc;t2BGzyKtleWIUt z;Wngqrw}vUma{&n?jtD*hk#726M$2ts&-g9lYT`7_)>C7I2c< z!)*+9wzr%7@bV(Rvgf^ZHj)v@j7-&5<6K54T?si{zCpQGJR~|i3RDq^tuP3N-HNmJ zz$guLSdk>Y{nk<-W3Wc`9?)jW?2)2@B|&y4A5!yX=Wu|#{li|Sf&9%BD~-AU^qQkx-9Kkd0fe#^k5=U>j`2>(V{Fp?-gUAAsA9F?I}Ng%$I8sNiPQ%t2#< zJ@RoA{6QO^x|u2%R>=<9f` zSkZGR@I1AE+?ZE#6CtB^!#0k0N7fmQ6$qI}iZTxPr8Ynko>T!b*{qUMIc%{3lvym^ zmY(9pJ8p5MzW+C_fHHdrma7E2N3uYVoy5U+6qM*i5<(hP7xxc7el*``kred(QmdyJ zbTb7L64~kP?A|>|4AHPoW9DD3C%ZLf^^> z9e{wU2pH0G$kv#9cogORN_|lB9Dc}#rta>yXO9>!Glk$x=$Fem(bBvqRR?nZ=UTvi zM?wh(w!jVN2-c_H&$oWXOPo>;g6M)r;*ii^ zbN1pvT5aSWXGiA>JP4&UQz>3G3lSakw_{ZPALy^}epV&UiT7)(dC|prOjIu;h!37ozzYvqIR>vEn&V3c>#86y)ESlEkutcqVTV$pIzjM zd0T={9fkE+vOU7i1}PZ`z`WJm4*x(u8kjsd{HbYowJEYg_k+L5hW{ok+n@U%SKm_V zq)5cA0I91oL$^EDij@jTf z!5?U&BJR6k03>eh#eIsCG%mfu;dUYmC6HoE1 zX?cJTnA|EqiE9Gp#M)2$5_YuqJ&GK4_l}vogVR2(?4HM@q#0EMuO#JC;MCl z4>?P~0**u;OBrp5$a*sf(pcYW>>s>hn1qmy8LLjXe4mz(1Z&BNKD~mO%t^e zCLubAB4#ww7OP{L(R5XWzveZM;s_f9ApJ^x!v-^p?Gw|-7o}lZLWw`bz_IWF#T;)9 z0g81Efs=LReTBgxX_*kdcJ6DaZd zd=%cs4q;o)YoC2k#Ia0*U|1e$yx)md9sr8IyR4&u{E5E}k_)g$eG%oZS+zbMt_4mu zW{)mu`DxmXiC-fTCUZ$fsA5zWP)gB9I&*e!Q&Nez2Sgf{<)E&ne zO%kOjS|k{g8|5Y_lsinxsyRS?^Yz_lET7%H4=0fS-Q+bMrqO{@z;pNe$KkZZ7becP ztVR|mWu@4ee~h!-C9hSE^24HC@%H$~BKtA%WOwI63v#lj|LM!= z*($2aAw_gsi+8?-I(zvnCBwxJ@b^jZpJ+?Pwe9jhs*qo^&nacLNyl$+?OF4k`{XGq zT%k9bfLNb^B`w5w1-xp|`UcnqPO301ylmo|QOG$s6}8GG%`x>94dT%HHnidn?_pY0 z)q_^vqs(QMLDibnF>zq@NRC)DTPn9nmTBvbb;O_$VQNtxwn>lIl;ah6Ht}j5%E$;^ ztT_lRnk;z~kWkHG`l$ANu=~onbx%0r{3pK&Nnqt<$5+7|sfqBJ)JP(-Brb~j3-`ep z!0S>`$cvV&J2^o?Q_$Tcv|+}$>rY0HwfTzzUn8_8W|YuCkI^Ani8H+u!#T2M;s=yR zulNSMA9&a@;Z`JO>$i+lF({LKeNE_B(k|WCBlT)8%bml&o-e;1yK(*r^m|c^{c#=p zz&ZRg|JS3;&)T9u{cpe7{shjyYx#+$Cu65ZnpwFu1$ByA#|YNN~5{KDavsch|hhcg{U)z5C;?wSBs)cTdmks;=5q zb!0~na<@{Th`K?4Otwbx?JwxJko^{cy&<%Vy?~6oLo(P}MIYl5!&BWhsZ|NY|MsS@~y9{ttR9&+& z!n>XIJ*S^T$;A!-$mNK5$8tj!<1RHjB;7xFA?x@HWlq9$gy!~Y*8#6Pkt}ug9l=o% z!?Ga+-gDMYfD>%eID@mE70LJ7(?_x6~0K++Tn8SbVNn63yoN(AW3>Tiau?yk&8ADgW@u z`RbkTOY-DhEuhoRZkUdGV@@K{_!FiJAmVmFv8fiK(Xj`h0PYmFjKXvx*aDwcjm#s- z6&nlswu~0x;AB_$?wZ7Jw=Ba;%NoViqQlE^(Uh4<3$=@<$1skTc6+83gnDrA-7(ak zAR4M46j#*CA`CjJnZVK4Yaa64lMs~qN&7S~f_(Z*v%rqEb1Dt#gx6D#sWT3_Ho;%G zHRh83odcMCm+mz^appz4Ss?q(w(#Ho=uRDcS3UZnr*S(E_z-tNS|1PTA3K;0<<;YQ-aLgXWSahjs= z{)@j0QCjKyOqZx%L;NouH)U56!$f2&lPX+mlLj!h;|l!X_?z?K`o5va{e;XDwN{$! z7XNeJ|HBh0!hCL*6Ss;98?&@x+FnsH-2m0IVDpPz?H9`(Qs#MqIL|l=qcITc(E7n=H$DZuIOp`Dvuqc>3vXgevK)CpUD4}pBP)^$EKV+oE0VN$1bW32)kOQ-l@?9L zcsHub5~rf>!Y&U5$!H|Lz=wj-a4E8zCQ<$IKp@P%Xg3dV;NTw(Is8W@j{i}ZHNyE- zmA|6Xye6%%>|r|SV0OLboS&mB)oQ&eE;a@LIY;D*-QgEHM<`J6haI68nw3X@I7TE4 z+rCi;FQvyTk|I&wKqh+1g^d)bnSo+@Io72N3CgK{pM)Y5F3K2iCk?%6skqVC zei4q6jo+pS8^+Hy_K4ew+b_cx9=_5;*$ThHw~j4rMTl$S8PYDw;0!XUR?a5st6QXN zj@$ljjXnMK*YR78na_Pz^Zbv-wzbZ9rOIjufH-O8PY7&Tpkhmsk<-YwBGV z$Hw=LfHFZ-Haw$1iV>0stP7`Odq5{}d$S6xGhlY8;vTNWo!OnJD1y1qG+O82h|-ag zavUF_vm+oFWTq-=H8aI-i7Bi>A6(2)=2Y1M0bxI*+kBc~P+OvcoRG+Y?Rd5?3haW< zvn?&j7&tzJWfH%{FZ@~S@8quS$iKcFM5Y1jjfU&LKvA1NTM*WyuC~n zTQ^Q%lD-E+0~@EOFpRZ!JZhEPy)kpu8~mnS0vQkgyeQL;hjvq)3MWHqDy6yQ|At28 zrk_u44p&cZ8c)i_)vrh@CNu*IRf~q?9XtY86BDEkcLB-J39NkqpIDxm5E1}}+f&f1 z1x@n{eUfl3l#}@#Fm~CNM7^j%tcaEcXH)8Dkx(!$UB5t?q1;eG@4UAdxqTS`waOV7;Us>$oIjht35+L z4@Nni8S;US?$0I@F=6e5;(D#Rw0H0RoJ~(%k%oiusP{y9`vVcTl-ptB}TSK$#XFtL>w zfouCVy-!LbW)$?vmK5~W+Igleb{Zj$T&re$4_XSoY4y78BcIZkkylXzFJ71)_0nb- zY;+CmEPZKeNDIa}9<-`>2E=ScPpyILeY3R-bp}6wULM!qSzF&rx2G77w&veQOGb-% zGg(6?6|AfligZP%?gjCz6{pEFVd8Nmr?~l9@zka1f>xEne(*%wL?-)wv)`Z#-4}}E z!QXYSyGvX4*RfA!7`)MjEe|r6KIPTW#@{kI=3Ok<+7Iv6_dZRw#4i}9<9SBVwtr`f z)$k(ja-CR8-{dzZv>M$&>NE;-XB;`$HY1Y%R+e@J9ab<5@*SKv$?!%QChe~ncKEMZ zrX3B5GIoOgwWFTYvC$UWvGJVPu@N`&v$i!9@qDe@=k}yHy>Ar_XAXBXwri-nz%{a6 z;3Fk@oZY$N#%kUR|M?%$8TIfYowS0V@e89XT9|cIeTK%L#05Sn?68~UEG(0nc8jWy z#ONZM(ykyIcPB2KO(=DWn16JU|3CQQJwXoEdi4g~Fm4YDyN8z^_sa_Fe!@Rnm0;Av zXT~q#aFAgznhFbPjPT-SkzbJ;5Eaz|vfBO$Ty~FOIu#yy+AIO^hZ>>Fz1sl2A`Hxx z6GeP7sTZzU{u|zm- z!a8!hJ9ArniNqUNAoj)ieFAw(!4T4OVe^3A9syZnT)^5Hp+kRZ>t50 z3Zg%m!O|_NYtSg@#0W^#<;v28(k(hm)#Y+V-DfLT9mW_7S#UMWIQ=wkx2$-zj@jx= z6P>kgk5&vchU|HZ>)p<4vs6a8sBAqiE0eMRqOR`s8d)*BrYM)X>1SMd_kg8Z(vp|K z(Dgn*J%0|`sM)GsKt-x!a)xi3rc?^P=?fzigkoB%q!i^>5X{|D&h~|EL|p zX#~$7ATXf@b}d+Tki?OFY0S{s6Osg+2nCJsJF zwIUaNFvn5K@oTO!F^nm;`vSqBo~x9jW{&c@y2byh^!7H#Q-&qIpU+s>P&<%g`Y%+J zKXb4+=&~VEQQ^a%!wymS2MQz&AGpCW!p6A@yIC=5L~}|rf-5eIfbZMAL(fEhA#ltA zJ?o5*w_QvUymn1uv>2I%-tIv&zGoUs% zC*h+EjlxF^4?J+#7nID`6#eiMoJM#P^3N?uY`CRC7UgsR>UzN_V0^Esog#mBZq@&= zwg{xzB*&oXDZtxEkmjX+1K`|-VLORe_W!^fGuw^5@_>h}G_!{fJq{)tZ1;y}e^(Ke zGtfYv`)f8J1qti8Wl|IyM4WZZpbsrBAF(SXQ5JzQyLQ|rV_$a2(3O+>^UlqyW^8X? z@tU;ouf67dEel|7&9YnO@y2m+S>W_BXW>J?AX}y&5WiSJhLpteqj&@6DhJz3yuIkj z@CU3Nt};f-@kIv1 zUFv0CgFL%O)%C?9trm@qrj5h1b{!&2jUG+Iv*~6wyxAgYJPs5c3F)@dyQtG3snqPL z4eyz8oArW{?waB5PlWCxv#hVJ{VmNpA>^_>LvS7Akmo-|J48UVgpK0A*QSzRN-xRc zx1_QRR^c@4xBOpy{NC@|LHmOOdb1Fa?IzGrE}8H!M}MN2(QP2Rr(vnyydpsI82CGD zUuLt>($8;uhQCT?a2YLz6wzjL?NlKK(-M<9U#20nls%Tk8-!82PzrT5TC!+Le)m6U zFEVyoh&)x{u>Es7qOINj#DS>vq@iNf#TaS~-UnhHAR17s5h7zjBpZZvMa+K;_x3!V6NQCU^QbVS7d3T+>Y2n<(zn7_ z7JB-9X{xqVu31!ya;=seN9Q1h!&lYWMmDn?*BUw%BdUt7PHL?@59))l;R0VSq=VPj zgvonb&J_px#i!?wwc&KTV!J5ArKPX4*lG|RYA{#6B?#!6dL^9=Rw%aE_x)Tm*~e|| zHL)W6mWWb}KAy_5#(^&5W9bmSf*aj)G$KDay8V6pI{dufeLfCcK3{d}6iA2ebMBF_ zP2TevW}Q0saxEPO{A&!c$(QpRW<|O7az&m79E+zw&q@o6K$Bdncax3`9R-UvyF98x zz*Uw7DuF2()k3@@AM`?e;>oZNJFD1jNi#kX)P$yYRGts07OUHm6XKnp0N6_y;FnXC zZ}5rnXlWGb#kD@jFDC)>?T<)#t*2W(3vS7+HNSy=Y#m>39Hbkb;jt{nkTkdOk{fGogtE9(c`2p9z1m4YNa&?sm(dp5e zCS~VFVKwP~UWD&Y5$zQ1zk@*8jiry`Z54VkM7NhqbSsnYP%Yn}pSQyXp(@h}N{HT} zhi<8DVc@6GNFu};-98Nt`V=V^;gO+)9Q38V%JiJyTulu^bxA>g?#2^<2zGLKItrW_ zG28ZIEU!_b>;Vrpu=%nj03)=?hZ}|QGrYmAM+Ia@%#W>Gn{NS(zS*|Y#}idyDSw!# zE%|k3N0Z{xOb@cEbg?{&Qmvt8*LbHiYF1?Y8|?*Gc}dTS;x%51nD=JJTpOK8s&zjx zE{%@4);r}?p_g&xFiWFNZy9WT>3;X{`{P;!=lSySa4n!%?RzMBg6M5Ps;}?)69I1a zjsyY@?emo;&-KflaaJjoM4Bqzi6M^5FC_l&up~BvBvn(W&Aa~taEwca2ZgDMS*8bs zRl32c*1wzp8Z|Rx+}dqYj7z`+!fM^3)adS6D;0jqn~q;FZi-n_)w3Wt5h6&yL3*j5 z&MF2-App*_bkPzhB5aix2De`%WepVR#!9$^*%yF*o)Wh^4W(U&sP0b&EuyQQGR+TE zI+vCLfg(in_y7PfI4hwb@U0w!fN&&UZVM#hXZjWfcT=Q41{UWP|5;zO#G#)9^msJ9-4Y9XVJUasat2k|FwOv%{S}-oHjJY;D2U^@*;9qppS5uIe0bo$yDEqQ~A;<&Q8p zu5ZkJpak03PCOzRT^l;bx`KhhFigC)cLSS8|GJI8gKmHE*31k7*4mYTw!r4wR;7G9 zpvE5Fb{tDDiQWhaK~{A><8uVB{-gM*-xsh=T)UUmq+CJRUl+?*CgUSe^liXoK$S z-th_Ph>l)Zutf<8>DD0ji^x^xL^P3XFdx45@zt)wvS7UQz%_lF-l9*bupHmmaF2S}}6&R*;I4m6~p4JJq8! z`ue3yP>kQ6Bj|26lFsYc1-mQe8*+{twy%GepwJ(Jc(^tpAzhZG$6>*yxqvVBOYxti zTrvD-aZ!q5^LS^&G-xBXS;>QX0uVl+D4&r3{}fo(f9{D6hEmdsL*FRBnSp;G=*7As z2~GveAW(o0wk089!t_wJE=d;GO)iY+n3UCL$m0Mk0nfDK1UX!{?BC*uYMgdkl~t`d zI4d!qj|(wJ!&1-OXc66X3g%ZqUn{f}ao@I`mqm<^?bJ zXjC9!YmVUFlzQUX9Y=U(mZ^c|r$#>7dFmhOIk5-Zipe4mCKunIo-^^TW{}V%Quf6RA0U(}GNk`!l~N66sAI_j46fnPHG58?hYkQ4~|vor}EE3OehAc+Q!wssG(D0 za!&})UndYax0IKIUeIdA&6#>R6pD>$$OnOULw4{=1!kb%oYGRW*N>jExuaM*9R4Ta zrl$Ix#Slp(-;`!7zTXi<@m|x)NVpA%pF5B*8E=g+UO?gy0GLU{)aZz-b%Ra!w@VxK z0ketJXZMgemiB*KAHW{@ln#z0#K`d7N^wa@HS^E$qex4|1-Z{bSs{w?o`~s`PDgmu zOK2xSL`eTwABSdeQd=Ju=cp9g1VELS3)AZVwFFQxzlXSF0PLehO~Z)@`UX0((Fq9p zBu@F2*_Ekw&*y2QF^0vc?4GFHu&5pqs2<9wUhO5M7DS*5t;qS2=!Y6PkY<(NV;Y~} zk_r+UZNL=DqXLCe{NN8&5`bWZgO^i8a?*d`eIvr;Ced3(BFt;Nza}@0!xbhkYh}&JV!W)LxMe1MfEcx7BeREzrN>;FzzhS<}e_d9~ZlqJqHX zrJ9C5t&T4w*bMrfA23ieE-mS^$U=`d^*lH=aplK?j{p}yI19G^I(xBZ)l`-F^|}Kt zf@ub9D*P9Wz}`dy^KGCLF0EProh|=&bzG85DUv+sKY@Jfe;k*q7yoxXiF*EDw;aC& z-dD$Iq_$nVv+PxR6cXLMRNV1&uW09I+V+G<2$~`Td7#k%fv4C~Vxt1r_|KpA(&QWQ|xb!JYca zFd@mS7ov0TCmnCXMDh#2Bp>0}sM1uJv2%A>=IiBF`sL~A3ZGwx(EH=z9#tv;x-$U- zvhxm%xWNHoFE>+NIQh;4Fh_3$0MMtiKasba;TJwfXX2m8_+LP0;(=En`N;@`E?olD zZ^mGxbUA(;(vbPoDM^VI`F8E)+x3TbOC)77jMmy7)Wo_Xl6tx@ol2f)os=cNdrsEF zO4f2X{kUa-%MndxFZDq&ZK*ldmjtl{{AT9YnKZ`aMYE#8Pbia&h1VrNR7^{tN-J)e z4Wnu!9Gh$?vqzmV`x&tmhE(P3o0K6ay4bs`%g#CB#}Wmx%MTxa-w?PB^Ho92e415w zy01_yG88T$Qe(TGKP#)&QOWBfOOWa!JCJa0c^owh{trp%Rf)pWBC#lW^jWZsa%0oS z2ZqMYjQ0*W>aLw6s8bna_AoF@u8AUHS_yj+rSqG>|1n@am00i#nTK^v^sJ3?2r30(lM*Q{43L^r z(YQ4=#hg88K$+%RP%1zwb%2uqk`O1O`|T@G?VBK45{PGNQwoD!yAr*y1c^dhs*%~t zmte664UHJ9^}sv%sAafGZSQ_imcOKUGrth#8kg|Km>Gfuib+P3XA9a!T|UbY6L$Z5 zE^bhDXmKvP`OzP?2mCP7e(fvlO%D{3x2y4#MGq?<_5OP^Gf!{t_We>MkI%@5I7Dyw zpWJEIw}*3)z+*3}|3+w9PubVKLznTraD+&`P=sXhJ8F-#_LdtC>&lMn6u!`fja%D> z;#O8K87sH1dNK3BE)yHNW(^p+c5jM+xrnRNph#Z_l>Fi`8T7(8D(H<4vu-`V$K-|F zucFhnjy+>=W2lof`c2D4rr=hE}rdAk5l3LEe(P4!feUl&Fs0B+YafzA-!p-TT z(?+1js*FBYd@UHwZ)E>E!=odje|6=T6?LF)I3eR5x~TH9TgJ1D0|;MH|D|1-8i zGf!18P^^61a3TnTAni#oP`5 z?9Iy~e}&I~h=aCQiu1+&N)`T#+f|&`kpA-!>ZUSlYzfu9TYdcS4I@~Yqz!4keDoJi z)#8Nh>S|c(1KghHtfTrJuD#xywFxrk)_T8scD%XdES|qpEt-8@$jOSbsMY99@77Eu zk)<-g+a>wpkoS|Zf}sRrrFs`+x z=p)C!pW`1oaQYo^jsWD$mk%2{Wd}_D87A^T0UTIF^?5^ZAv!o_^u1`Gz&swFA72l* z^dF9Q#Q!&RCQ^=WRsj2U=i8PVxA>bNX=Z&QIv+3zwEI)C0M3RfI`B>d=fqs2O#b;A z{-&Mf3s16cZTUdbDXcjYpgz&-Wo~H3`D-ZCoujd`%sB=pQj@KbS|7NP;a!b3(1naGT^O;GI?aOtH%;%e#c%%9VNvm&J~+#3M~Ej#J{dJ_x(qh`CNSz zPONJcuyaFg#se+VP9?xJWg=EAIqTpX^7#@U{#Zgs@FabUYU?ljBzq+jMNA52Cd z9-DWUn6cwaO8w2maRO$|`n+g0qHM8A9ESuhT3&km<1ks{&CK8!Tgl*uw`@96$rre| zyuaIGMlMJ*M&cVS#*t|vt04d<3I)H@Vb()__T(pf#Vujh+AjvpUsasrcdpavZ36;O zbSL#tKS-sF=b$SJkK^=Pw0=bdT4+$h<^G7ok4mkNx(ok{aL()!(cSZi`#ohxSYliP zO2k`si?ZU0_yr=ic(>sank%i1i!nl~3BA_mj5#k#W?rWmCpAJd85XEkxH%SEF42WU zr5p+TYZxL$gXDhWlD;HyP!b?4R&=A1KvtmaX~~E2eGp+u;CE`G1As=?7IDe!H%_)v zF~`k1|EMOZ_RL~)YHV{7 zK7>T7l-RMgX>xcB9%x$7B{`Lt>PU{85ARP?(n8vNa;L&)q zPQ>5%pFBpkEU16EemqOV0<@G#jg=ANBs>k3Q8lpHpNl0|{^V#YlP+mG#5X)^;5735 zN^k7M_#|&{3nP#5@M*P0>-Pg-11c|Mk&j85#YlxVosU{V*ffyL;ETu0$!q-Ig1E<* z8_}E}UVUjWNzr*sW+Q#%tBqM86q;K*Bm>@#_8Stv%q&3zOFJO*@@afJT2{GN>)XSX z;H6R416!uCAK2fMKFuWc7*nIK|g=3Ng~OJ6Fpp?I(Wa_Yy5_e zNV|e4qfv+8EeNLrK1kkqL4}_ zf=;kvRy;?6!d`HYY(le|ssFGLSM~2jTl+{|Y@V;(qOX=V0m6nwIineFFzdv|V)jmlY=mFNQ!X zMoVQ|8fK)zu%XYsN{{FO1j^JQ4#@A6II79}S1wDl2at;v9s&0Rq=vp>@Za-x=!0*< zhFie>KTK8J50XQ;|x0pJ%>qK(L)lizhqL%yx9E@}xtZ}L! z)xN;%;~BfAUA2W8eIx@NF3a(`n=HK|>C4o3{) zAoZ_0-pti!SxPr3P?ipBa%lC+W9doSg2x~XWVK%FSWIRn=Qm8=02MD}h-yY(3Gz`& zU6$$dS1Y0^p*u&=5|k}Wwn>gJAw^i(uNUs5Kxq+*gjNSMCvI@bWZo_uSk55+i9Roq z=j%^Xjva<~o#Zv-$U!H|XZ7&<)&+Ydg9!d}^^jeH>mmzok znd5|)@KpNqv4o811@`jb1=Ja_VHrff_=3t3 z3!G1E;}<+4s53*~zLI8agc7(?>&Se}dPyLDb+RW6-4P^ArFT5;f{p%x5tTU~MlyG<8UqQjnb!pab zGm~V1Dhx9)J8yPjrxAbdWF51!PG%!>6Ow#Wi?bTg%XM%o%W_Enlx!x$A?4{$DjeYjR0-V|m#e z`c@FBJjJ{Qt_hJne?iztT)tO_LI6>jU7%MXBija|c_f%#sF&P}%LKID+J9$(!hjIQ zlkQdsZ>c1g7PvdMj0LQW!BC#%KNGFii2WgQZW|0Hmh!9y2N#mk=RVae>l4rCuPVbnCv$MKXWDL#X}}Blb^_sEf+sa zh^~O9uJ&4iyHMZ~eNm~8eN0gLT}lWas4P_1)0Lk?B0+g%w+Q#6I-70T6ueyylY<*` zpTIg~h%%mbq!JEhVEfjl2!1SXOz?MsMDdKw5q2cXn$^=jxn?`GZ+b66X!~eTzOnM# z(Y^JPGe6o^N_ZW+MrtXQ8fWJ+JU0L?n*8W2UhLGmb2$Sj3jIk9@fWz-aS2)T#>tlq zc~c#dt^wV zlN_(|$a2<_JPRvOMG2ii5nFRmoI`5Sb`vgxsw$sU3~%+FPf(2ayoUe)wFI-hBKip( zW&rVS66!9fmMtppi9tJgo&hOu$5LI zQbdp)#C2vK*4qn)}T*SJ~LNGMD&N z@*K6b46A{wP z=YllqUTxSwgr0i^C7Cxjq7-T7W{{)qvrHGQuMyjLvub}7n zd<#;(G&Zi=%|s#pH73#ZUS_FeIV^ZR3g8s<$+PGj$?_|HRy9ZWgU$?)x3na4_m+S* z&3tP--GwO2^D;sXO^wRntyB%xDu_`hIYu_jGc!vB4wCQ&p}joul8={5wG!iVG{)!D z#10NgXhH?|d`7~pcW^hjxgkAOJ>53jb0>)`=jC>T>zzP=I2qgI3DQ0)LF&O#?xhVU%3|s#COO2duoX@?+l$6RiLNG^;&3S-&=&TsxEDW^(4`(9^p7a z@S)+s(I`^aMZ>Q^#@Up#A04y=WFwD30<1F)JRzM7&a-WqUJx_v01W{?w43FK!)Y>m zdtL6wM{xn(uXD7=c)q3xY)$#C#)1I6K-sC(Pbxft<4j@kINuMBmCFBr94J2&$gA7H^b;6l0A% zIN0(zSIi`;HvUaB*B-ARk-wccUh3p%1A=hjFPQ)jr;3J8IW24g1|hvQty!wQUynaa zEx2cA$zmftz3pYJ&#_l>;>vnNvFWJ-_e<(qRzAg-h2Xps$h6MLg#i`Ie_8Jll4q#! zDIeebMQ4;8hjiYq_RgKp`+Bz0%BBx2BSc6nlJsoC8Gmj^|IRs&t4n3ffnN8ypb87M zw_>B00k;Cz4J~MBx*Cu4y1ME@F_`lX$j|d6Kh|DZ1M=#1wpR zHwa034}niOkAkw9&tl-)Z*n)*)edQC>-p22dT|*VBiP; z;UVn7K1BlBP0+F{wRTv+Io;CW5X4?$Q!uwG=6t|BK6T=mR`w)*2)%#*9G|s)(!1o^ zFgAnWXv47y$8{~=CKmb+VOp#o!lmGOZ~HE3=JJIg3Syip2Q~(L%R*K$XvI~bQcirF zm>tI~{{xIX(GGyDz`CT@+a7!X95CPPgB+(IFzuO2Jp@jh^oh=Qn);aW3cWvY5Op2V z`v}|3T6Mnq`Z!A%-$lVEq6Q5vXO2v8X@2fEzLil-Bb$`=QMMN$Ft8gD)-XTxi;KRM zA^kDe0t8@{+P^XylrMkt_!j}J)S5(qW@%gS{pd*$_%k^R?oFr6^>&k_9FIQF!9?mF zfHL>JilU=)dwTrWbGv(d-!{9OIc)O1nBC9F_XJHX!-QV2e;|`(b2fd=(mxUP^^tYb*UW!pWoPbGI-WeitspK3zok zbv3_%hH>gAIO>R?Stg1~_t8e+u{^o97iC0{?FZDt zSD4P(v*cgN2n(w~T-pu#z-yL%S!5cmEgt@I-4mUIO`{(=X5k9LMDN2r9Q#LW8KN4L+T#!A%GXKU zg~2T)->9ydJITEGDn`T6;om1RTM*xmL%PysT7v4rfv|I7b>+!+fx4q?Sx052HM-_` z^qVL8IscfJ0dw7S2&zAa+|f3S$-Fdd7-B^)8wCzNZ821Th?-$W0uss`3r@Z&9ZGTo z-&PN8et=g>U7)87HAGj+jKHmr#%V0iFI!OQHM9TYIQ)w+A&h7kJQ%;me@G`p<5OmG zD7oL&b|3XFK1)8X_l~!S3v5rw79=iru<+NNkAG&IM*v`1g$(TTL_m2#wa5MnpfuIw zFTi4gl668AZ5!L!Tly9QRg#R~aWapi#rdQf_jrQ5o$_Jt0rS0w$vA^?PWX*84v}-` zrlj$~hbeyX_D@xI8if+568xZJMMOKm51JPW_FO7|r+4)cmomI>@jfZ8LzHhk@tBC@ zb2)Re)5qylePgg(&|z*xFCIOMP zgm735GH`Q;Ml@A(hAflHZ|(G~e=Dhmd0#Q@R+t~UeBEt1=gmHc+csBQZLt>(>8V@8 z#nlA$&ZwiWiSbE~Dbmr}oBjUb95G)y_orMYLEnvNRhzDBE7q2dU(3r9b!yg_Ua_%= z;VuStcV$mb^s%X2yf@%O77ZtW{BZp54nJ1)h^#Yash_y7P|MN>kyu_GH>nAA`a`EY zGi7GqA`#?Y#mK)TihE2YPE+Nz;qJ$n>2TgizZz1@E9A(Ped(2)NAcnLe;)>?&{WRX zuBRmI0uz1_Ga_NW)T@ZTAd2Rwvssq2KfbXi-(Qehy#5}~b2e{AGlt#oo*4e`Wu^B7 zDXiy)7A1rb7OS#iC-q9!P7Ji9J_)l7*?dpzzp7;aKFP9JLrww1!aB$F<=9zAHFhn( zr+f-t5u-e|1~TV4+L%;c$F8^i0;fK?NQST( z2%IitkhmF9cWa{}Q;X&*9DlHWjRR z^a_cJkw80Dd}K5t1pM{M=Qb z2=)m!dMH1vM1caNa=9v4RinvQDl4R$uP7I;w-R6T+ui#l(9=29&~peA_RdSfLnf|pw}FLqh}7lM8U!t1KOHQB1axl*+Nxh zegzvHfSt~Fk6fBbZ^UDf-bh78Qp!>TXEJjBmq z$*5n;JSL3@kaJvCiOc)2eXE#AjLQ{SvqAB<05YHiTJZ4ACS6KH3$OVQWF8wy zHWTCk*VlJhrj@vx>xL5{sPv$qYl4e0j@T&B^YRqms+6ryV?{}Ud&bFbziiXMQ`e%~ zleDNvBTYdOA~WDRlef%U3nh=4onMjEz|&Bc1ES#59j3$aM1WnSkt;#g4pBf~KGk?m z(PTA!KnE`9`uJ**(vaimq9pJ~s*0hNUm8#b>T3zFcpzt1SAn=ggYB0sH2pZ63}PqG-D>9NkL2KU<=!;TOhJXIb2-S?FK|+ zY?FLhHw8>qMk|cXh~4h+2LOF|N#ty$1IF*5tAO%QVOCzG!o&v2y%;aeS4WsM{MHII zuy`WH0-0Pts#&@dq#9NT2Y5vZs`(Ckh%o5_XNJ2LhJ`9k@K->5{#ip!RhXZa%p!Td zZUZU`z7H1tjOw+;n_BqVTmbQSsoQ2+8LaS=gSyvDF2|m9hFZ1Bhgkb{w=6ZtFkmKLSeA`R%a3M?J7uR z&^0KdT*PsD+L-XOS*9qE`WmL{y+v@7=G;g%#}Iq5h@YBLA*mhhTsY)SU~ry$TEC4E zqT~1EpTd7NY^6d#+=c}&*;JY+fVt4D(o=@t7R<%e#eOVRVy-*T zQbjj5qhu~l04S-mB6UpUm?xjmJ^r1pY&C1c6|Ix+7~|tzO1ts`W0$QcH)k*Jn{CwS z+Hz~IaK6#d$5ov(`C2V|2PLed35lkHYFn?ob|Hh)`0%Jt+&X z=%><5iS-eox=SQcdyp#xP7F(wtY&-V?-KM)%vk!X1>`jI;>-X9i$ zE-KwoqK)8v4H{X*p&Tw5lFNI2s9Rolv3foSjf(*w{?-wFrL6c{|0>;nNCAKO{!>x# zp)ycG@S^uX94!DXyZvE8Mo$e*7@uI;*QV)j!6({|lU6F%i2Uey%^Z}H*rW)tQLYM- z6t;@UBg|1H?Uv4Baosgxf2xo1%N1$suhfZm5arOtzmu9Fd!?A7ff3~=HpAxjnE_q8hT{+9D&t$dh zjRkUV>04AvYm&6hw&hAcc+|?}i5S!*1U)nxz!A|7FbO+E{}gKRynsb`T_^IqeC(SmqX zUxy?4VbRnj9@5gcWY&*z@Bm6Pyj*c9yd1SoLMgndj26?d`ubDim0K1YseH|*Ea4{H z?W17K7UL1Vo8aFH_zUkGT#{C@J}I5mqQ;@`paq2`^HsuFC>>dKLu))Fn{%WqmuB8Zq`z7s&x{+I?n#{3{e-;}0|Gtvw69YTG{pEJ|fCaF0LUPBxbrlOX+G|La zMnivD(;>=jVdigXjF0vCh;IoR3z<(Z`ay|#vW}fNb*g!pqBQBMGxUw708O|ax-2!& zy6sOrIhQemtSnV&y)?Hm!?+$;aNq%39H>g2ob(17sfv#M(B?KsOY2*Cj8|0|=W?|( z-BRrotL40{LLc%UG9Tv0NE3&Inm8^hQkzs)G&sdeFOP?!y5}DSJTBa>&e)UYNO5u= z=2tBhtN$_54xx*RroCKkfeYn1$8o26uocx-PsQp9Z!0t5aeR4B zJ^f4L*5vlgvO0&Asi2*DY0`ePNTIOd&OxO9QwaK@LsVhctIgN(4|aIgH7sJmFto&I z-6x(zlRUGF208EyBdP@pf)^&EwNd3;)M&ED)(l5QM&f@gKAPZvnK-vuDG338@=ejl0^Eklr_P2tlV zhk*WIN&04B4kQ*U6c1MiczU78?tyNa`DUMN3wA8;@*n0UL9NN;VHXkVX)=qYxL?S6 zrFE0)nop^27q{824ZBobc|jevf4OD)@@mFcXd;3)Eu#&i;vW!-CP#tc!_A&kY*}6| z4+Bk%uH~f9I;k2%7rs%}Y0W-{vBLhfpjriqB4S3~WkHEoJzL|K6riQl8c!Fj zWH@QIf=Zy2;*8xB|oa!5u zkv3IoG@_RoaTd+_}Z}$)h#cKUCdC@tn`b&`1YRnJ3nTP#$nn4ZHf95%ks0BU-*(~ zpQPohrWbcuZluFIfo=udQe)qOy+aN$_Q$4H=bsawViPz@E`1ElTG~j>LYQ&fc1FY9 z1R0I;a@R05oo4a4!_`%q`j^zgUvDOI(_<%;`~vOq$Kw6a;u^hXRpIg9|&+RqNN z5P+BG3ytvnJThPcdV#2cJP`$DK|iRZqk>um_c0CNi?ebWEX0?uMB{qj##%$@&PwPjHLPr)01m89^?4M z@u(Z)hazi?5g%6W5k`i00e#TDBE|Fb&REfD)g-i{SdTO+SR}M6&uXmo2V!$&4VuE} zd;{Z!Qt+&@)Wn^SAN3ObebLOoxAXU#7nKX9S)l$(iWe}pR9zl*2w6z4Dy9R12mZUF zqSRAVKCok?$HdRBlZ}fu!>=}$A9zU|I5vCz{w;kN*5g(hkZ;i`v1}P z&S7>uUAu6`HZo?$wr$(CnVDp4+qRjpZDov%lCf=1PS5W-&wHNt;QQ~puBv<0T2;Hc z(A|6Q?z?JvkFBk#v^C*h>KZUl?+b${SY!Rj0#AJjW;$=~Ksf*MJ@XFodqPLGQ6SIQ z#4#CsYLfyM{X8m8iP#LisyPa+>OKLjsyQtnxd16aiw+I=>I5_gTGb_3%`mTk$h-AE zmtQ7_ZAEPd3Hv$lU#H0?`BGUQbm!K;N&(7jNJLtG=~!Fon2AE-NDlc?htbcO`Q5sI z>50F7+#vqod@HBeF4q`UQIISBQP82bSb1tPtvj647tJRY+f{+%nNienVjKc|c)v5w zpZ*UV_VAAaj|u|Mnluk#`7UF5J~25yHHEJ6439;=J9u;Mj<-+McXvzzMEgyP^!rdN zoh55SujiRd#-4E-Tyr?KNY^~x+CmpX-9e4k8&u264D>9^&3Z(Fv%U2NX3w7r%#J|{ z%!I)U%$OkxlpfpZ&PK|yzi<3J+`L1R(XZND0IBwTAQI3mY`Q1d{jQ~8HD(X3z;qhY z2lH)U6a2d<&p%7tJr^?#t;>ne5f#|i@qKMud3u*G9kl~feMj6lbq~xon9gIEIXVjk zj`2l4F!vw)CEQ72P~(OFrno_{{myuFph9uP9pGNa5CZS zuFYH8Y$pqcn{{%7dV0^Zozr~#qn_k7UclNco!BjZZ(GVdyoPvST<|8AtG1VtEOq0D z)fJgs^wQXdAzdk7RH{QVW8>INue9lVmXQ^^fMX?`x690sABP9X53ussnqwdrw z4(e9Q3k^r5Kh2v3Ru-ZdW?N!?lP=f76Rt-#75mnbs*r96$+I0Q}%Bm}9=b~%d6UwQSLESYf9UJSG`f27Y(87y^Z`SJ{DmQgT9 zperOu)5V6HD^e}xH(|Q3>tm$4t6O5Enj}A{&gw|BH0Z&?YJz%rT@RxxAbxU=fRN?R zU$=^ZkcGiNd*D1j{%MR7Tc7<`mD%PuZ^op)BaAs`fl>`h8;~x2VmjuZIxCFrXITCC z5?TXkFIs(H0`>J4HLFv-7OUeZ+3>@@si z*(yWodkK58PJplAQW@rd(W@#32?k6&w@QpRwU3>7=VT;pJ&5So`Oqi1Zn509zZh?p zr-tP(gO-na)y2;I0S#d$3bn+J6KRAWCDw-Q{&YsC5m{8gPb*AXUX~j*qq)y4_i45a zb9*tO%S=fr++(7y0QCwq_)|1Mw2DVbE^9&kLapRxNmBg_dKTbXxiTraQw_(?lmR(ha=-JCh4Rw&Rxt#(*(+RklQ3%Grw0o1Pps``4H-`<>oLw^Bp0DnV3O|M2e~Q*t zvq-#A7oz1}ybYCT6!fL!&xknMw9^`q?{a@EBu+=9fz zmo`HS6XSF);Y}=mvQ=?ILzpe|@+tPpJjzpdZ^jwq7d=8#GRH<~b3qU--0LU9w1mY2 z|HTb1P)J1ZjLQZ{+km75>%m zd#?HGpS)6eiV!HvO%a|KWzUm}Lewh=VGtatA)A>l%>Ic{;Fzl4>wFYf8>MKa#4roj#biw*7%RyOYl zrr4G3-|5V-jsH%q$|d@sRyCsI3aKZBYN7{_DiLTV1!9QF>RBmWCuxw5?>xhvJF#z6 z1S~<`C!}y}7adhc=TY^)i@rF@EO+PYY$hr)(FBc>$&T+j*MgG}*7wHN5c1yKy^Z`; z9P#vi%A1nwBFeS&A01LiVcs=FwiA}O3`ub32(HOY)q6sGI-R83qM1afhVXp5x)wrH zLrUEs$eD1@Ls8*E0{)&H_>0T#Ma_kkXMMwAN=_65{+hH}532S{4<#Y=Lb+z6y1DO8 z$JOXWStO=B{Uox=a=Hqk-l0uI;x4ALXlLC#Mm*+m9fyQhv9`ihJfZa8y(b{Z%}r|2 z>{DBQ*xTAm#uQ}7S*?vV2wj`6X+b3rYz%@zrt2dVl_>+C)k1ird467-KU)J{+jnAh zSNrYVZQZ}Cx)o?x4gdrrPC9K8uCR0Ky*);mzQ(2HmJ=C_4NRxK88Oyxt=Es3x`KA0 z*g=@*sErDL@*g9VeD)5rGSJ?tH2r^aBlrLwN1>H_SlM{2Mi zV#6`EU^d<26&T6N`BgIUQNqk=7{)GbOrOe^t{0|=1eFjpRA2Hi>1^uQS?{1;Ywf<; zWXoI|wj*RJrlCs*A5+jn1wiud3C{SH;yqwrChhQpkyFMGkjE;w9p!Tr2>?M&7sr^U4F)R5h5+fmk}6b0K!tX%uU9bjRZ7I@ zL`U6^@ETJ)`yyh(t4R{vBEFYLg$tAi5@1^irBN#a!-%Z9z!|KZQIBNVwA}I8eIKwnco#OzL&VYufqRS~V zLJvsY=&Ja)c}lY>jnq;xiZq7iSYT0)jyM|u;R4%+-%5;=)jd75ce{4c#gjN?Viaie z)(&S3psteZetUCZs@4%iU5q4Tzf$J>xUX${uZdy&_t4Nmg$BuNIb=Nu$@z?4P`2db zG(W2spod%5F8%InR-vkm{0*_p)hiDY5?WFGLl6ED$I6$U9ela(IY7pa3RxOK_7|!U znQ7z^3CWO9zUY-L=**y-bUbVB%a<~72s_ae+TKF(c3ePnO zIV+h}+RQFMXq+z!fst#)A!l{9Nt^jn3mC>i%5}f)GA~xnu)@i8-jpH8Rg-g08xsk+ z96~o(fhx}Tj%L~+zzdga9WQkjjeWMCq=)Pj>~pzDrgf8nB6p$2Dgm$QbnE-tZ{2F{ z@l%H7KsZ`l0yVi1#|dCx{Vpe8--EHptN{7$emmH`3gJ)2ih$b~6dMZ|`?T{;a zuI0gDj1wN&;8ivtVtOM-?|%M{oH2XaqeMYHyhcfPZjXaJ1o!!jOsUf_O_9~~pLp9^ zh=PSMU6gwz1W>VZCZUvAEsGE#<_jAkgXTpr7JVwjTq%DSbX0s6^9Z2=ydgqrt16s2 zFj!hZ#Y4AeHuag)P{6aN4Er z92F2&dGEoPSiL5qoa!?T_7o^+V1o8YQfvG5*4+Nvk(@5WbtN=<^)0Kp{&%q7$%sLb z`tUHnTqu|V=WBOQ3p;{SNQ=mumUlbGOKgVh_r>Zwv~Rc3F-Xf`C71*sv2x z>iR7O0q+Ns{{R~~zthlVI^192awP)g3^OiT+oYZB{8p@WHA9TK36IuJjy4lTi>8NT zZl$i)XdpQMIEbjHJ0bppO#2TFON8QshLyFP5VQP2!wOA3DM;kJ^rsWwb;++R@JHh@ zU$u7t76AC}B7b&)pmq>sXQhGTytIUbBAwjao^WxC%3t|D^Fv&wVK46lR8=itbx(V~ zsE{n1b`0I9pgLpU7VSoQb;(3>KiP{sjyzpss<+m{k#Z{6XC0;W#SId{VZR?IBY7_t zFFEKIbZR_Z2=2G17b;;vo>lMhqa?UYgOyO_vbQdlWpwA&%$%(IQy#KRL;WYDZ9KM+ zKmMjnPgmq}*_f;}{FH-pva2XA$E1g^5;H8ZcrsW3rD`;%$1a7)eiO4$sw6z{2Qupc z$lYt+5#p&g{G|Fy7ajk_a3iaV7yrQE+^OeLCn~_6!jC`lx8ChoPpS}g2`ph=B0uZ*O*+4CrKuejOHA*Z62T04p*$5h$!Qim`i=##h{04S!z5#O( zIK4D`@d?qpm$i~6Zo@B&z&S>+8-)vF*GsU9$1lwde99Jlib+q)!ScTA{~%#aYyAI0 z!ul2c{~}?n9mKY9{K$BD$W*yAT z2@0I1%-oShC+0}P#K|&vR>Mrs^_+1RX9>A4%e{z&4cG3+&S&iUqfdp2xVX)n9SB$9)QSMCv#&lHXp9f7cH%ed8L8a1I5N{#ztq zVOi!jT+Qb~t;>4w9^{J}M=XddEoSwb_ZyV@7gx{+_u3**UCH+J1!Cwo30V)7)POoD0vjLQ~sSxK^_JIvWttV0X2@jf(N+>&g&m^z*i%T5~6uGxjB| zC<4p)LYT`fSdzdx@x1(0-7vTKNcwfAfu*{_gQO%=VVuO6rLN#Zp`}J zAf>IsVg2HNqLA|nEjgdv1ts#uIeT$y89D?d;)w(n=PapnlXmftu)%eBZEyPWpk!JI zJf)t7O$lk?xREuN@QG__;e`#gvVwcJHBqp@L8y$c6P$Z@1BHbC{ut5nFwERQ4r!8e6(xP|S1 zcn6rVADBb@9#8Q1u`U+`$1+_&MC^lt#ri?Pg5nq4V3B&h=NWV~tONVrPrx1gdllW) z#;c?RU|pdRW}gHK9o#}Gu-r8=hG=7v(nZB%a$96WYUeQsfnZr~A!R4vXi9U7un7jT zj}ab{uv#X*E(!<(O66w~RngzPKMKp=c(-eHB!K;`{kB*v#4|VR$!0DjU3@~PPWs*D zs3#NJ9bAO11IngFB*MrW35YA4$(XWqZ(40cCf^ZUzf`Y$Rz;M39<*4c&xVI~V2*=V zO$U6?%-%da#IDUhw8U;S+~16Zb7ZV;Li~v1Z?b67Klc9?_qz~@6IUdJV$QsNPkdy; zygmb`qGEYrX2ExrR-|&%U@Kk|gO(n`KQY4z^+tNU1WPJ>ir?irzYuAXU$v7g;a%~- zd+^gUnF2$5+HyDz6Pv?~35r2DUkWOYWD1n}h0a$@SiI) z{K1WFSuwuAqle`E+qri>_FSU!ID)((fF}rCb zkPa%Pr3@&a8lU>#IyI2C8WSksdEG+a2qM4BB{SoI8x;KxJ3Jh&=q38VS9n5`NA!UM ztnSDy&mNs(Bm;U(myuhm*`WXzR^M}Dk6g9--_20#184*}mn}RMES5joU#w@pV$@VP zPqsxvKJy9})5j{7PXKSKI_b&>mb>fkIrC^8@RS7p7Yj?vb9<%WyowcJB)nYQH`E){ zf$>d5q7+9&J43{?b{2=gurUM*t9lDWyyLYFEh_cWZ(HM8m;yF{LAbFedHK`m7x6Xh zs}{mK*U=Cp>F{U?V~oV<0No1U2$xFFuk;1U`!mWO^1=-4+BQ5Oy)xv*ymBy3dxPht zGJ9C$AXw>*KsM*}H3scn_(ThCqPxj)}v{J=C^`P9!PdYiGaHIdq8|Bo0Y4PAr%w5X; za}YSMI9xw5lN()V~eWpe2$uZ2t3>R-@1=(k-I;!3~LTNXmk1W-e_ z^MbzA49YPqycM@mmRQj~v5-HcA_k0nS^WG$bSa22cwGL5p{M}7*y(_){pyzu3NMNc z0X8euSMmuL48HLx04A`KDf@7>F}Mci`?{gwz~CK6hggSkV4QiAs!I4;Yd2*tu*LgA z;wyq%grHp&!lA4ZdV@8NJlIlj9rB`lrC8H|jnbL5j%vpdCSQf2xlW~!tF^kx|Kwg_ zJcEXH_5=H00$aa=|9Br?+9Wa#kigxVo}g5PQ_R=)gIxq=^{K(q`=kor2tqwf@Cn1* za#kvhSa55&4uLJw0DRw5pz^rhOfgEn;6j1WGAje}a}t${;Ingb z#Fqw18gfuoRw+N>4yrOoUGdmP*j^~HQgfkMd~HUrQpXfb^r^Xkel2Z9P8m2@3#+~v z>EZ@(%Bu8${tPP1Xq)VgeT71u@#VkVQ)}o|9Clzcpz5`Sp5C-uVA^T&j2RdUSHhm<-boC{M(&m-)dZB*@loe7F;gHii&+!P(GS(G%Jbx7_ z%;bR0I$(@fnBNFDWIy8&9B`U7fSHU$hw_;XE=djUZ0-#Xgo}!5`nA?XEAL>DruhFE zHPW3OECx1ByR8u1{rYFR@)%DUDDjxl(IM|4x+Hd11D@gD(Rc?hu?Ef~-odAtej@hq zgvIkIfj8{(dnx|7fjXlpGT`XG9UQtXRS8dyl;*}WB6ylh`e0w}S1G#%@ozFi#q zU)+JTcQ)wHnsdwb%|QyXK*9Z0k>4ntr`uhT$@EgUbi}#tIZgA)^Ev>xAf5PRDpe{< zLzcH1^f2aUQnfWnzo~fuvX07}Hz9Rcr9igHc*#VcVp&Ac8iO#|jlKNy@A0K29a}rX zr)B**{!o8K$fpS63%vNT_!CfeD!^erW{?#ryL+7(+>p+hEU4 zDoi9rDx++fvs>_pvAKO)S5thH!|jLq$@`pnvaJ0x@m(R{t+E)79kX9{JhqyshqIo7 zq-9u)XUb_J&T*W4Apn_{uRmU!B2a zn64vo$=D=pW_celW7xF!&KAI_e=~>#$DgmX;eS)W+FvCHjOr#}kM%QCK@_+%G;(h}lN3FJBL7U=+OZaIS6Z~S({C`37p2#WORy?H(Ml87+N z2wrY296nBst+aYhjY}-=v#tQBKWmVnr{RGq&8tFHtp08mpiU_5cozvt>xIc^V=Oud=0y(h7G-yQ9Zgf5z_`p#Gn??v2XNU$O~HB{xqXDO#PGtn>% zoS8GGZ~MKRqR6qOAPv>MqB@JQ&k*uzumla5K&mgt&Qi>?5b0_n1(0c%3&Cy45YkQ_CNreevg)AD2t&>YOA5-#aA;Hn6d6vT z+8yJ1REVcBk zizN9XsFu|RC+~BbAadflh?-SjYuI#6BP(gcSF6lqY+caMbDO;ee!5&Ko=ZbXtt0%} zIhT+jUMBvHvkwMuT8F`lC4GZ6ln5TBpc+ zd#~HFPkI^mnUc(r%*RtVl1bm7$kvP7Stmf$S~^Zr4km3O$&czU!2>(1ewiXJgKAmy z=W$hb8+RB;Zw7_$hF;yB4OeZHxVe$ez83{htA9cH7ZpBMM+AxpyfPCR@tp#HDZ3!V{tYraAK<<-Sp==6a_(kC|8v zquqlze`*o#2om~E9%fOuB{)MB_4m`vcyE*LSFK9m^@Lgt$h=EW=#f2=ik1Z z8YNEW2}HQgnUZ$?Pci%G6gv#m45{d0&K@W~h7*y3CC?^(8Q z62F$i=Ls4Fn~Mp+psqqE_P!lE<8|Nxd+p;@961kKKWoKg1u~i`-b)e3oE7D5Vl-BB zI2N1AH6m3_pmOgHqJF+xtt)M{=^DnrVLM-{dC2F}qbh;E;v{eTW}IO|LEiq&xPrzN zs#`+y5Uc#5)cF8}tTHlf^V~y`A-6X`6qJHhI7;&PzesdT0ZMr(OWVR9PTAk8)k#lSsisi={TDkZx}`l z=r0^{r+eV%pF|^#9?N|$itZ9SmxpJbPN<7+Nbm#+@wplLL0n$-SJ@+u9=jS{q35qW zt#nWdZrVj9M=ti7!s23XN~<~30E}h=@W2ceu;ia5;SJl6Rlqb_S}u8<**VD!3U}`lx!bATDNOff@C}#HXun_ja3{ zsmb|rcW!otuQ#l03MMzKtP0EYygaTMrrN^@N6Y$Iu>NT58n(QEtsJ2@}(FTk0>ABYN{p>ME1{_C|P*Wj?2duCzp)O zg*-Xg7iZ{{@2gj|C0qYH`6Nq<=kfZ!^R)B!OtWDGdm8?Il!Td8+WDv7&owDvlTBU+ zB4N`s4u={s057s7c8zJOa*SJE7mSC7l_A&_hS;CVm+1sBGWSc3FJ4twK?R!FnHoQW z60V_#tz7~8pWEeCQM<>Zx~7HI+@cZniq+4>9~k=&LX~`^egDCSk`YhUY%g;p5)qZH zuL#K4+?xE@eZaeIPLcuH&gCSeLc!Bo-cF{~gf72K4RaCh(?v@s?(v*q?>PA2d?hj> z9`_q!zc6@%Bg;qyRuR)jY5t2(@mn-cuaGkPBIL-}-3hTju+n+Iu<(~3Y-c0o3h2|X zVT{pDWP9l2_J7G8vojTjO_I-WTnN%aBbUQsGnxq!NsfehIMy1SoVK{5RqdOWxZ|xn zkm7?|B==vq*-BLM! z>w`J8eW1({8r@^bj~21dlCSzGPPDO%dj7G=@sK#P(1cKT2N^o<7&sl(9Ri(@3^(AW z_`(WCd(L_?J&&w8mZ_o20mMnRFs}KA>5}aGZS2B_=D`QUx{%U z)rC!wapfOe{Rh`j8;j84TY!2Y=2sS4kh@uH7u%073LojTsj=-6^;xrdbuDv(CB{Ue z_qE)LcxA`#l0#7X6UqCDirUb6Mcz+@)_xyg_^uV8^5*_S z;Np1th5C$$H=lMk!~Ko!*c{oFC9EA=^mkSL;)XCwpxXuIA0BDBh=&9ft8vP8Dgn4Z zQk@p47wN^NpD)tIR<)8GF!w6Y=pLvGtkLpWqh%@ONYPw;b-b;}58s=g=VWKz?Cy%w z4cp!6tN=+QyQAqlb$6iZ8*_J{=u2?;qWt{!tb?d9g(O@~hPSEf znG0G9K+IpPcOxx$O-!$sAvKi~R)BFXRPg;f6n|gHEw)A~u||?xBnR=;Ntr%4d#GXf z{9Kz%s-eg5C2VGl)zGY2>0V8ycCRV+}rvE5bZ=5XbIt8@En9NRSD@FrOVm~32{(j=Gy2?g-k1B!q! zSZSyQRMC}kyqTeeVZ6z+<0f*)ULBSxkR0I@JI zE$j`5iRpgY1YVLXS#Z1FKz2hbi10iFO>JcoOR$usH0V{oy~6+)=ht{>?(@{&-muzY z9GTGEQuujh0R+?wlALI_iuvO6=|lmr81WVXDUITHA7?aapzKV-(ySP{rPK*D8QN5n z2&M|=P$rwmfnAZ_s98~VJ#P_h@!OXGOG;T}0>9kg@aqOfBOgrisp$fkBH=QN*`P-) z*19io9!nLe`5GjLs|i~=A4qKYfkvhum~Tm9*^z+1tQ+XoPS*JTqYv%(CvD$fo8k5k zRXt|>Y?7s(+!GE=Sb030C&0YS3C%euEzxWUlB1_V3;Tx?{Yx+&D44Uk70*Y^@v>Nj zsgtL&);Jt@g%kbBgx>y_AfGpRN30cZQiv6~QDv*=rK@%fy?3%CG%I@@#D~S8$EHu@ zx918d9Fd7WOD!$RW$)3(pP2uC^-}%r^IpTO-ny`LR2)M@@2cxmUKlNdAECx41{ZvN(Ld8KWZ?0dYm=oc^BoD^%-ThU~iEt#eeT)H{-HgAWVKS`s~ z!3(LZ(*V)|Xtq$tI$a`_rM<(`91F|W68rtEP`M|oV&6ioSt!{~(X7z0*j?Q$UlG9e zyg&Kw>eoseGrTlUWfqn>$zHoOnHFS(cd(NU39;g!0M_^jmoi4YMS0QcQ}Qjv&j(_0 z@rA`42t{QP42~ZCcaiV%1-LLYqE-n9%ie_ko5*(w0gL?Ls1M=?FrCL6YoxsZ4k~3g8KwWQ=)@=(igQop6tuYOqf%~f6%4#c5_3Oo@ zRz4b=4hKRR6@}O#l%kPs@;MVHk|QU3LYuQC8S~L?J|6B?*EMw6@ay386pR(m!@ThQtdz*5a5E`Tl#c5G={gumhg4%*- zz3yD*G?732Vf>c@*CnhOe@V*rsdQtGxl*74g!ApkyA4W%eq`F6_F&a767w!hrjw>H zts1eP9(4WR8UD9WAVynIOj`g!S71h0Fho~CMqdz2Uwu3?Ro5@_UyESUfu#UNYOG~!^Uu+mOm)KW^eA59jG@1G@ zX1fwS!OTOdXCx)opPAG;!pc|0WEbg;E54zqG)1OW=pEy5Wk}n2f%7fl5s0}8L>&8| zFTPP$yeO$Y6qcP!%nlNWX}oE69FMHZ6WW}3SJ^$+HQ$b`z7tkzxK(v;*LlQ^h-4dX zoEkAF%_>5Qpgb)&Y0no^q3?s~jmQUN5r|a@#*ROVK8VGVsOL$b(99Gb)*RNw%vNH|U2uyiSEu+y zUcr)m-f^QBf6;6oztz;wCWtgVQi@8<5H6Ou(`S+|kU%+Tz%s_`pZ=J*}5XL%+K|g4a32-ue7FH7Q}D@kxVE+oLw3ow+#n2+*V$-&riD7qjW{xtxIWZ zjn3yz%x_Q2=g!G*FU;pI&u{;c&)w+1uG`edZKT{q@|My}TjDiXx`@L#<1nUT*8@p# z+p)_3^!nT}g}B6TjO+~se8XVmUIL3$dxkt^a>W3&5-0I3Ps!d~QnMdiRl^iMR-~(Q z=}ORizA`!)8B&Q1e@eq4LcjTOr=xqNh<~g2`(82oNszufNn?Qlg6pnjCcnyHtHl~w ztS1;zhC#MR?00zjZ=B9qhbw)i2Ax2`XSzQ$Denu02|kez5y2d6l=z&QzqJq93y{6R z1R}cwbHz6LrwcB&EM_^b8V)-iwQlWR*S&(`5`EU<#K$x&7Mg);Hd|>8xR+5wkq zeAhvovOIGM13*Ba(7@@{EN;C$4dlj~pvXx_$`Lvq#LCfw0IQf_Ept9BD_SpPxZe^B%vlm(C` zuuDj`fA(Va{%HC^ok^AjC6VA?hI|Q>ftkWEqeG=ZM%s`t zqerbl#@DKCNbG^jh6r3!_f!M-}g7L+TTmM+r>dwajBj3gpai8(!(PJ8j3tFACZwQmS6*GrB(%?&52;Mk`JX~-MWNYr~aDffsB89gaS*Ba{{#gQLq{2pj%;!UBC-&Eokla($xUX{$}98 zORja&f%HjCDTR^$x-8KB=b#~h5>wiU4m`VBWVcGDqe|1^K~n{6_mO44oysMhESi00 z0E0~hBY&?|zn#xwC<@j3e&{r91!MOxP{QZ2xe%u1X-g)aItocT!!eVPU*V(N^`0EQ z_y!wr_*;D#34=B{%^f*+p+x~7{so{hs&6}=`GJNgEE4AKw*ZCM7A|3Y@YO{Sa@YT- zYUK^6bkAA#KxNitVg@5Xal#Ec_APc_ukz)O4viUO47Wr8W+Vd&z%1Y;s}{WY2yg8L z$;KaulPeTGoi}WuxWiI*g}v}$7WbVI!zcE@w?)s6h^kYB!W9dRSA@z8pcp!oV)9Uq zN-4Ee1)B7c%t0^wxAlJ|s{-|F0ufH~7EcTZxJSKUgm+I26EVQM5!tnb)@6{hfx4<@ zAY5z5VDS|LHhuAJ>p>qrO1K#W7a4Er0E#El~Io_|@$7YPA7 zIop$*^>yaLE=T#8gYti7|5t|5btGJ9>IhPvTG>ztd6Jm!(p}j%{GTKQfm(wmj0d;3 zFHu<)ijjLbL`gQGGpfNe=hUhP0o#>|*>Kc3IuKTs!fYHwR%&JYkVUkiL?&uwQxMl` z!N1CdZ7EEcomvaijj*)6*0nP^j*h>LC3n( zeS&3E#i(UmsxY{~k&@#`R1cJ49uhB$ryxp1FqC(iW~to1RwKkx{bknzxLwl+5uAq*dgl>X>P!#37UbE(adMleSo`4)`Qn#Ib`iM zNh;O?j^>-X2Qwr_2Gm7WY_gFq)h3oLO-NOSqYg^b=+KUutCn3^wS+nXv{@5VD79Lo zVzx}NJW9paa`X$l3RPC1$1`NWc#GXi@(Q+ky?9Wv%)7T4Aeqs-O{V1~ zX~gGaLme6}@~S|)>X2r2ksNFkFH7MEIm+A>(ncHMHS(kbjiBq-2*n%&lPkHfiC~J- zskm1U$_7O9*|0uZ9HuleQpg6&K|@Rg@CHkwBr&jjS{!JzSwYH3NMS8jv`mb^wppe$E!4ntBc`+!?7;LDV5F_!_nD&d)(gskmh2+R;oI7f zaaevdu1!D%>LR6*7U*w4mKnt9m~*6Jq#1T3{&G;gElJWIWFkNRa@8R zfNE}lAs5x;u1+wg5N9J%rGm@HQL89{6KWBQs!Pek)gY+Qf^K)(tIG_|>&WL#Ye074 z@Zw8qqg6?HkC+IPP81hzyL-A*+y`beJT7!tc;@B|NCLeczqrP~_?r;Q%y87go8(@1 z@k!mTs=NE$gYxT>Ua}4!_T`;o3Arg656bm8N$?GCPQQDO?3OK5kz+HOGUpl!Rn|cs z`V{%AGBL(fL}i%Il5%j8s}`{u0@w%#P`!Bo=BD-%i4dG)*S9cmy?Ku}6qwcXzaEq- zC@yjnux7;T$uLhq-v*ObZzI5e>oh%K!nC(oqcNhoI4qi*emVRDbiRRD6&^S(KE?o8 zmzfQ1;T_k%I0gEzIF7ev9^3qG&Of$L;^O#*@B;J;+Lte>yuZTdu8*^b*v$-0$cfxbb-nwH%nkc&M*DZsHmHZiLhkPjcCymtd+ z!fJet38K*v3P`Rd5+d_jyd~=!SC2C^qmiu5sQIF~bO;PkienR^P^)$*k#4mJ@;{>v z9LM})=<&CJhNk-hbyM~95R9vCbr>rzWkvy?DXHCdu=6CUdem8?hpv3Dd5}U@zpH%E z`UkE4L3aRwOBt=>{& z>jTYVull%l|0#!>Q85F|gUlh2N1h6llPTMi$({gA!1wA$MR@;Jlw9@TTHr=;pV7y& z>+SjG_3dhB=Mu92{9OlHm@jBw2;q{T21P9~= z#7n*@B@cDA9B3hcKWH*NW%eJz0!g3A6*-pAjcQSd_kNR29Vqwpp#EUWtUr4qh$k1O zK!%IC6#_vSS?rRiG|){JnjS4+B4~45($NOLXh}sFQ_bmxt*`-xxf8}ie<%GpSis)%RXfz$gc^aR-Xr$nkv@C>o6plp56E+aEIR)C6%XLZA5cp`7 zqjwiX2Wi>8Hydi*)34AGGL^z@G6xJ%;gX&o z3NOddY!D=rLi4jz?-q=@7@ngW>Mv1Rm=sYU6##+qQGn~80`N?qxZ}a()v>Pe9GBtd z{lO4DcX%ffdiy}2@b3H7KtE7?*KYt#GYt4BC`}x2gaU-o1Vi#|Ka~y7n(nkb&dr6- z^JtY0S=pMXvhsM2&&VohP+9zgUj~2{q#1K=UV@{+14n$Ehr9p6xrrWt52G%*9%m?3 zOV|ezdbJ$A={MkZD(2X0#QTlpqcjitM|&SAG*PQ^vHZN@5IK3T?8LtNli-%&QlQWx zLS5N%epf={E8`5ai0wOdL@u4Kt=;jPPuE;>>OK02IK95;=n^T2k|vGr^lNOEDlpJ( z-9=*{umgu+o$X@t8V!yPv6U)|e*iWPY>H@vI}vOuukSdviIM(Y6V*1-sTaFmllg1! z80>aGNGUNg3?k>x071r2kvfP!&DP2CYy~=V`D0q<_rOz0J-%<>pIp1~oGK88IvCm1 z4cs|K5=lKi)d}cNf0>_P06|QZLBi$MJTx?c%H&>d4m`u!=q4n12%U%>Y1!#cbRl3v z!t{Lcu6AoVyzlSu&5r#wFp|FK>BhrL_~4LjN{Fd#jq6pGu$;AZ_bs8cZ=E%{y$a{S z&2wGdT9!EZSVgj!9z&VRAh?w+je00APMV6kNO=#oT(wG=x~U2|6)JHeaBQz{_uA0e zow1!4>!R9n4@E{?e|U6s0t?$6o0OEn#B|rp_E+C|m2^b4j7b6qz}oQruW-Wbdjh+; zgwb0~lqZ>2(>9d>mI?JK_{77YXGndnX`4%UUnUl4v zEKdu%;aVKhs$nb*6It~^(N8oe4L2iC*Dl!>5^H2Ew+FAS7FOI1Ys?0SMIK=9_g-NGP^xfMrncwuaE;g@gO89BQ;I;%Yz zXf%Q-L7-u@)AY9*fR)8n-%Ji^(>5_VYsqZhsKU$2eF&s@)~a?xW@{xuuM(x#0H2!H zSPPk`Vg3Avr(B;Pmwv>8-Jbt6=&QF+(!<5JH6aehghYcC&9PaeL%CMSR+ z-Am?%gEN<|yLvKP2BKMSh%eXf@9#Y9^Vf#w0~2>6`pjhelELzNNk79dq|N7H$@og%}z!Iom z8bOq*M7?G}m1?4^6G}X~L3k~D9_7jtdM=k}|FCfL4JVx}@p|^=agoMsrPh)$EXED! z#Q0P2GU4t^vUC7!z&7|?HPbhC^Cy3U>o!|tgWH8UqEb8FNju*(O2bmXqCkh*<(F7j zvjblH$l7c^i&|zfv{nVC<1erlzBoB5Y&=iHmS$VyQKIeu=aHUZ=BLTZ zpsM%zq=4R>Y?1Oatk-c=y7eFqekx-vnHbm{w{R?Gj3ejL z{fyFFlZDK|(d?UzJm<^e%-NaSv(}9)nzgL!qje@=GLrh)OJEHw970LZ*b_bOmkZ>y zXU6H-!~Dg~&Yg6k6vYiZ;nS0>@GC2Q8kSQ3M}eIHv!8pAl0h1_EQ6DtgwXI;ulH>TbsH|TNi(e8zXsB-l&mZFC&fBx29{%J6+(g+My-K`%b8HF9(jj`-|jDyUyg4)P+}3yPo(40 zF>L3b?@yjzxG5rR&+|;lm+wQW`J`i*}4bN(m$}3n7Zc zhd`CTnu1up>=7|I`p77NGfZxR;4VQma6h`cy&k-}H@^^Eu}_~lKfCc=CE~NX3Xx4pA~t)Qh{czcccn75WEj_m7iyUSH^8 z9PRh&s>h!x2NuUzYjrGcJh~2{u@n?my!w!$iKR?%opOr5 zV4B~J(;87QRY_G`$k0hr>(U!}e}AW^>lrsEG=bYx#a`pgTmPxh0>i0-IvO(L-s58A z)sp@b>%~8@TyT`#vZ~uocYP;{MIWkooU>3ByVyi#?V9twyjLQOvb!-cGSr>Nkb_LA z8RCm|yI9j|wdv0>A`^K??61tDX^BGm#4B$(!GRi- z{++@wyBN}&mchVXi(7z?UnZPD6#WRa?vG}B?%icT9l@0Ge4i!~16E=)1nF7;HtCuh zcE^d~ZWX7=J2A_j+jGCFuPme79D%Z{%%eqgfk8YIn7`w1Rfi=N%%T86Zga-4ytW0U zhrs$+8vsR^8`^*7Sl6%;V6Z{pJ7beDaRj3?spoU{AOT`q|F(5>bNBl6tvLPM05P^^ z+9{!hE#{D!MaO>X@#cjn?OfZ?fq}_nP1R4P8;`%Iv^*AYB=j{b{UjmshtU920Amtf zRAn>-X@43MNfb69>c+mw_76t5InPFFda1Jn*!AmxzP0<}uq$-3P)F?=zA9+XSCSu(-CakyeWv+=iN#Cg)_Vw&s6ussGZ~*%IULn2ACN8buiJx8lVn;agX$4mf+Gx14ER63c4>}Gp;u9cb2?VDGNk8JIda4%zGDy8q z7LMeCmT(xe6tt2c3~?YivFI$Bsg1-z)F99CH^ZJkKW>C?JQwH(3#=WDwC*_Qi58h1JRE?Fft!4cW_TB<0j_+aD#NFLB zxVuAeg1bZT;O-t=26s;&xVt+H4#6QfgaE-^V%zZh`@P@YyS00_cB^jHIdjf*_w+O~ zUDNc_&wKjL_osJ&kQ6CTN`i%QzIj0YeF9%Ya|$bo>0saF4Wc_i?&r1rhbKnbV%FQ+ zJEAPRl%7pdh`H!vsE;*`??GsLqXf%rL+q#; zueb!GBzAq8XE~}muv*O`Z1g|*;MQdtl(QfRHB{0&h5TV8*1z#-?=mjRA=F9dVgpH; zw~UHRjMbTg>W+|_=?IjR<_<1FlWEE1l;Pdx^nBNeRd6!Qg~&1%T$7+fm$a@$LmEx( zzchFm7z&Tk4uVLUa98Ss&fJCT!{LJ{X@g`RM%{kztcO!+k1ou?FlZ&+R2daLx9yIj z$*)Jq_s|wHuco=oNRasAC=lzDgw=%TwlcjMqdzG{< zi zman#0qAA$me|#d^mw=dxUlF)cyPLDp*6i>z z5zu?9Phr_Sn3mdM_=$Z>_wC9W%tWjDPcrdvEVonjjoZUdpC$#2esN^>OvSwMyXYf& z(~dQ~yp!pNsa!!Qo2%p@C^B3k>qN{&D=PK1SW&udJ}i&cz86*Dw?x#m)*5|E4AprF zYHVgmh>qNv+?82*35=u~Zo1byt(_uT6bIUrE{ihGdYVe!F|HQDaljFev|E$ANG_zW z5G-OL4b;&jG3x3Xs$}SRx1Cn(7~W-CiO^BG-B}!GhTO2&825$#Y&&j|H@R!1f}tWW zrOTxJdr_l!r7hAC0#gbGj`Zwl$jL&uWTHVxMSjcAtdqfa)uh+|~KpQZl%nEmpY zQ^7FH(^zP28gXB%U0SwDIlz+e-Y&-WiC!hZHim5I;#V=fzUvO8qMAGPHhdLflJ{|A z+^h2t+Ze})uK05IBH*v-DJwku-sh)9EZs_iR)-^vOpyIKo^^xA3Su0)RWN4P+Wkq7 zE<~{fhUbcMl|?+m{K-!uXc^cM^+V>wt(;}h3u6ie4M^4*HE>6l1r5~Pi9fH! z6k6~KvS{IKpisY>WvVSa)HdO@U9EXFL7(tuQ*SvHewf|vqvDlgX9@i(=X_~aXk2y- zn$sG6$11pjBgURHV%369qq22dS4}NsH%!ZM78v-*Iy%<8F?tBDw5CY782Cb3*~gYr zXF<)girht6)-qhPQt!rN3I#0UIOa=d#~KPV-&cFEplC>|N$Y8tHr!GFMB@z*XQtvu zvF8l2Tx66Nne9vYP*@g-kF1kHb6#GV>%nAVwWRjd#gkN80bRyGBRLEG>&NSe;G|8g zR3*A;JRI>w)R+%6Woq#*i+3$l1cP`HdI~FyOJ6q0DWx44Q)+GFyYOncW~m2P;$|J% z3$4#`${7UNba3V+<@GHuGV2S&AX$gGrE$r+CmCasmkyz;<1FwBooh-Moy~6YTo9uA25@@NP`@9zr6-_xi*SnP9A=e#iaV)4s(U7xBx`mEVKGiI z(=C)aY#L$@gd%NKUgRO2YkF4>q#(Uq7id7=w>Q$kfUZPr#f8xT;JbL;r{``Y(Cz