From eaec5f088371bfbc22af0214847b2cf4bd7ea530 Mon Sep 17 00:00:00 2001 From: WilliamMiceli Date: Wed, 10 Apr 2019 12:51:15 -0400 Subject: [PATCH] Vivado stuff --- lab2CA.cache/wt/webtalk_pa.xml | 95 ++-- lab2CA.runs/.jobs/vrs_config_71.xml | 8 + lab2CA.runs/.jobs/vrs_config_72.xml | 8 + lab2CA.runs/impl_1/CPU9bits.tcl | 153 ----- lab2CA.runs/impl_1/CPU9bits.vdi | 471 ---------------- .../impl_1/CPU9bits_bus_skew_routed.rpt | 15 - .../CPU9bits_clock_utilization_routed.rpt | 154 ----- .../impl_1/CPU9bits_control_sets_placed.rpt | 68 --- lab2CA.runs/impl_1/CPU9bits_drc_opted.rpt | 61 -- lab2CA.runs/impl_1/CPU9bits_drc_routed.rpt | 61 -- lab2CA.runs/impl_1/CPU9bits_io_placed.rpt | 526 ------------------ .../impl_1/CPU9bits_methodology_drc_routed.pb | Bin 52 -> 0 bytes .../CPU9bits_methodology_drc_routed.rpt | 330 ----------- lab2CA.runs/impl_1/CPU9bits_opt.dcp | Bin 41132 -> 0 bytes lab2CA.runs/impl_1/CPU9bits_placed.dcp | Bin 169881 -> 0 bytes lab2CA.runs/impl_1/CPU9bits_power_routed.rpt | 157 ------ .../impl_1/CPU9bits_power_summary_routed.pb | Bin 722 -> 0 bytes lab2CA.runs/impl_1/CPU9bits_route_status.pb | Bin 43 -> 0 bytes lab2CA.runs/impl_1/CPU9bits_route_status.rpt | 11 - lab2CA.runs/impl_1/CPU9bits_routed.dcp | Bin 182755 -> 0 bytes .../impl_1/CPU9bits_timing_summary_routed.rpt | 173 ------ .../impl_1/CPU9bits_utilization_placed.pb | Bin 289 -> 0 bytes .../impl_1/CPU9bits_utilization_placed.rpt | 212 ------- lab2CA.runs/impl_1/gen_run.xml | 196 ------- lab2CA.runs/impl_1/init_design.pb | Bin 1837 -> 0 bytes lab2CA.runs/impl_1/opt_design.pb | Bin 12093 -> 0 bytes lab2CA.runs/impl_1/place_design.pb | Bin 11906 -> 0 bytes lab2CA.runs/impl_1/route_design.pb | Bin 12021 -> 0 bytes lab2CA.runs/impl_1/vivado.jou | 12 - lab2CA.runs/impl_1/vivado.pb | Bin 149 -> 0 bytes lab2CA.runs/synth_1/CPU9bits.dcp | Bin 40321 -> 84919 bytes .../synth_1/{CPU9bits_tb.tcl => CPU9bits.tcl} | 32 +- .../synth_1/{CPU9bits_tb.vds => CPU9bits.vds} | 309 +++++----- lab2CA.runs/synth_1/CPU9bits_tb.dcp | Bin 3161 -> 0 bytes .../synth_1/CPU9bits_tb_utilization_synth.pb | Bin 289 -> 0 bytes .../synth_1/CPU9bits_utilization_synth.pb | Bin 289 -> 289 bytes ...nth.rpt => CPU9bits_utilization_synth.rpt} | 28 +- lab2CA.runs/synth_1/gen_run.xml | 10 +- lab2CA.runs/synth_1/htr.txt | 2 +- lab2CA.runs/synth_1/vivado.jou | 10 +- lab2CA.runs/synth_1/vivado.pb | Bin 56264 -> 55829 bytes lab2CA.sim/sim_1/behav/xsim/webtalk.jou | 14 +- .../sim_1/behav/xsim/webtalk_11564.backup.jou | 12 - .../sim_1/behav/xsim/webtalk_2772.backup.jou | 12 + .../sim_1/behav/xsim/webtalk_28052.backup.jou | 12 - ...700.backup.jou => webtalk_3008.backup.jou} | 6 +- .../webtalk/xsim_webtalk.tcl | 40 +- .../xsim/xsim.dir/CPU9bits_tb_behav/xsim.mem | Bin 21455 -> 22323 bytes lab2CA.sim/sim_1/behav/xsim/xvlog.pb | Bin 9619 -> 10328 bytes lab2CA.xpr | 27 +- 50 files changed, 306 insertions(+), 2919 deletions(-) create mode 100644 lab2CA.runs/.jobs/vrs_config_71.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_72.xml delete mode 100644 lab2CA.runs/impl_1/CPU9bits.tcl delete mode 100644 lab2CA.runs/impl_1/CPU9bits.vdi delete mode 100644 lab2CA.runs/impl_1/CPU9bits_bus_skew_routed.rpt delete mode 100644 lab2CA.runs/impl_1/CPU9bits_clock_utilization_routed.rpt delete mode 100644 lab2CA.runs/impl_1/CPU9bits_control_sets_placed.rpt delete mode 100644 lab2CA.runs/impl_1/CPU9bits_drc_opted.rpt delete mode 100644 lab2CA.runs/impl_1/CPU9bits_drc_routed.rpt delete mode 100644 lab2CA.runs/impl_1/CPU9bits_io_placed.rpt delete mode 100644 lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.pb delete mode 100644 lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.rpt delete mode 100644 lab2CA.runs/impl_1/CPU9bits_opt.dcp delete mode 100644 lab2CA.runs/impl_1/CPU9bits_placed.dcp delete mode 100644 lab2CA.runs/impl_1/CPU9bits_power_routed.rpt delete mode 100644 lab2CA.runs/impl_1/CPU9bits_power_summary_routed.pb delete mode 100644 lab2CA.runs/impl_1/CPU9bits_route_status.pb delete mode 100644 lab2CA.runs/impl_1/CPU9bits_route_status.rpt delete mode 100644 lab2CA.runs/impl_1/CPU9bits_routed.dcp delete mode 100644 lab2CA.runs/impl_1/CPU9bits_timing_summary_routed.rpt delete mode 100644 lab2CA.runs/impl_1/CPU9bits_utilization_placed.pb delete mode 100644 lab2CA.runs/impl_1/CPU9bits_utilization_placed.rpt delete mode 100644 lab2CA.runs/impl_1/gen_run.xml delete mode 100644 lab2CA.runs/impl_1/init_design.pb delete mode 100644 lab2CA.runs/impl_1/opt_design.pb delete mode 100644 lab2CA.runs/impl_1/place_design.pb delete mode 100644 lab2CA.runs/impl_1/route_design.pb delete mode 100644 lab2CA.runs/impl_1/vivado.jou delete mode 100644 lab2CA.runs/impl_1/vivado.pb rename lab2CA.runs/synth_1/{CPU9bits_tb.tcl => CPU9bits.tcl} (51%) rename lab2CA.runs/synth_1/{CPU9bits_tb.vds => CPU9bits.vds} (54%) delete mode 100644 lab2CA.runs/synth_1/CPU9bits_tb.dcp delete mode 100644 lab2CA.runs/synth_1/CPU9bits_tb_utilization_synth.pb rename lab2CA.runs/synth_1/{CPU9bits_tb_utilization_synth.rpt => CPU9bits_utilization_synth.rpt} (90%) delete mode 100644 lab2CA.sim/sim_1/behav/xsim/webtalk_11564.backup.jou create mode 100644 lab2CA.sim/sim_1/behav/xsim/webtalk_2772.backup.jou delete mode 100644 lab2CA.sim/sim_1/behav/xsim/webtalk_28052.backup.jou rename lab2CA.sim/sim_1/behav/xsim/{webtalk_10700.backup.jou => webtalk_3008.backup.jou} (84%) diff --git a/lab2CA.cache/wt/webtalk_pa.xml b/lab2CA.cache/wt/webtalk_pa.xml index fd95878..ef41784 100644 --- a/lab2CA.cache/wt/webtalk_pa.xml +++ b/lab2CA.cache/wt/webtalk_pa.xml @@ -3,7 +3,7 @@ - +
@@ -18,8 +18,8 @@ This means code written to parse this file will need to be revisited each subseq - - + + @@ -28,17 +28,18 @@ This means code written to parse this file will need to be revisited each subseq - + - + - - + + + @@ -46,10 +47,10 @@ This means code written to parse this file will need to be revisited each subseq - + - - + + @@ -59,8 +60,8 @@ This means code written to parse this file will need to be revisited each subseq - - + + @@ -68,39 +69,39 @@ This means code written to parse this file will need to be revisited each subseq - + - + - - + + - + - + - + - + - + - - + + @@ -108,8 +109,10 @@ This means code written to parse this file will need to be revisited each subseq - - + + + + @@ -118,11 +121,11 @@ This means code written to parse this file will need to be revisited each subseq - + - - + + @@ -133,9 +136,10 @@ This means code written to parse this file will need to be revisited each subseq - + + - + @@ -145,10 +149,10 @@ This means code written to parse this file will need to be revisited each subseq - + - + @@ -159,48 +163,49 @@ This means code written to parse this file will need to be revisited each subseq - + - - - + + + - + - + - + - + - + - + - + + - + - +
diff --git a/lab2CA.runs/.jobs/vrs_config_71.xml b/lab2CA.runs/.jobs/vrs_config_71.xml new file mode 100644 index 0000000..c86769b --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_71.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_72.xml b/lab2CA.runs/.jobs/vrs_config_72.xml new file mode 100644 index 0000000..c86769b --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_72.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab2CA.runs/impl_1/CPU9bits.tcl b/lab2CA.runs/impl_1/CPU9bits.tcl deleted file mode 100644 index 00d37da..0000000 --- a/lab2CA.runs/impl_1/CPU9bits.tcl +++ /dev/null @@ -1,153 +0,0 @@ -# -# Report generation script generated by Vivado -# - -proc create_report { reportName command } { - set status "." - append status $reportName ".fail" - if { [file exists $status] } { - eval file delete [glob $status] - } - send_msg_id runtcl-4 info "Executing : $command" - set retval [eval catch { $command } msg] - if { $retval != 0 } { - set fp [open $status w] - close $fp - send_msg_id runtcl-5 warning "$msg" - } -} -proc start_step { step } { - set stopFile ".stop.rst" - if {[file isfile .stop.rst]} { - puts "" - puts "*** Halting run - EA reset detected ***" - puts "" - puts "" - return -code error - } - set beginFile ".$step.begin.rst" - set platform "$::tcl_platform(platform)" - set user "$::tcl_platform(user)" - set pid [pid] - set host "" - if { [string equal $platform unix] } { - if { [info exist ::env(HOSTNAME)] } { - set host $::env(HOSTNAME) - } - } else { - if { [info exist ::env(COMPUTERNAME)] } { - set host $::env(COMPUTERNAME) - } - } - set ch [open $beginFile w] - puts $ch "" - puts $ch "" - puts $ch " " - puts $ch " " - puts $ch "" - close $ch -} - -proc end_step { step } { - set endFile ".$step.end.rst" - set ch [open $endFile w] - close $ch -} - -proc step_failed { step } { - set endFile ".$step.error.rst" - set ch [open $endFile w] - close $ch -} - -set_msg_config -id {Synth 8-256} -limit 10000 -set_msg_config -id {Synth 8-638} -limit 10000 - -start_step init_design -set ACTIVE_STEP init_design -set rc [catch { - create_msg_db init_design.pb - set_param synth.incrementalSynthesisCache C:/Users/ecelab/AppData/Roaming/Xilinx/Vivado/.Xil/Vivado-10176-DESKTOP-8QFGS52/incrSyn - create_project -in_memory -part xc7k160tifbg484-2L - set_property design_mode GateLvl [current_fileset] - set_param project.singleFileAddWarning.threshold 0 - set_property webtalk.parent_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.cache/wt [current_project] - set_property parent.project_path C:/Users/ecelab/ECE3570-Lab/lab2CA.xpr [current_project] - set_property ip_output_repo C:/Users/ecelab/ECE3570-Lab/lab2CA.cache/ip [current_project] - set_property ip_cache_permissions {read write} [current_project] - add_files -quiet C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.dcp - link_design -top CPU9bits -part xc7k160tifbg484-2L - close_msg_db -file init_design.pb -} RESULT] -if {$rc} { - step_failed init_design - return -code error $RESULT -} else { - end_step init_design - unset ACTIVE_STEP -} - -start_step opt_design -set ACTIVE_STEP opt_design -set rc [catch { - create_msg_db opt_design.pb - opt_design - write_checkpoint -force CPU9bits_opt.dcp - create_report "impl_1_opt_report_drc_0" "report_drc -file CPU9bits_drc_opted.rpt -pb CPU9bits_drc_opted.pb -rpx CPU9bits_drc_opted.rpx" - close_msg_db -file opt_design.pb -} RESULT] -if {$rc} { - step_failed opt_design - return -code error $RESULT -} else { - end_step opt_design - unset ACTIVE_STEP -} - -start_step place_design -set ACTIVE_STEP place_design -set rc [catch { - create_msg_db place_design.pb - if { [llength [get_debug_cores -quiet] ] > 0 } { - implement_debug_core - } - place_design - write_checkpoint -force CPU9bits_placed.dcp - create_report "impl_1_place_report_io_0" "report_io -file CPU9bits_io_placed.rpt" - create_report "impl_1_place_report_utilization_0" "report_utilization -file CPU9bits_utilization_placed.rpt -pb CPU9bits_utilization_placed.pb" - create_report "impl_1_place_report_control_sets_0" "report_control_sets -verbose -file CPU9bits_control_sets_placed.rpt" - close_msg_db -file place_design.pb -} RESULT] -if {$rc} { - step_failed place_design - return -code error $RESULT -} else { - end_step place_design - unset ACTIVE_STEP -} - -start_step route_design -set ACTIVE_STEP route_design -set rc [catch { - create_msg_db route_design.pb - route_design - write_checkpoint -force CPU9bits_routed.dcp - create_report "impl_1_route_report_drc_0" "report_drc -file CPU9bits_drc_routed.rpt -pb CPU9bits_drc_routed.pb -rpx CPU9bits_drc_routed.rpx" - create_report "impl_1_route_report_methodology_0" "report_methodology -file CPU9bits_methodology_drc_routed.rpt -pb CPU9bits_methodology_drc_routed.pb -rpx CPU9bits_methodology_drc_routed.rpx" - create_report "impl_1_route_report_power_0" "report_power -file CPU9bits_power_routed.rpt -pb CPU9bits_power_summary_routed.pb -rpx CPU9bits_power_routed.rpx" - create_report "impl_1_route_report_route_status_0" "report_route_status -file CPU9bits_route_status.rpt -pb CPU9bits_route_status.pb" - create_report "impl_1_route_report_timing_summary_0" "report_timing_summary -max_paths 10 -file CPU9bits_timing_summary_routed.rpt -pb CPU9bits_timing_summary_routed.pb -rpx CPU9bits_timing_summary_routed.rpx -warn_on_violation " - create_report "impl_1_route_report_incremental_reuse_0" "report_incremental_reuse -file CPU9bits_incremental_reuse_routed.rpt" - create_report "impl_1_route_report_clock_utilization_0" "report_clock_utilization -file CPU9bits_clock_utilization_routed.rpt" - create_report "impl_1_route_report_bus_skew_0" "report_bus_skew -warn_on_violation -file CPU9bits_bus_skew_routed.rpt -pb CPU9bits_bus_skew_routed.pb -rpx CPU9bits_bus_skew_routed.rpx" - close_msg_db -file route_design.pb -} RESULT] -if {$rc} { - write_checkpoint -force CPU9bits_routed_error.dcp - step_failed route_design - return -code error $RESULT -} else { - end_step route_design - unset ACTIVE_STEP -} - diff --git a/lab2CA.runs/impl_1/CPU9bits.vdi b/lab2CA.runs/impl_1/CPU9bits.vdi deleted file mode 100644 index df49391..0000000 --- a/lab2CA.runs/impl_1/CPU9bits.vdi +++ /dev/null @@ -1,471 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sat Apr 6 17:33:53 2019 -# Process ID: 9496 -# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1 -# Command line: vivado.exe -log CPU9bits.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source CPU9bits.tcl -notrace -# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits.vdi -# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source CPU9bits.tcl -notrace -Command: link_design -top CPU9bits -part xc7k160tifbg484-2L -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Netlist 29-17] Analyzing 2 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2018.3 -INFO: [Device 21-403] Loading part xc7k160tifbg484-2L -INFO: [Project 1-570] Preparing netlist for logic optimization -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 577.664 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -6 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:06 ; elapsed = 00:00:16 . Memory (MB): peak = 583.055 ; gain = 324.613 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7k160ti' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160ti' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.719 . Memory (MB): peak = 595.676 ; gain = 12.621 - -Starting Cache Timing Information Task -INFO: [Timing 38-35] Done setting XDC timing constraints. -Ending Cache Timing Information Task | Checksum: 178a9fcd1 - -Time (s): cpu = 00:00:09 ; elapsed = 00:00:10 . Memory (MB): peak = 1128.926 ; gain = 533.250 - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: 11e80142d - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.086 . Memory (MB): peak = 1224.855 ; gain = 0.000 -INFO: [Opt 31-389] Phase Retarget created 1 cells and removed 1 cells - -Phase 2 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 2 Constant propagation | Checksum: 11e80142d - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.090 . Memory (MB): peak = 1224.855 ; gain = 0.000 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 3 Sweep -Phase 3 Sweep | Checksum: 11e80142d - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.098 . Memory (MB): peak = 1224.855 ; gain = 0.000 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 4 BUFG optimization -Phase 4 BUFG optimization | Checksum: 11e80142d - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.103 . Memory (MB): peak = 1224.855 ; gain = 0.000 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 5 Shift Register Optimization -Phase 5 Shift Register Optimization | Checksum: 8b9eda27 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.145 . Memory (MB): peak = 1224.855 ; gain = 0.000 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 6 Post Processing Netlist -Phase 6 Post Processing Netlist | Checksum: 8b9eda27 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.147 . Memory (MB): peak = 1224.855 ; gain = 0.000 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 1 | 1 | 0 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1224.855 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: 8b9eda27 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.150 . Memory (MB): peak = 1224.855 ; gain = 0.000 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -INFO: [Pwropt 34-9] Applying IDT optimizations ... -INFO: [Pwropt 34-10] Applying ODC optimizations ... -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=0.000 | TNS=0.000 | -WARNING: [Power 33-232] No user defined clocks were found in the design! -Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation - - -Starting PowerOpt Patch Enables Task -INFO: [Pwropt 34-162] WRITE_MODE attribute of 0 BRAM(s) out of a total of 1 has been updated to save power. Run report_power_opt to get a complete listing of the BRAMs updated. -INFO: [Pwropt 34-201] Structural ODC has moved 0 WE to EN ports -Number of BRAM Ports augmented: 0 newly gated: 0 Total Ports: 2 -Ending PowerOpt Patch Enables Task | Checksum: 8b9eda27 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.013 . Memory (MB): peak = 1334.406 ; gain = 0.000 -Ending Power Optimization Task | Checksum: 8b9eda27 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.786 . Memory (MB): peak = 1334.406 ; gain = 109.551 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: 8b9eda27 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000 -Ending Netlist Obfuscation Task | Checksum: 8b9eda27 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -28 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -opt_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1334.406 ; gain = 751.352 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000 -WARNING: [Constraints 18-5210] No constraints selected for write. -Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. -INFO: [Common 17-1381] The checkpoint 'C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_opt.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file CPU9bits_drc_opted.rpt -pb CPU9bits_drc_opted.pb -rpx CPU9bits_drc_opted.rpx -Command: report_drc -file CPU9bits_drc_opted.rpt -pb CPU9bits_drc_opted.pb -rpx CPU9bits_drc_opted.rpx -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2018.3/data/ip'. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_drc_opted.rpt. -report_drc completed successfully -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7k160ti' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160ti' -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 44f3ef01 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1334.406 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: b481c8c5 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1334.406 ; gain = 0.000 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 16bafe571 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1334.406 ; gain = 0.000 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 16bafe571 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1334.406 ; gain = 0.000 -Phase 1 Placer Initialization | Checksum: 16bafe571 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1334.406 ; gain = 0.000 - -Phase 2 Global Placement - -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 16bafe571 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1334.406 ; gain = 0.000 -WARNING: [Place 46-29] place_design is not in timing mode. Skip physical synthesis in placer -Phase 2 Global Placement | Checksum: 187ab5e99 - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 187ab5e99 - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 168760e64 - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 105becb87 - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 105becb87 - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 - -Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: cd32f4e6 - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 - -Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: cd32f4e6 - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 - -Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: cd32f4e6 - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 -Phase 3 Detail Placement | Checksum: cd32f4e6 - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -Phase 4.1 Post Commit Optimization | Checksum: cd32f4e6 - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: cd32f4e6 - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 - -Phase 4.3 Placer Reporting -Phase 4.3 Placer Reporting | Checksum: cd32f4e6 - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 - -Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000 -Phase 4.4 Final Placement Cleanup | Checksum: 18c80bbbe - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 18c80bbbe - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 -Ending Placer Task | Checksum: 101790dce - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -45 Infos, 3 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000 -WARNING: [Constraints 18-5210] No constraints selected for write. -Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.097 . Memory (MB): peak = 1334.406 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_placed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_io -file CPU9bits_io_placed.rpt -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.054 . Memory (MB): peak = 1334.406 ; gain = 0.000 -INFO: [runtcl-4] Executing : report_utilization -file CPU9bits_utilization_placed.rpt -pb CPU9bits_utilization_placed.pb -INFO: [runtcl-4] Executing : report_control_sets -verbose -file CPU9bits_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1334.406 ; gain = 0.000 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7k160ti' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160ti' -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs -Checksum: PlaceDB: 2e37d8f5 ConstDB: 0 ShapeSum: d34134d9 RouteDB: 0 - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: 7ebb6ebf - -Time (s): cpu = 00:00:27 ; elapsed = 00:00:21 . Memory (MB): peak = 1485.609 ; gain = 151.203 -Post Restoration Checksum: NetGraph: 10180109 NumContArr: 6ea36db6 Constraints: 0 Timing: 0 - -Phase 2 Router Initialization -INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode. - -Phase 2.1 Fix Topology Constraints -Phase 2.1 Fix Topology Constraints | Checksum: 7ebb6ebf - -Time (s): cpu = 00:00:27 ; elapsed = 00:00:21 . Memory (MB): peak = 1489.359 ; gain = 154.953 - -Phase 2.2 Pre Route Cleanup -Phase 2.2 Pre Route Cleanup | Checksum: 7ebb6ebf - -Time (s): cpu = 00:00:27 ; elapsed = 00:00:21 . Memory (MB): peak = 1489.359 ; gain = 154.953 - Number of Nodes with overlaps = 0 -Phase 2 Router Initialization | Checksum: dbaddab7 - -Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: ad0f318a - -Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 4 - Number of Nodes with overlaps = 0 -Phase 4.1 Global Iteration 0 | Checksum: 1246629fb - -Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676 -Phase 4 Rip-up And Reroute | Checksum: 1246629fb - -Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676 - -Phase 5 Delay and Skew Optimization -Phase 5 Delay and Skew Optimization | Checksum: 1246629fb - -Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter -Phase 6.1 Hold Fix Iter | Checksum: 1246629fb - -Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676 -Phase 6 Post Hold Fix | Checksum: 1246629fb - -Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.00477869 % - Global Horizontal Routing Utilization = 0.00797101 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Congestion Report -North Dir 1x1 Area, Max Cong = 9.00901%, No Congested Regions. -South Dir 1x1 Area, Max Cong = 13.5135%, No Congested Regions. -East Dir 1x1 Area, Max Cong = 16.1765%, No Congested Regions. -West Dir 1x1 Area, Max Cong = 14.7059%, No Congested Regions. - ------------------------------- -Reporting congestion hotspots ------------------------------- -Direction: North ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: South ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: East ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: West ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 - -Phase 7 Route finalize | Checksum: 1246629fb - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 1246629fb - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 1219f5402 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676 -INFO: [Route 35-16] Router Completed Successfully - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -57 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:31 ; elapsed = 00:00:30 . Memory (MB): peak = 1516.082 ; gain = 181.676 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1516.082 ; gain = 0.000 -WARNING: [Constraints 18-5210] No constraints selected for write. -Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.062 . Memory (MB): peak = 1516.082 ; gain = 0.000 -INFO: [Common 17-1381] The checkpoint 'C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_routed.dcp' has been generated. -INFO: [runtcl-4] Executing : report_drc -file CPU9bits_drc_routed.rpt -pb CPU9bits_drc_routed.pb -rpx CPU9bits_drc_routed.rpx -Command: report_drc -file CPU9bits_drc_routed.rpt -pb CPU9bits_drc_routed.pb -rpx CPU9bits_drc_routed.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_drc_routed.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file CPU9bits_methodology_drc_routed.rpt -pb CPU9bits_methodology_drc_routed.pb -rpx CPU9bits_methodology_drc_routed.rpx -Command: report_methodology -file CPU9bits_methodology_drc_routed.rpt -pb CPU9bits_methodology_drc_routed.pb -rpx CPU9bits_methodology_drc_routed.rpx -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [DRC 23-133] Running Methodology with 2 threads -INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.rpt. -report_methodology completed successfully -INFO: [runtcl-4] Executing : report_power -file CPU9bits_power_routed.rpt -pb CPU9bits_power_summary_routed.pb -rpx CPU9bits_power_routed.rpx -Command: report_power -file CPU9bits_power_routed.rpt -pb CPU9bits_power_summary_routed.pb -rpx CPU9bits_power_routed.rpx -WARNING: [Power 33-232] No user defined clocks were found in the design! -Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -68 Infos, 6 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file CPU9bits_route_status.rpt -pb CPU9bits_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file CPU9bits_timing_summary_routed.rpt -pb CPU9bits_timing_summary_routed.pb -rpx CPU9bits_timing_summary_routed.rpx -warn_on_violation -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -2L, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis. -INFO: [runtcl-4] Executing : report_incremental_reuse -file CPU9bits_incremental_reuse_routed.rpt -INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. -INFO: [runtcl-4] Executing : report_clock_utilization -file CPU9bits_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file CPU9bits_bus_skew_routed.rpt -pb CPU9bits_bus_skew_routed.pb -rpx CPU9bits_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -2L, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -INFO: [Common 17-206] Exiting Vivado at Sat Apr 6 17:35:04 2019... diff --git a/lab2CA.runs/impl_1/CPU9bits_bus_skew_routed.rpt b/lab2CA.runs/impl_1/CPU9bits_bus_skew_routed.rpt deleted file mode 100644 index 1253210..0000000 --- a/lab2CA.runs/impl_1/CPU9bits_bus_skew_routed.rpt +++ /dev/null @@ -1,15 +0,0 @@ -Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Sat Apr 6 17:35:04 2019 -| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) -| Command : report_bus_skew -warn_on_violation -file CPU9bits_bus_skew_routed.rpt -pb CPU9bits_bus_skew_routed.pb -rpx CPU9bits_bus_skew_routed.rpx -| Design : CPU9bits -| Device : 7k160ti-fbg484 -| Speed File : -2L PRODUCTION 1.12 2017-02-17 ---------------------------------------------------------------------------------------------------------------------------------------------------------- - -Bus Skew Report - -No bus skew constraints - diff --git a/lab2CA.runs/impl_1/CPU9bits_clock_utilization_routed.rpt b/lab2CA.runs/impl_1/CPU9bits_clock_utilization_routed.rpt deleted file mode 100644 index 7b65097..0000000 --- a/lab2CA.runs/impl_1/CPU9bits_clock_utilization_routed.rpt +++ /dev/null @@ -1,154 +0,0 @@ -Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Sat Apr 6 17:35:04 2019 -| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) -| Command : report_clock_utilization -file CPU9bits_clock_utilization_routed.rpt -| Design : CPU9bits -| Device : 7k160ti-fbg484 -| Speed File : -2L PRODUCTION 1.12 2017-02-17 -| Temperature Grade : I -------------------------------------------------------------------------------------------- - -Clock Utilization Report - -Table of Contents ------------------ -1. Clock Primitive Utilization -2. Global Clock Resources -3. Global Clock Source Details -4. Clock Regions: Key Resource Utilization -5. Clock Regions : Global Clock Summary -6. Device Cell Placement Summary for Global Clock g0 -7. Clock Region Cell Placement per Global Clock: Region X0Y1 - -1. Clock Primitive Utilization ------------------------------- - -+----------+------+-----------+-----+--------------+--------+ -| Type | Used | Available | LOC | Clock Region | Pblock | -+----------+------+-----------+-----+--------------+--------+ -| BUFGCTRL | 1 | 32 | 0 | 0 | 0 | -| BUFH | 0 | 120 | 0 | 0 | 0 | -| BUFIO | 0 | 32 | 0 | 0 | 0 | -| BUFMR | 0 | 16 | 0 | 0 | 0 | -| BUFR | 0 | 32 | 0 | 0 | 0 | -| MMCM | 0 | 8 | 0 | 0 | 0 | -| PLL | 0 | 8 | 0 | 0 | 0 | -+----------+------+-----------+-----+--------------+--------+ - - -2. Global Clock Resources -------------------------- - -+-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ -| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | -+-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ -| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y0 | n/a | 1 | 59 | 0 | | | clk_IBUF_BUFG_inst/O | clk_IBUF_BUFG | -+-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ -* Clock Loads column represents the clock pin loads (pin count) -** Non-Clock Loads column represents the non-clock pin loads (pin count) - - -3. Global Clock Source Details ------------------------------- - -+-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ -| Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | -+-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ -| src0 | g0 | IBUF/O | None | IOB_X0Y78 | X0Y1 | 1 | 0 | | | clk_IBUF_inst/O | clk_IBUF | -+-----------+-----------+-----------------+------------+-----------+--------------+-------------+-----------------+---------------------+--------------+-----------------+----------+ -* Clock Loads column represents the clock pin loads (pin count) -** Non-Clock Loads column represents the non-clock pin loads (pin count) - - -4. Clock Regions: Key Resource Utilization ------------------------------------------- - -+-------------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+ -| | Global Clock | BUFRs | BUFMRs | BUFIOs | MMCM | PLL | GT | PCI | ILOGIC | OLOGIC | FF | LUTM | RAMB18 | RAMB36 | DSP48E2 | -+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ -| Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | -+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ -| X0Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2800 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | -| X1Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 | -| X0Y1 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 58 | 2800 | 29 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | -| X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 | -| X0Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2200 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | -| X1Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 | -| X0Y3 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2200 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | -| X1Y3 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 4 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 2150 | 0 | 800 | 0 | 50 | 0 | 25 | 0 | 60 | -| X0Y4 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2800 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | -| X1Y4 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 4 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 2300 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | -+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ -* Global Clock column represents track count; while other columns represents cell counts - - -5. Clock Regions : Global Clock Summary ---------------------------------------- - -All Modules -+----+----+----+ -| | X0 | X1 | -+----+----+----+ -| Y4 | 0 | 0 | -| Y3 | 0 | 0 | -| Y2 | 0 | 0 | -| Y1 | 1 | 0 | -| Y0 | 0 | 0 | -+----+----+----+ - - -6. Device Cell Placement Summary for Global Clock g0 ----------------------------------------------------- - -+-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ -| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | -+-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ -| g0 | BUFG/O | n/a | | | | 59 | 0 | 0 | 0 | clk_IBUF_BUFG | -+-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ -* Logic Loads column represents load cell count of all cell types other than IO, GT and clock resources -** IO Loads column represents load cell count of IO types -*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) -**** GT Loads column represents load cell count of GT types - - -+----+-----+----+ -| | X0 | X1 | -+----+-----+----+ -| Y4 | 0 | 0 | -| Y3 | 0 | 0 | -| Y2 | 0 | 0 | -| Y1 | 59 | 0 | -| Y0 | 0 | 0 | -+----+-----+----+ - - -7. Clock Region Cell Placement per Global Clock: Region X0Y1 ------------------------------------------------------------- - -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ -| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ -| g0 | n/a | BUFG/O | None | 59 | 0 | 58 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_IBUF_BUFG | -+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ -* Clock Loads column represents the clock pin loads (pin count) -** Non-Clock Loads column represents the non-clock pin loads (pin count) -*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts - - - -# Location of BUFG Primitives -set_property LOC BUFGCTRL_X0Y0 [get_cells clk_IBUF_BUFG_inst] - -# Location of IO Primitives which is load of clock spine - -# Location of clock ports -set_property LOC IOB_X0Y78 [get_ports clk] - -# Clock net "clk_IBUF_BUFG" driven by instance "clk_IBUF_BUFG_inst" located at site "BUFGCTRL_X0Y0" -#startgroup -create_pblock {CLKAG_clk_IBUF_BUFG} -add_cells_to_pblock [get_pblocks {CLKAG_clk_IBUF_BUFG}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clk_IBUF_BUFG"}]]] -resize_pblock [get_pblocks {CLKAG_clk_IBUF_BUFG}] -add {CLOCKREGION_X0Y1:CLOCKREGION_X0Y1} -#endgroup diff --git a/lab2CA.runs/impl_1/CPU9bits_control_sets_placed.rpt b/lab2CA.runs/impl_1/CPU9bits_control_sets_placed.rpt deleted file mode 100644 index 9a4c667..0000000 --- a/lab2CA.runs/impl_1/CPU9bits_control_sets_placed.rpt +++ /dev/null @@ -1,68 +0,0 @@ -Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Sat Apr 6 17:34:31 2019 -| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) -| Command : report_control_sets -verbose -file CPU9bits_control_sets_placed.rpt -| Design : CPU9bits -| Device : xc7k160ti -------------------------------------------------------------------------------------- - -Control Set Information - -Table of Contents ------------------ -1. Summary -2. Histogram -3. Flip-Flop Distribution -4. Detailed Control Set Information - -1. Summary ----------- - -+----------------------------------------------------------+-------+ -| Status | Count | -+----------------------------------------------------------+-------+ -| Number of unique control sets | 3 | -| Unused register locations in slices containing registers | 14 | -+----------------------------------------------------------+-------+ - - -2. Histogram ------------- - -+--------+--------------+ -| Fanout | Control Sets | -+--------+--------------+ -| 9 | 2 | -| 16+ | 1 | -+--------+--------------+ - - -3. Flip-Flop Distribution -------------------------- - -+--------------+-----------------------+------------------------+-----------------+--------------+ -| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices | -+--------------+-----------------------+------------------------+-----------------+--------------+ -| No | No | No | 0 | 0 | -| No | No | Yes | 0 | 0 | -| No | Yes | No | 40 | 11 | -| Yes | No | No | 0 | 0 | -| Yes | No | Yes | 0 | 0 | -| Yes | Yes | No | 18 | 6 | -+--------------+-----------------------+------------------------+-----------------+--------------+ - - -4. Detailed Control Set Information ------------------------------------ - -+----------------+------------------------+------------------+------------------+----------------+ -| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | -+----------------+------------------------+------------------+------------------+----------------+ -| clk_IBUF_BUFG | pipe2/E[0] | reset_IBUF | 3 | 9 | -| clk_IBUF_BUFG | pipe2/Dout_reg[5]_0[0] | reset_IBUF | 3 | 9 | -| clk_IBUF_BUFG | | reset_IBUF | 11 | 40 | -+----------------+------------------------+------------------+------------------+----------------+ - - diff --git a/lab2CA.runs/impl_1/CPU9bits_drc_opted.rpt b/lab2CA.runs/impl_1/CPU9bits_drc_opted.rpt deleted file mode 100644 index 1a0055c..0000000 --- a/lab2CA.runs/impl_1/CPU9bits_drc_opted.rpt +++ /dev/null @@ -1,61 +0,0 @@ -Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Sat Apr 6 17:34:27 2019 -| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) -| Command : report_drc -file CPU9bits_drc_opted.rpt -pb CPU9bits_drc_opted.pb -rpx CPU9bits_drc_opted.rpx -| Design : CPU9bits -| Device : xc7k160tifbg484-2L -| Speed File : -2L -| Design State : Synthesized ---------------------------------------------------------------------------------------------------------------- - -Report DRC - -Table of Contents ------------------ -1. REPORT SUMMARY -2. REPORT DETAILS - -1. REPORT SUMMARY ------------------ - Netlist: netlist - Floorplan: design_1 - Design limits: - Ruledeck: default - Max violations: - Violations found: 3 -+----------+------------------+-----------------------------------------------------+------------+ -| Rule | Severity | Description | Violations | -+----------+------------------+-----------------------------------------------------+------------+ -| NSTD-1 | Critical Warning | Unspecified I/O Standard | 1 | -| UCIO-1 | Critical Warning | Unconstrained Logical Port | 1 | -| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | -+----------+------------------+-----------------------------------------------------+------------+ - -2. REPORT DETAILS ------------------ -NSTD-1#1 Critical Warning -Unspecified I/O Standard -12 out of 12 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: result[8:0], clk, done, reset. -Related violations: - -UCIO-1#1 Critical Warning -Unconstrained Logical Port -12 out of 12 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: result[8:0], clk, done, reset. -Related violations: - -CFGBVS-1#1 Warning -Missing CFGBVS and CONFIG_VOLTAGE Design Properties -Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: - - set_property CFGBVS value1 [current_design] - #where value1 is either VCCO or GND - - set_property CONFIG_VOLTAGE value2 [current_design] - #where value2 is the voltage provided to configuration bank 0 - -Refer to the device configuration user guide for more information. -Related violations: - - diff --git a/lab2CA.runs/impl_1/CPU9bits_drc_routed.rpt b/lab2CA.runs/impl_1/CPU9bits_drc_routed.rpt deleted file mode 100644 index aaf378a..0000000 --- a/lab2CA.runs/impl_1/CPU9bits_drc_routed.rpt +++ /dev/null @@ -1,61 +0,0 @@ -Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Sat Apr 6 17:35:02 2019 -| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) -| Command : report_drc -file CPU9bits_drc_routed.rpt -pb CPU9bits_drc_routed.pb -rpx CPU9bits_drc_routed.rpx -| Design : CPU9bits -| Device : xc7k160tifbg484-2L -| Speed File : -2L -| Design State : Fully Routed ------------------------------------------------------------------------------------------------------------------- - -Report DRC - -Table of Contents ------------------ -1. REPORT SUMMARY -2. REPORT DETAILS - -1. REPORT SUMMARY ------------------ - Netlist: netlist - Floorplan: design_1 - Design limits: - Ruledeck: default - Max violations: - Violations found: 3 -+----------+------------------+-----------------------------------------------------+------------+ -| Rule | Severity | Description | Violations | -+----------+------------------+-----------------------------------------------------+------------+ -| NSTD-1 | Critical Warning | Unspecified I/O Standard | 1 | -| UCIO-1 | Critical Warning | Unconstrained Logical Port | 1 | -| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | -+----------+------------------+-----------------------------------------------------+------------+ - -2. REPORT DETAILS ------------------ -NSTD-1#1 Critical Warning -Unspecified I/O Standard -12 out of 12 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: result[8:0], clk, done, reset. -Related violations: - -UCIO-1#1 Critical Warning -Unconstrained Logical Port -12 out of 12 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: result[8:0], clk, done, reset. -Related violations: - -CFGBVS-1#1 Warning -Missing CFGBVS and CONFIG_VOLTAGE Design Properties -Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: - - set_property CFGBVS value1 [current_design] - #where value1 is either VCCO or GND - - set_property CONFIG_VOLTAGE value2 [current_design] - #where value2 is the voltage provided to configuration bank 0 - -Refer to the device configuration user guide for more information. -Related violations: - - diff --git a/lab2CA.runs/impl_1/CPU9bits_io_placed.rpt b/lab2CA.runs/impl_1/CPU9bits_io_placed.rpt deleted file mode 100644 index c61aca3..0000000 --- a/lab2CA.runs/impl_1/CPU9bits_io_placed.rpt +++ /dev/null @@ -1,526 +0,0 @@ -Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Sat Apr 6 17:34:31 2019 -| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) -| Command : report_io -file CPU9bits_io_placed.rpt -| Design : CPU9bits -| Device : xc7k160ti -| Speed File : -2L -| Package : fbg484 -| Package Version : FINAL 2012-06-26 -| Package Pin Delay Version : VERS. 2.0 2012-06-26 -------------------------------------------------------------------------------------------------- - -IO Information - -Table of Contents ------------------ -1. Summary -2. IO Assignments by Package Pin - -1. Summary ----------- - -+---------------+ -| Total User IO | -+---------------+ -| 12 | -+---------------+ - - -2. IO Assignments by Package Pin --------------------------------- - -+------------+-------------+------------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+-----------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ -| Pin Number | Signal Name | Bank Type | Pin Name | Use | IO Standard | IO Bank | Drive (mA) | Slew | On-Chip Termination | Off-Chip Termination | Voltage | Constraint | Pull Type | DQS Bias | Vref | Signal Integrity | Pre Emphasis | Lvds Pre Emphasis | Equalization | -+------------+-------------+------------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+-----------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ -| A1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| A2 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | -| A3 | | | MGTXTXN3_115 | Gigabit | | | | | | | | | | | | | | | | -| A4 | | | MGTXTXP3_115 | Gigabit | | | | | | | | | | | | | | | | -| A5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| A6 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | -| A7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| A8 | | High Range | IO_L21N_T3_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | -| A9 | | High Range | IO_L21P_T3_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | -| A10 | | High Range | IO_L23N_T3_16 | User IO | | 16 | | | | | | | | | | | | | | -| A11 | | High Range | IO_L23P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | -| A12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| A13 | | High Range | IO_L4P_T0_AD9P_15 | User IO | | 15 | | | | | | | | | | | | | | -| A14 | | High Range | IO_L4N_T0_AD9N_15 | User IO | | 15 | | | | | | | | | | | | | | -| A15 | | High Range | IO_L9N_T1_DQS_AD11N_15 | User IO | | 15 | | | | | | | | | | | | | | -| A16 | | High Range | IO_L8N_T1_AD3N_15 | User IO | | 15 | | | | | | | | | | | | | | -| A17 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | -| A18 | | High Range | IO_L10N_T1_AD4N_15 | User IO | | 15 | | | | | | | | | | | | | | -| A19 | | High Range | IO_L20N_T3_A19_15 | User IO | | 15 | | | | | | | | | | | | | | -| A20 | | High Range | IO_L22P_T3_A17_15 | User IO | | 15 | | | | | | | | | | | | | | -| A21 | | High Range | IO_L22N_T3_A16_15 | User IO | | 15 | | | | | | | | | | | | | | -| A22 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| AA1 | | High Performance | IO_L22P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| AA2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| AA3 | | High Performance | IO_L23P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| AA4 | | High Performance | IO_L24P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| AA5 | | High Performance | IO_L1P_T0_33 | User IO | | 33 | | | | | | | | | | | | | | -| AA6 | | High Performance | IO_L3P_T0_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | -| AA7 | | High Performance | VCCO_33 | VCCO | | 33 | | | | | 0.00-1.80 | | | | | | | | | -| AA8 | | High Performance | IO_L5N_T0_33 | User IO | | 33 | | | | | | | | | | | | | | -| AA9 | | High Performance | IO_L5P_T0_33 | User IO | | 33 | | | | | | | | | | | | | | -| AA10 | | High Performance | IO_L4P_T0_33 | User IO | | 33 | | | | | | | | | | | | | | -| AA11 | | High Performance | IO_L20P_T3_33 | User IO | | 33 | | | | | | | | | | | | | | -| AA12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| AA13 | | High Performance | IO_L21N_T3_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | -| AA14 | | High Range | IO_L18P_T2_13 | User IO | | 13 | | | | | | | | | | | | | | -| AA15 | | High Range | IO_L18N_T2_13 | User IO | | 13 | | | | | | | | | | | | | | -| AA16 | | High Range | IO_L17P_T2_13 | User IO | | 13 | | | | | | | | | | | | | | -| AA17 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 1.80 | | | | | | | | | -| AA18 | | High Range | IO_L15P_T2_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | -| AA19 | | High Range | IO_L10P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | -| AA20 | | High Range | IO_L8P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | -| AA21 | | High Range | IO_L9P_T1_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | -| AA22 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| AB1 | | High Performance | IO_L22N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| AB2 | | High Performance | IO_L23N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| AB3 | | High Performance | IO_L24N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| AB4 | | High Performance | VCCO_34 | VCCO | | 34 | | | | | 0.00-1.80 | | | | | | | | | -| AB5 | | High Performance | IO_L1N_T0_33 | User IO | | 33 | | | | | | | | | | | | | | -| AB6 | | High Performance | IO_L3N_T0_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | -| AB7 | | High Performance | IO_L2N_T0_33 | User IO | | 33 | | | | | | | | | | | | | | -| AB8 | | High Performance | IO_L2P_T0_33 | User IO | | 33 | | | | | | | | | | | | | | -| AB9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| AB10 | | High Performance | IO_L4N_T0_33 | User IO | | 33 | | | | | | | | | | | | | | -| AB11 | | High Performance | IO_L20N_T3_33 | User IO | | 33 | | | | | | | | | | | | | | -| AB12 | | High Performance | IO_L22N_T3_33 | User IO | | 33 | | | | | | | | | | | | | | -| AB13 | | High Performance | IO_L22P_T3_33 | User IO | | 33 | | | | | | | | | | | | | | -| AB14 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 1.80 | | | | | | | | | -| AB15 | | High Range | IO_L16P_T2_13 | User IO | | 13 | | | | | | | | | | | | | | -| AB16 | | High Range | IO_L16N_T2_13 | User IO | | 13 | | | | | | | | | | | | | | -| AB17 | | High Range | IO_L17N_T2_13 | User IO | | 13 | | | | | | | | | | | | | | -| AB18 | | High Range | IO_L15N_T2_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | -| AB19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| AB20 | | High Range | IO_L10N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | -| AB21 | | High Range | IO_L8N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | -| AB22 | | High Range | IO_L9N_T1_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | -| B1 | | | MGTXTXN2_115 | Gigabit | | | | | | | | | | | | | | | | -| B2 | | | MGTXTXP2_115 | Gigabit | | | | | | | | | | | | | | | | -| B3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| B4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| B5 | | | MGTXRXN3_115 | Gigabit | | | | | | | | | | | | | | | | -| B6 | | | MGTXRXP3_115 | Gigabit | | | | | | | | | | | | | | | | -| B7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| B8 | | High Range | IO_L22N_T3_16 | User IO | | 16 | | | | | | | | | | | | | | -| B9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| B10 | | High Range | IO_L20N_T3_16 | User IO | | 16 | | | | | | | | | | | | | | -| B11 | | High Range | IO_L20P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | -| B12 | | High Range | IO_L2N_T0_AD8N_15 | User IO | | 15 | | | | | | | | | | | | | | -| B13 | | High Range | IO_L5N_T0_AD2N_15 | User IO | | 15 | | | | | | | | | | | | | | -| B14 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | -| B15 | | High Range | IO_L9P_T1_DQS_AD11P_15 | User IO | | 15 | | | | | | | | | | | | | | -| B16 | | High Range | IO_L8P_T1_AD3P_15 | User IO | | 15 | | | | | | | | | | | | | | -| B17 | | High Range | IO_L10P_T1_AD4P_15 | User IO | | 15 | | | | | | | | | | | | | | -| B18 | | High Range | IO_L20P_T3_A20_15 | User IO | | 15 | | | | | | | | | | | | | | -| B19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| B20 | | High Range | IO_L24P_T3_RS1_15 | User IO | | 15 | | | | | | | | | | | | | | -| B21 | | High Range | IO_L24N_T3_RS0_15 | User IO | | 15 | | | | | | | | | | | | | | -| B22 | | High Range | IO_L21N_T3_DQS_A18_15 | User IO | | 15 | | | | | | | | | | | | | | -| C1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| C2 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | -| C3 | | | MGTXRXN2_115 | Gigabit | | | | | | | | | | | | | | | | -| C4 | | | MGTXRXP2_115 | Gigabit | | | | | | | | | | | | | | | | -| C5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| C6 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | -| C7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| C8 | | High Range | IO_L22P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | -| C9 | | High Range | IO_L19N_T3_VREF_16 | User IO | | 16 | | | | | | | | | | | | | | -| C10 | | High Range | IO_L7N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | -| C11 | | High Range | VCCO_16 | VCCO | | 16 | | | | | any** | | | | | | | | | -| C12 | | High Range | IO_L2P_T0_AD8P_15 | User IO | | 15 | | | | | | | | | | | | | | -| C13 | | High Range | IO_L5P_T0_AD2P_15 | User IO | | 15 | | | | | | | | | | | | | | -| C14 | | High Range | IO_L7P_T1_AD10P_15 | User IO | | 15 | | | | | | | | | | | | | | -| C15 | | High Range | IO_L7N_T1_AD10N_15 | User IO | | 15 | | | | | | | | | | | | | | -| C16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| C17 | | High Range | IO_L12P_T1_MRCC_AD5P_15 | User IO | | 15 | | | | | | | | | | | | | | -| C18 | | High Range | IO_L12N_T1_MRCC_AD5N_15 | User IO | | 15 | | | | | | | | | | | | | | -| C19 | | High Range | IO_L19P_T3_A22_15 | User IO | | 15 | | | | | | | | | | | | | | -| C20 | | High Range | IO_L19N_T3_A21_VREF_15 | User IO | | 15 | | | | | | | | | | | | | | -| C21 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | -| C22 | | High Range | IO_L21P_T3_DQS_15 | User IO | | 15 | | | | | | | | | | | | | | -| D1 | | | MGTXTXN1_115 | Gigabit | | | | | | | | | | | | | | | | -| D2 | | | MGTXTXP1_115 | Gigabit | | | | | | | | | | | | | | | | -| D3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| D4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| D5 | | | MGTREFCLK0N_115 | Gigabit | | | | | | | | | | | | | | | | -| D6 | | | MGTREFCLK0P_115 | Gigabit | | | | | | | | | | | | | | | | -| D7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| D8 | | High Range | VCCO_16 | VCCO | | 16 | | | | | any** | | | | | | | | | -| D9 | | High Range | IO_L19P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | -| D10 | | High Range | IO_L7P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | -| D11 | | High Range | IO_L12N_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | -| D12 | | High Range | IO_0_15 | User IO | | 15 | | | | | | | | | | | | | | -| D13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| D14 | | High Range | IO_L6N_T0_VREF_15 | User IO | | 15 | | | | | | | | | | | | | | -| D15 | | High Range | IO_L11P_T1_SRCC_AD12P_15 | User IO | | 15 | | | | | | | | | | | | | | -| D16 | | High Range | IO_L11N_T1_SRCC_AD12N_15 | User IO | | 15 | | | | | | | | | | | | | | -| D17 | | High Range | IO_L14N_T2_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | -| D18 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | -| D19 | | High Range | IO_L18P_T2_A24_15 | User IO | | 15 | | | | | | | | | | | | | | -| D20 | | High Range | IO_L18N_T2_A23_15 | User IO | | 15 | | | | | | | | | | | | | | -| D21 | | High Range | IO_L23P_T3_FOE_B_15 | User IO | | 15 | | | | | | | | | | | | | | -| D22 | | High Range | IO_L23N_T3_FWE_B_15 | User IO | | 15 | | | | | | | | | | | | | | -| E1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| E2 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | -| E3 | | | MGTXRXN1_115 | Gigabit | | | | | | | | | | | | | | | | -| E4 | | | MGTXRXP1_115 | Gigabit | | | | | | | | | | | | | | | | -| E5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| E6 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | -| E7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| E8 | | High Range | IO_24_T3_16 | User IO | | 16 | | | | | | | | | | | | | | -| E9 | | High Range | IO_L15N_T2_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | -| E10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| E11 | | High Range | IO_L12P_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | -| E12 | | High Range | IO_L10N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | -| E13 | | High Range | IO_L10P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | -| E14 | | High Range | IO_L6P_T0_15 | User IO | | 15 | | | | | | | | | | | | | | -| E15 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | -| E16 | | High Range | IO_L14P_T2_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | -| E17 | | High Range | IO_L13P_T2_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | -| E18 | | High Range | IO_L13N_T2_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | -| E19 | | High Range | IO_L17N_T2_A25_15 | User IO | | 15 | | | | | | | | | | | | | | -| E20 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| E21 | | High Range | IO_L7P_T1_D09_14 | User IO | | 14 | | | | | | | | | | | | | | -| E22 | | High Range | IO_L7N_T1_D10_14 | User IO | | 14 | | | | | | | | | | | | | | -| F1 | | | MGTXTXN0_115 | Gigabit | | | | | | | | | | | | | | | | -| F2 | | | MGTXTXP0_115 | Gigabit | | | | | | | | | | | | | | | | -| F3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| F4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| F5 | | | MGTREFCLK1N_115 | Gigabit | | | | | | | | | | | | | | | | -| F6 | | | MGTREFCLK1P_115 | Gigabit | | | | | | | | | | | | | | | | -| F7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| F8 | | High Range | IO_L17N_T2_16 | User IO | | 16 | | | | | | | | | | | | | | -| F9 | | High Range | IO_L15P_T2_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | -| F10 | | High Range | IO_L11N_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | -| F11 | | High Range | IO_L11P_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | -| F12 | | High Range | VCCO_16 | VCCO | | 16 | | | | | any** | | | | | | | | | -| F13 | | High Range | IO_L8N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | -| F14 | | High Range | IO_6_T0_VREF_16 | User IO | | 16 | | | | | | | | | | | | | | -| F15 | | High Range | IO_L3P_T0_DQS_AD1P_15 | User IO | | 15 | | | | | | | | | | | | | | -| F16 | | High Range | IO_L3N_T0_DQS_AD1N_15 | User IO | | 15 | | | | | | | | | | | | | | -| F17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| F18 | | High Range | IO_L17P_T2_A26_15 | User IO | | 15 | | | | | | | | | | | | | | -| F19 | | High Range | IO_L2N_T0_D03_14 | User IO | | 14 | | | | | | | | | | | | | | -| F20 | | High Range | IO_L4N_T0_D05_14 | User IO | | 14 | | | | | | | | | | | | | | -| F21 | | High Range | IO_L9N_T1_DQS_D13_14 | User IO | | 14 | | | | | | | | | | | | | | -| F22 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | -| G1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| G2 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | -| G3 | | | MGTXRXN0_115 | Gigabit | | | | | | | | | | | | | | | | -| G4 | | | MGTXRXP0_115 | Gigabit | | | | | | | | | | | | | | | | -| G5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| G6 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | -| G7 | | Dedicated | CCLK_0 | Config | | 0 | | | | | | | | | | | | | | -| G8 | | High Range | IO_L17P_T2_16 | User IO | | 16 | | | | | | | | | | | | | | -| G9 | | High Range | VCCO_16 | VCCO | | 16 | | | | | any** | | | | | | | | | -| G10 | | High Range | IO_L13N_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | -| G11 | | High Range | IO_L13P_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | -| G12 | | High Range | IO_L14N_T2_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | -| G13 | | High Range | IO_L8P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | -| G14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| G15 | | High Range | IO_L1P_T0_AD0P_15 | User IO | | 15 | | | | | | | | | | | | | | -| G16 | | High Range | IO_L1N_T0_AD0N_15 | User IO | | 15 | | | | | | | | | | | | | | -| G17 | | High Range | IO_L15N_T2_DQS_ADV_B_15 | User IO | | 15 | | | | | | | | | | | | | | -| G18 | | High Range | IO_L2P_T0_D02_14 | User IO | | 14 | | | | | | | | | | | | | | -| G19 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | -| G20 | | High Range | IO_L4P_T0_D04_14 | User IO | | 14 | | | | | | | | | | | | | | -| G21 | | High Range | IO_L9P_T1_DQS_14 | User IO | | 14 | | | | | | | | | | | | | | -| G22 | | High Range | IO_L8N_T1_D12_14 | User IO | | 14 | | | | | | | | | | | | | | -| H1 | | | MGTAVTTRCAL_115 | Gigabit | | | | | | | | | | | | | | | | -| H2 | | | MGTRREF_115 | Gigabit | | | | | | | | | | | | | | | | -| H3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| H4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| H5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| H6 | | Dedicated | M1_0 | Config | | 0 | | | | | | | | | | | | | | -| H7 | | Dedicated | M0_0 | Config | | 0 | | | | | | | | | | | | | | -| H8 | | High Range | IO_L16N_T2_16 | User IO | | 16 | | | | | | | | | | | | | | -| H9 | | High Range | IO_L16P_T2_16 | User IO | | 16 | | | | | | | | | | | | | | -| H10 | | High Range | IO_18_T2_16 | User IO | | 16 | | | | | | | | | | | | | | -| H11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| H12 | | High Range | IO_L14P_T2_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | -| H13 | | High Range | IO_L9N_T1_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | -| H14 | | High Range | IO_L9P_T1_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | -| H15 | | High Range | IO_25_15 | User IO | | 15 | | | | | | | | | | | | | | -| H16 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | -| H17 | | High Range | IO_L15P_T2_DQS_15 | User IO | | 15 | | | | | | | | | | | | | | -| H18 | | High Range | IO_L1P_T0_D00_MOSI_14 | User IO | | 14 | | | | | | | | | | | | | | -| H19 | | High Range | IO_L1N_T0_D01_DIN_14 | User IO | | 14 | | | | | | | | | | | | | | -| H20 | | High Range | IO_L11N_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | -| H21 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| H22 | | High Range | IO_L8P_T1_D11_14 | User IO | | 14 | | | | | | | | | | | | | | -| J1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| J2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| J3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| J4 | | | MGTVCCAUX | Gigabit Power | | | | | | | | | | | | | | | | -| J5 | | Dedicated | M2_0 | Config | | 0 | | | | | | | | | | | | | | -| J6 | | Dedicated | TDO_0 | Config | | 0 | | | | | | | | | | | | | | -| J7 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | any** | | | | | | | | | -| J8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| J9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| J10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| J11 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| J12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| J13 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| J14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| J15 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| J16 | | High Range | IO_L16P_T2_A28_15 | User IO | | 15 | | | | | | | | | | | | | | -| J17 | | High Range | IO_L16N_T2_A27_15 | User IO | | 15 | | | | | | | | | | | | | | -| J18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| J19 | | High Range | IO_L3N_T0_DQS_EMCCLK_14 | User IO | | 14 | | | | | | | | | | | | | | -| J20 | | High Range | IO_L11P_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | -| J21 | | High Range | IO_L10P_T1_D14_14 | User IO | | 14 | | | | | | | | | | | | | | -| J22 | | High Range | IO_L10N_T1_D15_14 | User IO | | 14 | | | | | | | | | | | | | | -| K1 | | High Performance | IO_L2P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| K2 | | High Performance | IO_L4N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| K3 | | High Performance | IO_L4P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| K4 | | High Performance | IO_0_VRN_34 | User IO | | 34 | | | | | | | | | | | | | | -| K5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K6 | | Dedicated | TDI_0 | Config | | 0 | | | | | | | | | | | | | | -| K7 | | Dedicated | TCK_0 | Config | | 0 | | | | | | | | | | | | | | -| K8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| K9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K10 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| K11 | | Dedicated | GNDADC_0 | XADC | | 0 | | | | | | | | | | | | | | -| K12 | | Dedicated | VCCADC_0 | XADC | | 0 | | | | | | | | | | | | | | -| K13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K14 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| K15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K16 | | High Range | IO_0_14 | User IO | | 14 | | | | | | | | | | | | | | -| K17 | | High Range | IO_L6N_T0_D08_VREF_14 | User IO | | 14 | | | | | | | | | | | | | | -| K18 | | High Range | IO_L3P_T0_DQS_PUDC_B_14 | User IO | | 14 | | | | | | | | | | | | | | -| K19 | | High Range | IO_L5N_T0_D07_14 | User IO | | 14 | | | | | | | | | | | | | | -| K20 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | -| K21 | | High Range | IO_L16P_T2_CSI_B_14 | User IO | | 14 | | | | | | | | | | | | | | -| K22 | | High Range | IO_L16N_T2_A15_D31_14 | User IO | | 14 | | | | | | | | | | | | | | -| L1 | | High Performance | IO_L2N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| L2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| L3 | | High Performance | IO_L1P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| L4 | | High Performance | IO_L6N_T0_VREF_34 | User IO | | 34 | | | | | | | | | | | | | | -| L5 | | High Performance | IO_L6P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| L6 | | Dedicated | TMS_0 | Config | | 0 | | | | | | | | | | | | | | -| L7 | | Dedicated | INIT_B_0 | Config | | 0 | | | | | | | | | | | | | | -| L8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| L9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| L10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| L11 | | Dedicated | VREFN_0 | XADC | | 0 | | | | | | | | | | | | | | -| L12 | | Dedicated | VP_0 | XADC | | 0 | | | | | | | | | | | | | | -| L13 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | -| L14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| L15 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| L16 | | High Range | IO_L6P_T0_FCS_B_14 | User IO | | 14 | | | | | | | | | | | | | | -| L17 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | -| L18 | | High Range | IO_L5P_T0_D06_14 | User IO | | 14 | | | | | | | | | | | | | | -| L19 | | High Range | IO_L12P_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | -| L20 | | High Range | IO_L12N_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | -| L21 | | High Range | IO_L18N_T2_A11_D27_14 | User IO | | 14 | | | | | | | | | | | | | | -| L22 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| M1 | | High Performance | IO_L3N_T0_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| M2 | | High Performance | IO_L3P_T0_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| M3 | | High Performance | IO_L1N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| M4 | | High Performance | VCCO_34 | VCCO | | 34 | | | | | 0.00-1.80 | | | | | | | | | -| M5 | | High Performance | IO_L9P_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| M6 | | Dedicated | PROGRAM_B_0 | Config | | 0 | | | | | | | | | | | | | | -| M7 | | Dedicated | CFGBVS_0 | Config | | 0 | | | | | | | | | | | | | | -| M8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| M9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| M10 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| M11 | | Dedicated | VN_0 | XADC | | 0 | | | | | | | | | | | | | | -| M12 | | Dedicated | VREFP_0 | XADC | | 0 | | | | | | | | | | | | | | -| M13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| M14 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| M15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| M16 | | High Range | IO_25_14 | User IO | | 14 | | | | | | | | | | | | | | -| M17 | | High Range | IO_L14P_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | -| M18 | | High Range | IO_L14N_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | -| M19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| M20 | | High Range | IO_L18P_T2_A12_D28_14 | User IO | | 14 | | | | | | | | | | | | | | -| M21 | | High Range | IO_L17N_T2_A13_D29_14 | User IO | | 14 | | | | | | | | | | | | | | -| M22 | | High Range | IO_L15N_T2_DQS_DOUT_CSO_B_14 | User IO | | 14 | | | | | | | | | | | | | | -| N1 | | High Performance | VCCO_34 | VCCO | | 34 | | | | | 0.00-1.80 | | | | | | | | | -| N2 | | High Performance | IO_L5N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| N3 | | High Performance | IO_L5P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| N4 | | High Performance | IO_L9N_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| N5 | | High Performance | IO_L18P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| N6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| N7 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | any** | | | | | | | | | -| N8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| N9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| N10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| N11 | | Dedicated | DXN_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | -| N12 | | Dedicated | DXP_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | -| N13 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | -| N14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| N15 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| N16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| N17 | | High Range | IO_L23N_T3_A02_D18_14 | User IO | | 14 | | | | | | | | | | | | | | -| N18 | | High Range | IO_L13P_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | -| N19 | | High Range | IO_L13N_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | -| N20 | | High Range | IO_L17P_T2_A14_D30_14 | User IO | | 14 | | | | | | | | | | | | | | -| N21 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | -| N22 | | High Range | IO_L15P_T2_DQS_RDWR_B_14 | User IO | | 14 | | | | | | | | | | | | | | -| P1 | | High Performance | IO_L8P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| P2 | | High Performance | IO_L7P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| P3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P4 | | High Performance | IO_L11P_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| P5 | | High Performance | IO_L18N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| P6 | | Dedicated | DONE_0 | Config | | 0 | | | | | | | | | | | | | | -| P7 | | Dedicated | VCCBATT_0 | Config | | 0 | | | | | | | | | | | | | | -| P8 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| P9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P10 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| P11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| P13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P14 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| P15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P16 | | High Range | IO_L23P_T3_A03_D19_14 | User IO | | 14 | | | | | | | | | | | | | | -| P17 | | High Range | IO_L21N_T3_DQS_A06_D22_14 | User IO | | 14 | | | | | | | | | | | | | | -| P18 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | -| P19 | | High Range | IO_L20P_T3_A08_D24_14 | User IO | | 14 | | | | | | | | | | | | | | -| P20 | | High Range | IO_L20N_T3_A07_D23_14 | User IO | | 14 | | | | | | | | | | | | | | -| P21 | | High Range | IO_L22P_T3_A05_D21_14 | User IO | | 14 | | | | | | | | | | | | | | -| P22 | | High Range | IO_L22N_T3_A04_D20_14 | User IO | | 14 | | | | | | | | | | | | | | -| R1 | | High Performance | IO_L8N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| R2 | | High Performance | IO_L7N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| R3 | | High Performance | IO_L12P_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| R4 | | High Performance | IO_L11N_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| R5 | | High Performance | VCCO_34 | VCCO | | 34 | | | | | 0.00-1.80 | | | | | | | | | -| R6 | | High Performance | IO_L8N_T1_33 | User IO | | 33 | | | | | | | | | | | | | | -| R7 | | High Performance | IO_L8P_T1_33 | User IO | | 33 | | | | | | | | | | | | | | -| R8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| R9 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| R10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| R11 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| R12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| R13 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | -| R14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| R15 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| R16 | reset | High Range | IO_L20P_T3_13 | INPUT | LVCMOS18* | 13 | | | | NONE | | UNFIXED | | | | NONE | | | | -| R17 | | High Range | IO_L21P_T3_DQS_14 | User IO | | 14 | | | | | | | | | | | | | | -| R18 | | High Range | IO_L19P_T3_A10_D26_14 | User IO | | 14 | | | | | | | | | | | | | | -| R19 | | High Range | IO_L19N_T3_A09_D25_VREF_14 | User IO | | 14 | | | | | | | | | | | | | | -| R20 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| R21 | | High Range | IO_L24P_T3_A01_D17_14 | User IO | | 14 | | | | | | | | | | | | | | -| R22 | | High Range | IO_L24N_T3_A00_D16_14 | User IO | | 14 | | | | | | | | | | | | | | -| T1 | | High Performance | IO_L10P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| T2 | | High Performance | VCCO_34 | VCCO | | 34 | | | | | 0.00-1.80 | | | | | | | | | -| T3 | | High Performance | IO_L12N_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| T4 | | High Performance | IO_L13P_T2_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| T5 | | High Performance | IO_L16P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| T6 | | High Performance | IO_0_VRN_33 | User IO | | 33 | | | | | | | | | | | | | | -| T7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| T8 | | High Performance | IO_L18N_T2_33 | User IO | | 33 | | | | | | | | | | | | | | -| T9 | | High Performance | IO_L18P_T2_33 | User IO | | 33 | | | | | | | | | | | | | | -| T10 | | High Performance | IO_L16N_T2_33 | User IO | | 33 | | | | | | | | | | | | | | -| T11 | | High Performance | IO_L16P_T2_33 | User IO | | 33 | | | | | | | | | | | | | | -| T12 | | High Performance | VCCO_33 | VCCO | | 33 | | | | | 0.00-1.80 | | | | | | | | | -| T13 | | High Performance | IO_L24P_T3_33 | User IO | | 33 | | | | | | | | | | | | | | -| T14 | | High Performance | IO_25_VRP_33 | User IO | | 33 | | | | | | | | | | | | | | -| T15 | result[2] | High Range | IO_L24P_T3_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| T16 | done | High Range | IO_L20N_T3_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| T17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| T18 | | High Range | IO_L3P_T0_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | -| T19 | | High Range | IO_0_13 | User IO | | 13 | | | | | | | | | | | | | | -| T20 | | High Range | IO_L6P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | -| T21 | | High Range | IO_L1P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | -| T22 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 1.80 | | | | | | | | | -| U1 | | High Performance | IO_L10N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| U2 | | High Performance | IO_L15P_T2_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| U3 | | High Performance | IO_L13N_T2_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| U4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| U5 | | High Performance | IO_L16N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| U6 | | High Performance | IO_L10N_T1_33 | User IO | | 33 | | | | | | | | | | | | | | -| U7 | | High Performance | IO_L10P_T1_33 | User IO | | 33 | | | | | | | | | | | | | | -| U8 | | High Performance | IO_L9P_T1_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | -| U9 | | High Performance | VCCO_33 | VCCO | | 33 | | | | | 0.00-1.80 | | | | | | | | | -| U10 | | High Performance | IO_L14P_T2_SRCC_33 | User IO | | 33 | | | | | | | | | | | | | | -| U11 | | High Performance | IO_L17N_T2_33 | User IO | | 33 | | | | | | | | | | | | | | -| U12 | | High Performance | IO_L17P_T2_33 | User IO | | 33 | | | | | | | | | | | | | | -| U13 | | High Performance | IO_L24N_T3_33 | User IO | | 33 | | | | | | | | | | | | | | -| U14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| U15 | result[1] | High Range | IO_L24N_T3_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| U16 | | High Range | IO_L19P_T3_13 | User IO | | 13 | | | | | | | | | | | | | | -| U17 | | High Range | IO_L5P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | -| U18 | | High Range | IO_L3N_T0_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | -| U19 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 1.80 | | | | | | | | | -| U20 | | High Range | IO_L6N_T0_VREF_13 | User IO | | 13 | | | | | | | | | | | | | | -| U21 | | High Range | IO_L1N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | -| U22 | | High Range | IO_L2P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | -| V1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| V2 | | High Performance | IO_L15N_T2_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| V3 | | High Performance | IO_L17P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| V4 | | High Performance | IO_L14P_T2_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| V5 | | High Performance | IO_25_VRP_34 | User IO | | 34 | | | | | | | | | | | | | | -| V6 | | High Performance | VCCO_33 | VCCO | | 33 | | | | | 0.00-1.80 | | | | | | | | | -| V7 | | High Performance | IO_L11P_T1_SRCC_33 | User IO | | 33 | | | | | | | | | | | | | | -| V8 | | High Performance | IO_L9N_T1_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | -| V9 | | High Performance | IO_L14N_T2_SRCC_33 | User IO | | 33 | | | | | | | | | | | | | | -| V10 | | High Performance | IO_L15P_T2_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | -| V11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| V12 | | High Performance | IO_L23N_T3_33 | User IO | | 33 | | | | | | | | | | | | | | -| V13 | | High Performance | IO_L23P_T3_33 | User IO | | 33 | | | | | | | | | | | | | | -| V14 | result[0] | High Range | IO_25_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| V15 | result[4] | High Range | IO_L23P_T3_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| V16 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 1.80 | | | | | | | | | -| V17 | | High Range | IO_L19N_T3_VREF_13 | User IO | | 13 | | | | | | | | | | | | | | -| V18 | | High Range | IO_L5N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | -| V19 | | High Range | IO_L12P_T1_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | -| V20 | clk | High Range | IO_L11P_T1_SRCC_13 | INPUT | LVCMOS18* | 13 | | | | NONE | | UNFIXED | | | | NONE | | | | -| V21 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| V22 | | High Range | IO_L2N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | -| W1 | | High Performance | IO_L20P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| W2 | | High Performance | IO_L17N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| W3 | | High Performance | VCCO_34 | VCCO | | 34 | | | | | 0.00-1.80 | | | | | | | | | -| W4 | | High Performance | IO_L14N_T2_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| W5 | | High Performance | IO_L19P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| W6 | | High Performance | IO_L7P_T1_33 | User IO | | 33 | | | | | | | | | | | | | | -| W7 | | High Performance | IO_L11N_T1_SRCC_33 | User IO | | 33 | | | | | | | | | | | | | | -| W8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| W9 | | High Performance | IO_L13P_T2_MRCC_33 | User IO | | 33 | | | | | | | | | | | | | | -| W10 | | High Performance | IO_L15N_T2_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | -| W11 | | High Performance | IO_L6P_T0_33 | User IO | | 33 | | | | | | | | | | | | | | -| W12 | | High Performance | IO_L19P_T3_33 | User IO | | 33 | | | | | | | | | | | | | | -| W13 | | High Performance | VCCO_33 | VCCO | | 33 | | | | | 0.00-1.80 | | | | | | | | | -| W14 | result[6] | High Range | IO_L22P_T3_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| W15 | result[3] | High Range | IO_L23N_T3_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| W16 | result[8] | High Range | IO_L21P_T3_DQS_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| W17 | | High Range | IO_L14P_T2_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | -| W18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| W19 | | High Range | IO_L12N_T1_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | -| W20 | | High Range | IO_L11N_T1_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | -| W21 | | High Range | IO_L4P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | -| W22 | | High Range | IO_L4N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | -| Y1 | | High Performance | IO_L20N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| Y2 | | High Performance | IO_L21N_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| Y3 | | High Performance | IO_L21P_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| Y4 | | High Performance | IO_L19N_T3_VREF_34 | User IO | | 34 | | | | | | | | | | | | | | -| Y5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| Y6 | | High Performance | IO_L7N_T1_33 | User IO | | 33 | | | | | | | | | | | | | | -| Y7 | | High Performance | IO_L12N_T1_MRCC_33 | User IO | | 33 | | | | | | | | | | | | | | -| Y8 | | High Performance | IO_L12P_T1_MRCC_33 | User IO | | 33 | | | | | | | | | | | | | | -| Y9 | | High Performance | IO_L13N_T2_MRCC_33 | User IO | | 33 | | | | | | | | | | | | | | -| Y10 | | High Performance | VCCO_33 | VCCO | | 33 | | | | | 0.00-1.80 | | | | | | | | | -| Y11 | | High Performance | IO_L6N_T0_VREF_33 | User IO | | 33 | | | | | | | | | | | | | | -| Y12 | | High Performance | IO_L19N_T3_VREF_33 | User IO | | 33 | | | | | | | | | | | | | | -| Y13 | | High Performance | IO_L21P_T3_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | -| Y14 | result[5] | High Range | IO_L22N_T3_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| Y15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| Y16 | result[7] | High Range | IO_L21N_T3_DQS_13 | OUTPUT | LVCMOS18* | 13 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| Y17 | | High Range | IO_L14N_T2_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | -| Y18 | | High Range | IO_L13P_T2_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | -| Y19 | | High Range | IO_L13N_T2_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | -| Y20 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 1.80 | | | | | | | | | -| Y21 | | High Range | IO_L7P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | -| Y22 | | High Range | IO_L7N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | -+------------+-------------+------------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+-----------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ -* Default value -** Special VCCO requirements may apply. Please consult the device family datasheet for specific guideline on VCCO requirements. - - diff --git a/lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.pb b/lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.pb deleted file mode 100644 index d40234ba984ec7180f404bcc2fb74b0678fd5bd6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 52 zcmd;LGcqtV(=(jJEajV8l98X1pOc?nso - Max violations: - Violations found: 59 -+-----------+----------+-----------------------------+------------+ -| Rule | Severity | Description | Violations | -+-----------+----------+-----------------------------+------------+ -| TIMING-17 | Warning | Non-clocked sequential cell | 59 | -+-----------+----------+-----------------------------+------------+ - -2. REPORT DETAILS ------------------ -TIMING-17#1 Warning -Non-clocked sequential cell -The clock pin EM/dM/memory_reg/CLKARDCLK is not reached by a timing clock -Related violations: - -TIMING-17#2 Warning -Non-clocked sequential cell -The clock pin FD/FetchU/PC/Dout_reg[0]/C is not reached by a timing clock -Related violations: - -TIMING-17#3 Warning -Non-clocked sequential cell -The clock pin FD/FetchU/PC/Dout_reg[1]/C is not reached by a timing clock -Related violations: - -TIMING-17#4 Warning -Non-clocked sequential cell -The clock pin FD/FetchU/PC/Dout_reg[2]/C is not reached by a timing clock -Related violations: - -TIMING-17#5 Warning -Non-clocked sequential cell -The clock pin FD/RF/r0/Dout_reg[0]/C is not reached by a timing clock -Related violations: - -TIMING-17#6 Warning -Non-clocked sequential cell -The clock pin FD/RF/r0/Dout_reg[1]/C is not reached by a timing clock -Related violations: - -TIMING-17#7 Warning -Non-clocked sequential cell -The clock pin FD/RF/r0/Dout_reg[2]/C is not reached by a timing clock -Related violations: - -TIMING-17#8 Warning -Non-clocked sequential cell -The clock pin FD/RF/r0/Dout_reg[3]/C is not reached by a timing clock -Related violations: - -TIMING-17#9 Warning -Non-clocked sequential cell -The clock pin FD/RF/r0/Dout_reg[4]/C is not reached by a timing clock -Related violations: - -TIMING-17#10 Warning -Non-clocked sequential cell -The clock pin FD/RF/r0/Dout_reg[5]/C is not reached by a timing clock -Related violations: - -TIMING-17#11 Warning -Non-clocked sequential cell -The clock pin FD/RF/r0/Dout_reg[6]/C is not reached by a timing clock -Related violations: - -TIMING-17#12 Warning -Non-clocked sequential cell -The clock pin FD/RF/r0/Dout_reg[7]/C is not reached by a timing clock -Related violations: - -TIMING-17#13 Warning -Non-clocked sequential cell -The clock pin FD/RF/r0/Dout_reg[8]/C is not reached by a timing clock -Related violations: - -TIMING-17#14 Warning -Non-clocked sequential cell -The clock pin FD/RF/r1/Dout_reg[0]/C is not reached by a timing clock -Related violations: - -TIMING-17#15 Warning -Non-clocked sequential cell -The clock pin FD/RF/r1/Dout_reg[1]/C is not reached by a timing clock -Related violations: - -TIMING-17#16 Warning -Non-clocked sequential cell -The clock pin FD/RF/r1/Dout_reg[2]/C is not reached by a timing clock -Related violations: - -TIMING-17#17 Warning -Non-clocked sequential cell -The clock pin FD/RF/r1/Dout_reg[3]/C is not reached by a timing clock -Related violations: - -TIMING-17#18 Warning -Non-clocked sequential cell -The clock pin FD/RF/r1/Dout_reg[4]/C is not reached by a timing clock -Related violations: - -TIMING-17#19 Warning -Non-clocked sequential cell -The clock pin FD/RF/r1/Dout_reg[5]/C is not reached by a timing clock -Related violations: - -TIMING-17#20 Warning -Non-clocked sequential cell -The clock pin FD/RF/r1/Dout_reg[6]/C is not reached by a timing clock -Related violations: - -TIMING-17#21 Warning -Non-clocked sequential cell -The clock pin FD/RF/r1/Dout_reg[7]/C is not reached by a timing clock -Related violations: - -TIMING-17#22 Warning -Non-clocked sequential cell -The clock pin FD/RF/r1/Dout_reg[8]/C is not reached by a timing clock -Related violations: - -TIMING-17#23 Warning -Non-clocked sequential cell -The clock pin pipe1/Dout_reg[12]/C is not reached by a timing clock -Related violations: - -TIMING-17#24 Warning -Non-clocked sequential cell -The clock pin pipe1/Dout_reg[24]/C is not reached by a timing clock -Related violations: - -TIMING-17#25 Warning -Non-clocked sequential cell -The clock pin pipe1/Dout_reg[25]/C is not reached by a timing clock -Related violations: - -TIMING-17#26 Warning -Non-clocked sequential cell -The clock pin pipe1/Dout_reg[26]/C is not reached by a timing clock -Related violations: - -TIMING-17#27 Warning -Non-clocked sequential cell -The clock pin pipe1/Dout_reg[27]/C is not reached by a timing clock -Related violations: - -TIMING-17#28 Warning -Non-clocked sequential cell -The clock pin pipe1/Dout_reg[28]/C is not reached by a timing clock -Related violations: - -TIMING-17#29 Warning -Non-clocked sequential cell -The clock pin pipe1/Dout_reg[29]/C is not reached by a timing clock -Related violations: - -TIMING-17#30 Warning -Non-clocked sequential cell -The clock pin pipe1/Dout_reg[2]/C is not reached by a timing clock -Related violations: - -TIMING-17#31 Warning -Non-clocked sequential cell -The clock pin pipe1/Dout_reg[30]/C is not reached by a timing clock -Related violations: - -TIMING-17#32 Warning -Non-clocked sequential cell -The clock pin pipe1/Dout_reg[31]/C is not reached by a timing clock -Related violations: - -TIMING-17#33 Warning -Non-clocked sequential cell -The clock pin pipe1/Dout_reg[32]/C is not reached by a timing clock -Related violations: - -TIMING-17#34 Warning -Non-clocked sequential cell -The clock pin pipe1/Dout_reg[33]/C is not reached by a timing clock -Related violations: - -TIMING-17#35 Warning -Non-clocked sequential cell -The clock pin pipe1/Dout_reg[34]/C is not reached by a timing clock -Related violations: - -TIMING-17#36 Warning -Non-clocked sequential cell -The clock pin pipe1/Dout_reg[35]/C is not reached by a timing clock -Related violations: - -TIMING-17#37 Warning -Non-clocked sequential cell -The clock pin pipe1/Dout_reg[36]/C is not reached by a timing clock -Related violations: - -TIMING-17#38 Warning -Non-clocked sequential cell -The clock pin pipe1/Dout_reg[37]/C is not reached by a timing clock -Related violations: - -TIMING-17#39 Warning -Non-clocked sequential cell -The clock pin pipe1/Dout_reg[38]/C is not reached by a timing clock -Related violations: - -TIMING-17#40 Warning -Non-clocked sequential cell -The clock pin pipe1/Dout_reg[39]/C is not reached by a timing clock -Related violations: - -TIMING-17#41 Warning -Non-clocked sequential cell -The clock pin pipe1/Dout_reg[40]/C is not reached by a timing clock -Related violations: - -TIMING-17#42 Warning -Non-clocked sequential cell -The clock pin pipe1/Dout_reg[41]/C is not reached by a timing clock -Related violations: - -TIMING-17#43 Warning -Non-clocked sequential cell -The clock pin pipe1/Dout_reg[45]/C is not reached by a timing clock -Related violations: - -TIMING-17#44 Warning -Non-clocked sequential cell -The clock pin pipe1/Dout_reg[7]/C is not reached by a timing clock -Related violations: - -TIMING-17#45 Warning -Non-clocked sequential cell -The clock pin pipe2/Dout_reg[0]/C is not reached by a timing clock -Related violations: - -TIMING-17#46 Warning -Non-clocked sequential cell -The clock pin pipe2/Dout_reg[25]/C is not reached by a timing clock -Related violations: - -TIMING-17#47 Warning -Non-clocked sequential cell -The clock pin pipe2/Dout_reg[26]/C is not reached by a timing clock -Related violations: - -TIMING-17#48 Warning -Non-clocked sequential cell -The clock pin pipe2/Dout_reg[27]/C is not reached by a timing clock -Related violations: - -TIMING-17#49 Warning -Non-clocked sequential cell -The clock pin pipe2/Dout_reg[28]/C is not reached by a timing clock -Related violations: - -TIMING-17#50 Warning -Non-clocked sequential cell -The clock pin pipe2/Dout_reg[29]/C is not reached by a timing clock -Related violations: - -TIMING-17#51 Warning -Non-clocked sequential cell -The clock pin pipe2/Dout_reg[30]/C is not reached by a timing clock -Related violations: - -TIMING-17#52 Warning -Non-clocked sequential cell -The clock pin pipe2/Dout_reg[31]/C is not reached by a timing clock -Related violations: - -TIMING-17#53 Warning -Non-clocked sequential cell -The clock pin pipe2/Dout_reg[32]/C is not reached by a timing clock -Related violations: - -TIMING-17#54 Warning -Non-clocked sequential cell -The clock pin pipe2/Dout_reg[33]/C is not reached by a timing clock -Related violations: - -TIMING-17#55 Warning -Non-clocked sequential cell -The clock pin pipe2/Dout_reg[34]/C is not reached by a timing clock -Related violations: - -TIMING-17#56 Warning -Non-clocked sequential cell -The clock pin pipe2/Dout_reg[35]/C is not reached by a timing clock -Related violations: - -TIMING-17#57 Warning -Non-clocked sequential cell -The clock pin pipe2/Dout_reg[36]/C is not reached by a timing clock -Related violations: - -TIMING-17#58 Warning -Non-clocked sequential cell -The clock pin pipe2/Dout_reg[3]/C is not reached by a timing clock -Related violations: - -TIMING-17#59 Warning -Non-clocked sequential cell -The clock pin pipe2/Dout_reg[5]/C is not reached by a timing clock -Related violations: - - diff --git a/lab2CA.runs/impl_1/CPU9bits_opt.dcp b/lab2CA.runs/impl_1/CPU9bits_opt.dcp deleted file mode 100644 index 369b44cb01a920a4081e33cabb6316afb352909a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 41132 zcmb5WW0WPq)-77LZFkvrm(gWcb=hW@ZQHhOcGb zvE>IU8}+6LMSE5ysK33YsYbCXy_w?zxJJ#G4!~>{+OW;K%=7eir`h_0L|glXW#hq% zwlUj6e(^uh(LDQ~I<`Snk(h(AL9l`{lmYG1`NAkZm92Zk6XmnX!vBp5;wm+Vyn0tXLGAMN z*q3v(uJP9pCZ}Bg{)wOYK^zMSb$I2yvW5yy^`X@s)-rXMbRS*|D%MCQ`zvSodXp|j z)FrW{nl^T|`Ra7<++CBUqbnGn zW{1C_W+gR6-e%p7h=Q(Ncnv;>zvr;g4mm_8FJPZ1sKvTG;K?F?hK zF@Jm}Y{R#Ga=t!}Hg8|g7F$m5WNwZyzh7*8*W&Y>&S}0oa=5u>>^4S2jHtO=MpV=7 zcAB%PUq5-|-V~(3cCOc+`-eZ|di;I={m*GXLqdS$5~1^#eWK5l zj~wEocbBgB@2|tp%eUM8ckkqP2cECH6vfok7YFx&8ogcz-|rmt zV@GN)0=5adnZn%{9(z5+Z3l#T7`*r9Pt0!g-J0Gz?hf6V-kP;MwRvejQ&~XgSTU?_ zr@wo*b#}D9y}OtmJ-#g?-W|Grn#aZ9Wo&w?9-%sV|C)DmV#dfJ`21wQ`kkIBO^<*$ zAnfp~HuY<@E$jOR?Iq!W?eE3WgWKcl2jf|4+m=?ZREF=`UYRaqH4gg@EuP1}PtUa& zlJ8=Eug`O&nA*~d9-VjZfqoGazh3Og9vimc>h65y zzKWE48Y;;$eIM>9{HlNZT#b-rcGU-s$!=uGZruKqH04TXd8GZ^)g~cG4(7A$_QZIb z`J>^ne>1o>nEG+do8ax1Vg%m8cUTrek&U?Nf9X3qVZT+UfyU~2X4tea!=p-P?FBO4t%ad zdq{llYcq9M&R0D5_+0x0X7RiKN~@X`%NYyxwdOwmsh47n62o3r1aIwXXug~Gnrg~|IN0zW{HxJ zfvuo`e?s4u@N~XPBnvmcw^+e8ftY&zp3b_qbh(Yvn$7~~?fRevJ--^rt+W!;l-uIb zr|IJs5NIx+PwW}=50^Q@2oA!C3df+O48;f9L2;oCE;2NiKef&!$2)BA4*R#Wwu`dX zzIShIPJH+5{<<1``1)L)9rc-vMvx$ERqaPTf05-yhs2Zoi{>Rw_r)`l?kI;Yrn&{rdYU7GGbe|o%c$lcQuDHc z&iF#{CqXuz&eWrYjD*;9x8tghT`t!ke^CCy`u^z6`T6~xgDv~k_}A)m&acL&nvF)s z>_eZ|w67D>3pweJvFt7<)8&rZl>O1env(tbf_b&C^e6@dlWzR%u4;2)4Su4A{rQ_h z8+R`L{;C&*z4M)W%9h5E5{d@hZGy~fX++({1Ji_vlas-lt%Cxg3(-3K@5K7Bo`Kg=_=6H~-l$j3d8b(WDl0_aW3b2(Re zk_#D3kk9`-?ADQe1n5#gepQ-3MMqZ#?vL7rSOqCJ6LwAEpB=Cjw>?{5g|hR2L7Th( zp8UqQ%T4Lxd}XDgLDJnt*Uua8HqSQ`6l&GS6^(9>M!UGTk|%#Be(i!F!WG z%|o?MF6Po<-;qEvgtaN@XA*gdu;h^Q z8UWqkgUkQ*fyA)p{QBS?jKZXcwcEypQ$B&VFovh)E`(a;blZgR%I1o&yd-s_fQ|FoLWy?4xdDo=KC&?t%u5 zg{lsQY*u5wNn7;pLS-y-UNPQYZ>q2yHurm5I9py2Bd zQd#HpQh!_HH*EDc4Q%&$!Oqjqc#pi^iP}Vn3lu^+xF}s0L~KI$=XNweH?S1N=S~Xm zS`VXJk;Ocq17DVP=v9@74Ot@;#J6w*b1I!gUdUT2{%!kB>)bz^`suV917 z_($kWPo!Y>iWw8u#$Ni+gs1+QN9smCoHf1q@lg+bvp4iLet5dYnTi98rbLc4!60V= zAzVN)>4XE}tnP;&-vbeXXrR~E6HQ%9in6j}7Nk`1&5l5?V(xjP56a&df~i-+_B2s-kA4E#^2unnsw~#Laxa0no>AdkDxwaSkax*80d2Xv z2Y1Yi+?0gX2-EqtWT)>|vnqI+@104*?&QIi!nn5L%AkEuf96&M{_Qk@dnt%I7)0L1 zue@VJcp1E}`rCBxj34}RDXx0mw(D8aV&+D|G5(5W|NHazz37hHP3&mg%Tp7%7w?Y7 zbzCM`@Z(cC|C*#NTZ-4Atg1_I9yPgj=kBbl(#3R(j_u3W)-DFwZXm@k*>=`wZBFQ# zaADFoqYtB8u#7ozo6O&c%#FeI(Cz%}8QBl`1|wCTu~vtpL6><*zqnPPB6=Y)+Ien4 zC8LMIM`nJ(cm)h1l5ss^5DCXn+%KjPN;qWF2?S^VIHqCx9($1b(-)2}az;(tn`rc= z0SMb2BCn?QT_8Td7?}C~Tu@i$#g9yU2*l_(EuhQc7W4!mfGt)fwZ5$(*!@C~t%KQ^ zXj`8G&S_YglvXKN2!UIzl&3h|D2*A-Va8l&lW9?4JTWgeU<&Cpy6n%-Vz?kYM+bQq zX|^q9W6FcvJO6gWa;g+6VPeQElm&bx_zr1o7_MWh+*9>!xH~bt7lGWpHv3Z>LcTmN4Kd$Sb5|$26Zr}n|NzluM2h3|MWdf4S9b1 zW8jjgEUN$bC552N=iuSw-r>=5Ykt&T#=+<5MBn-DV|RVgAWcA#wsCW|+98+*9wlC; z>mW8Tfq~1jFrhg`_UZ+bJEZgSQb42J^}3|?Xi&R`&t-JyB}L!X2aL;;w!bE_YkTKu zJ%U2&*Nt?@=B#6&?Y(_CR6@0~NM{#qfzGy+L`51g-$<;MXaS>0;6dv?DjRVRQ%n0^c35qiNx-<_9~FI_R8u#_Fl@i{qtf;hu`oMVRu!{7X9-*3oUQ);gN5E#Id(z8gUo4xK$5TZK7jDJ&S;x{`hl;A{59KwG?xI* zpe~IzYjHFgAE|9@xikmS#Kphi-uSia)xS?$l+}<2chPnVO|zh=5!t)`4Q=4SsDE^Q-p@c@%g4rGJ>g z)&>(XdIP>}(?XGBrCRJzb-+32D2{6{F)4QpTI`_&Bv6(3r=dC6#TANz#|TOmuR_%g zkuD>|36AF}T>T3bw5GJYT(eM6XS)cTnw+!c+3HIH0iR*d#-+%HS}8M(k^mkyxO%IY z7_rW*Lf#CzH9nSViu>-Vc~KZ<3T^ls9AFpHf=l?cgK7RcPO8J~bdi3Dg`BanIKk~d?M`ZTCX#$s)6f_u*a zdnN=6d@{KmmN#QrI<`}SXmKF|k3Q;vhfA*mAxK|CGdxgP9AfkX?fYyN9F*vFpH}vy zwocUerAaJYXyNT;QROYG&2o}>oOH(#?>1HZql(yqF}VuD#!AHq)#KK$(~P(dO9a*f z`xe#mM;+SN%Zgk!-f*TwyU?$luTo>Q=pffkEA+GLxKkRjsKFqo#lYvrgY{&Ag{Eh> zqt%!F_M$Sc+jn{N3&wOaCn8Yv5j{hJSL)c$A>VstqRuGbQDvglAz$BACVr%TlMNGJ zU)uNwP~eV4s_yC%oZKysJAxwXq|efcZ+a|Bb7T$oovU zpRS|T^IPR&!*# z>SO@P%5IN^Rmt68he6bzyQ%Hoh+Ytyf zA74^!hY5m4FbicIOkV{~Z~~C<%#iiNjcY*3MJIe`j$X;rHcQY$OuQ+Ik2p_FL( z@fkJL@)&#LxR8sh$^}lwP>WrrP|DSXnz%~&$|nUc6s!>}a*)@bLNDG19zhM>i0eL} z8WAP2?xdE4RFox9GQ+krkG)k==gm7}gE$$$~?~&KQ*v$6b99 zL}())MUWzqv2I&P9K3Z78<6(D7(jtR%beh#ynJ5Pt$5gVJp&60sTKq$&Jy2TfE-2O zBas=U{$;le8w6c>+JpKBTIs?|M*00Fx)E)=8-#;GVXk2#bD+26%lO5%oDip^al$uI zeNXH3mUZ~v8d<0FG8R@9;m8tX-Dy=^OQ$`F)~r&P*4LcNqO`K4Dh6}LJS?rRX`f1E z)$3CfTrWFI5mqTWMhrQP_scx4Y;UbvR1Bs91DmSyr%_?8MO<88`9C0y^8CMmf2HWI zbCmI65|dGxL>k^L(m76l)e2kk!BL~-kfx{=&T1^sz8``8SZR{mfIQDp9CDMjDwe{0 zfFiaNY2F-qdAh>7Nii}TpG}fN1*8X;g&M1+m~{h!*uwpcs#q1?GSfn}?{egtNnT{> z$!tyJPp&}2Qf;O>?54>dI12NiM%YabjYIMseI}$96-Dg%#lthq7Kc5NdCsCsCJXGg z`XW`$Mfs}Hy{?MRNEY$K2BrOc7~@{_730(gv>#j}rW!0|b!a=*WoEWuD7jg%626b< zM8H2Egh3j~L%_@i;h<;SF;H?B$;kvP1-*8{e!A*FNFAYB^BmzgUoKGZga24khtgIG zT&T^AIJ);e$rP77x||sq38Z5clFlttU=fVYnR1(Pyo^qalwKkEHUO4h&4zklcbYjY ze{|V13Lx4ENfV(e0*KX9ZW2zH(FFkF9_0WSvn7D2ca|xxesozo1|U`fh)S9OV&{}w z4}e%NEv;Ta3m|?0i1O!|!@5V8x#IxhF@Tt&3?NQSxp6vQM)v|d8ZZukIV7;5UJ6A0 zq^VhCxgmTf%(hk0{g_ABQ#>L1-DkSeh(n*+ zRsvztO-xXpxE6MfCOU>d1l4dQ%v%&R_!4+!^{p+lOo#kV=!frEw{+R(biV4B_`bsb z|HklMU5WD7)BloPiB^5a3>K(gP+H>Cgv^U;Vu!xGDPVVRzJHWPZrgl%D-YRn_9FH`} zX;8no7W^v-XN+4khpZl>484<9c%n#j=!ez+M{xh|Xbq*w)lwid`&AfYq3Hx4fD|Fj8N7y$nxU{>SqMl8 z0?7(A;+AktG*;{d%^DT}zi@N~st6NU7HUS;BGwNWVha|U)E0+WkrcX+dE{=i!RhC9 z38fqNMX6J`_Wm9=6X__072A_5?dazM~C)C4HGZ0uwLGPtDFUI;NVs4PL?}@DKMVr&n$FjwU68z#wIN_&=0t;j zpckz=ec$tz6-I$-Ju57t24;4+*bp3Ch>^7@c+dmR;b36qzGZmOjpyrDhGrx!LGG;4 z#m%Q3G*jYXap!eV1)X+9M}k#tL=k6I_xXSt2xXR0_nHdAWO1Ug(|`wz2;-LO84ZlH z>2{HlPciEGmphvm9@;6v0LCBAO2#~LuatR`>1GbN>plHlmJyVa?H}64HEO{|ylYHi z6|O8oPH5;UnaH)c#Bn1&C4Q{#MU1JZ1R-f;Xr=bRd%y`EKIyCpdglJ}q;SPj>5+e7 znG@iI5Jy(?;@&y18$&GV6xQ`cFYIP$UcFn+Eb>WO^>jT2B#8NMlg@|c!C8cesh&5Y z2__5xxCrwftl}6v$qRr*WfDxj1K<~efAFAp@P`Hf&Ilx*6s%?K&6fU;Z!(W5WtYQ*7}Uvb5?5HWfJpF&);U*^x6x#V3NsK_}~Lh;{R|{^EL(ZyIPS6%n3S$ zZLVI>*6D9Enfkp5QirW76ernY4kW@c&GI-3S;@~3nHYsytw%!C2?_?RkO~{Qn9dQg zQ<@>Y0pJqUtVFF%?&d<^+OhQdFu=Ea)7`D0=J zo`VF-CR~0$5=SU}aRx-3NjtVk2(b+kt~AGA0ZS-?@CFfkstt}v`iTh=esJsGF+Pzx zZ9wBRUx)%O{7~HsThx>b=Fu4SHiUrHQ>aQOJhogFTxR8sDRALoC~yyqJhwHOPw8>Q z`bWhA`bHtR@VB2#qS_;Z-JI)ZbM5K{Wk;SmqY5tbccHSZSSd5DM#R^$t)4e`08XH| zGXFub3AbLhAEg~;U@o%kIB2r$Bjzt%96<}(tbJzx8D>ki-lYG^Ij%io$bazPK*6sP zgzV*dhVvZp%F>B)k{04hqhTa zbDvNOWJS?>-KE0acxr$j%JfCSxfx9*WWW8LvdW(@;pXq^_`IxaD9fnIpBYLOY4C?r z)+l|T0P0Kis5e1cc4@g=ElVb9PtL|U$B8*K2w==^9D>m2<&A;wOGQM+4P+Yp#tvn; zq-cdiG)x1C%vMa?U?yW$b|^a$LO_gmgu;W8%?|)#v=9)==C*)P)*4!2RK#ee8H#{B zuhXv`pI6>*LdGIW;zfx(d1k#z#g_jJGvQg*^6gz(@Rc<+Z7P!H_xA`ak_L0L7N$b= z%SuXNelZhZ3#h_{^j0T`p~T%dT;f)#ayp$}9y7`;7*R%Bg^;h!pixPwgVu0V8D6yJ ztWL@=r7vd7g?;hHIE+}* ztKW3Y&Ey}`i`0x70*Bp-NjRat2WFduV92GG z&daqam84YO=g)_nAp<)$8M_Gu-7dyL9Jtb990lC?EP)pe*xr3DTC6+}K4{^=EjLUa zeba-Fk4?hy!i2Yr2asuj&t?Pn<;OdYSH>r*7 z^e_s=x~^$Zy1{XfD9H4P(Iwx7!o56n^gs$cq(u7@N8URF`q3pAT=kZoCPcw+j`j#O9}6 zTzI(SyQ8li1;i0}z{Ve=6SF5<&#Xt`AB?|Dc#gf5BiZ<3_4O}@F&BnF(13$(u(-Kl z_RGv1(T0B298*SyfX^ymwX7BtFxtqAi|cQ!MMd|uQsLl(uT*H_Mgt}z9!dk-GPixY z-F7k*X{7=_vO43!J8#QgL8TC>zIt*~Rt4RNel@iElr{uJZ)utQu$W0X8$4fkxN*9v zeKzz)ObA4fLtwD%W^w;C_5sr#JDWc_JYW7@lCYnC@Oe4kfBxsKzolZ3IiOHIwLqt) zhBl!n#yRbqgvlsk8gW{Ygvkl}XeFfNGBL}ekV3&kJt4C&v*8s3+gN3b4D*@roYP2T zC;cL2!{=XmT}uOwG5ZR68a&Ynx6zOegk|FDb;v~QgqVa$fjC)%z|f&g9knAnebY_x zivCa7*bn+~*>G$01N6FuvUe94t^IUfxN0<2?ww#$T3pO4eY>L2DPRs>x5d^m!~hy_ zC4|=96ThJgU&dBNynSEXY(LWt4yXW{AS8f6(q;%gn6Wkf$+-u9nv~^IB(OILuLRV_ zwLICrU=c)Z9D#gQ8@FyAW-T;}eN^nc5sBU4$g>HV$22BtrEHwtpu}V~v}k2OnwCnf z^(T(Y9BsIHnmAg|){8CrL6ZqzmO*vqymiSKjk@M%0(kZ>q2=qPWN2f*BUWs--%W=i z8arH3itx79s|pydvJGnenwr^(;Mw!FOV^1i;e@>1kyNm}B`4LpRdg<6Ak!y!7Oobc z46fc9$^g2hPJh9X(hcgvTyBG|gjg0bRR2`Wf%edcywo&8!zCW`X5X*Mjj>;q^isC{ zr?#hDs_kt@>TRP(>e?pT!He6MzbYNqr|TTvrt8{0ec>y*QFqi&qJ0eyr+f{axWI5# zePVj*<~l)!$M`^pPj3;}D?cy&42wFTqLVtHqZbdUt<*ofz=wZ4VdBv|VdGVd+pg5U z@*qSfUGj3OUJ7ukrl8j8KRl7(Rjen(ChRX5dVL0bk_Q4mDXkkj?MUIi{e) z0=2&;q!IGl#4vG1kEo8uI1LZQ(3D2tp#TTix9~GV>|=Nu!3}vmj9^7(9L6zWx%7}4 zGTK;*59w`GL`U|v0^?(Yu5@Xlh68^3@Rw&#-LDURW%1tCp0ls*6$%`aiMc|rr9&)5 zt&xAwhS`|42X%V`+MyW#R!hFDYnq6$uUX;*LQwUzf?~Ywic}{8gsX8XO?EbDr-n90IMgiJ;wny< z?qywww6EDkN60FhK{}OJpb=esCvL1NE_o?uZ#{~*uD!QB@#P&eu#aAPvmE$*G5M$T z_winY>h~X_)1;;Cu$^YxkQiPxvv^ElXd0`eUI~vP|Z(O>nGJe|o6mFW`hVZQot`V}HHZ9J;^o?LW9K z9suhz{DUd~!ms~e{lD<;e_&Do%<2CRM*a&MQXXqDj+Thu>R|nycQqBy88VHq#k`x2 z?k{N9c$+Vv@>n`~f^^0Xd%mZqLeP#Mwogxd2=4bz(Faa^DDm(2ZyL3qf_G_pSK6;N z^uSUKIggG~{haQ|!=k`Ivm#YHoS?@X;JZ*=*b3LNP$3}|kZja!O+fDqnSwWNtAF}v zeY2>Y6cgxL%!ulN+3ML9tDjrmXzj3MuI|LnUH077r6pTCqjH~IHW3wyzbKce-;5%j zb^cSoh$j_9G)q4+nQluQ86?v2$Z6RX%7}q7X=N(YfDaqyEb6x8iLXyf_Bwbs$$>H? zlg?jOZ?9=iZ@O64 z27oT@ID>fklhY=t5z(ym)Y=CYgEzH{kz+MW3iqUO=}UjawI*hUvzjsF3o>9LzuB^$ z)_<+~C_Ha2q8oD58=S|8y%l`;!ut5PRf(lEoZ@XRx_&{MT-%%RYpPSH_oyZ-u;q1z zVF}G8!ImqN5PvH{sge*$7&T(Wj@qLHtEg2$^VjCWQ6e@-hv>CtE6-FpDz({S9hh}h z?XENf>5yP6CTFD6TSJOj|AER`AuFSfoFf?zm#~WzQ?5jOyz3laOj;hQ9`{UJw&1sk zobh#vG8evA$oKG_dzknKF(*9&mQ-|B3vuxU*A(^;9`~XjJWQ_W9Q1OC#T3*DC&gs= zy&vTGgO37+GWhTzflzPVFI0WDSKp7pQ?Bzeyhkm(YBT8)C5+>R0Gzn}t}*-V!5a&I zU!W%VoKn!FLBEJWbof)(4cvy_%uAW4p#mLgHiB|IL~Xnpll*4&F};j#_gx9UETkB5 zy%wA*!l?baGWQboDXO#s0(0i9@GnCg=0y%J?fLL$W8_t{8XkkMVYZF(5(n;b9S`r- zOIz;d>*LHrS}~AD3I1MH-3LqAmganPnHG-CoJ*JWOfUTx5^V6Z*i)=5Z~ILhgTwbS zo0$`EH6v74W^PC>#B+m^0*YoS_CXY`lz_Jalzuaykx zCvlI2$d7IO3(?&aV=A)WE9K8ohoYJ;DuJqrvReSPiEqrtcF6am@IYc2a0dg_j zk(gB}a3&;Ix90XEXPYz#qLXj)d-4i%=TsI{HT5-|Xi1N95yId2C$sfD)2VLEAHnwL zrNiVJD6n!&eoQ3u^`_D(88n|m4_T$5G#JY_^lfzHM|@lo87Ylq z4DTPl+tjC#InjKafJce4sfEY3S*10}oT8Z1Aoa?K{53mg&E@RSaN)>9Zamg>j(on z>~6}H+aBAwT;{{sNFATm5?>AGA;sv>C4Io2!2{W! z6Ueu_%Vf+?9sF%^7C^XuMuGBX z*B&q`!pEBJxZv)*a3EZ}_Qm&BY)xYFuG{##h#Kx3L0}CQOtdae3B~nwyfG@a)`U6N zFHZT#<-Z|-#^&?sG&SfI9!HM=6`CFCT+m`swX3lJQEfn|al?v*Uy{HJgv(Md{G)3E z=%^@74f2K8Q<~h0t~e#m7?F+DMd%Xa9{zuA#Q$8`90C=}j!*Nzilv)NG}sj$FDU(U z+s^}3HZOkj*OkXKXe_rE+ST9DX!!p({Pys_VRs5aAjOiHy)1B2(JOl>fzx}1-+u?* z#B?lV^bi0zEc;VX{uBg8Uvm6zG*wLIB^~3=$a_CEh^m0AtSdgp@<#He;fe ztsO`rmrV$421gKt3{S$>5dnqBUI?V{S1iy89vM+{$PyF^5q=Puk;DjqQEnn&2?kx7 z4qS!&*L9;3{r zuESLkqKyzjc$a83@YO^V2lKTslofhqxL$1qahsD#rlQ;H9@+~6hFq!6H#C2V^S)wkzUH>TEr9t z_evP0gj&z#=P}FvJljd9#0!W>_&%fM8xY|?@@FR=)v>(S*hO49fTlV+7ooc1u}{K? zQq)cwN!Xw(Ku7}L=tV<9z@R_|7l}TC9mrnLTAyGBYbWW>yqz7)3m^ z$JwS>umK99u#HmT;-s(&caGX>SG~ZO2u!3aR6OavC%TtgxvywS*XM33<*u5>Ba{3w3V@d=T zRE^;!N|!SUeN+Ti1O(KzHwy&RHug#!a_2W$HtD@v{Bg$JHsC$^i1(fzA#>NO@Yn_` z8hx^{N144fz-#9HT^Ov2>M5|~NlrEqSYeek`tzKtn8)PdeOREzzQPgg>$t+}2HjGd z*D&ih^*?4f8&#pxDbG<@5i2dfS>aH1q~lOoS-^xWdC*XMQiN|~=pa`8Vi+THE`f{k zcd1gWqwxi`3V-e+yXuTW?TSdCT<4Tr;g7aNHpZh*IfxQj`T#~1Wl}9%>1kITgaO6~ zv~%oyvhQoLM=>U(fLV50Q}Hb}Kh_dT9~v!lCMW6O@2R`pGKStZl1$W2CbP;I ztjny0!%P}!_vTQ98ijOKL~_g+UT%M7RlJr3qAf+*(q!4?VF{~eO9;G}Y)w`YtJ5?# zE3Bo9o?zK3DkEpWVOQQ-C*SQL539G154^}wPbpMC#lXf{+$=&CBiTY_Oi#`O!SM@* z`IF2VOzo*es^H8PkFkzJ`Pq*QV&4hJVv4gzWJ_?MU27G#JAV1b^D`R^ba-jyMb6YY zkgB1Gv(JFr%rsh%tcFq@(JF0ZrVS&M+_73T5H1?e@eTpTuKHUvawe%!a&MfW*b=ZN zETdhuiZ1}cN$8CWAX@^4HOA&tL$U-pg0VNUGYXmH)~mw>Jqllo+`+4shY)vGs076j z2Z*5hpr&@|0hEFXZoTXTzh5uXq?{q3iM??$2Osan<5otm%wE&{N|9ui(yrtjKL(25_WWEXxuHia%N6gwrD9^1VQ(M0OpShOmaa*vty;IAK}h`a^NUv>wN$ z2aLg>lfx`)M&+l7zx64_?%-6ev3(ME_$7eU@@>j*!?$-+H)#vX?@SDgFaGjJ-$efu& z^pj5gIVnct4@qM?lH2H(oH&a@J%xITU-C4tMrf3!@gAV^@-J@?xkfmNw^P3G0)m)y zRzNT;OU#M&w=SUaGf4zDc|9KT>_Fh!1P#EH$3s~F&N7-c5KQ|z6+hZ$pEX=4dqRPX zQJYx9<@vP{zSo5oU5c8I+HW-vEyem5DT1wgv?*&n0wv#q#qBqyT4wVtCc&+`!{*ps zGa6%c2gB;dbsg_=w`y&Bg)O_?VGUU0S$iYuXsb=mazq}&tJ|d(#HWV>m$8R(7$w>g z@DZ2yB(y0tO^QcM@w7GtUC$)`uXq7%fk+B-ZpA5=!Wds3{d@ET+e4f7(Pj52_s#11 ztMlP)j7}5&#QqDnNl(g<^}Evtd4!gu#j`v-o9T*WP_BvQqs4=Nol#+~jcm1q5QkR2 z8gj%#I}aThg0bDl-|4)6)ogB*|Ek>YqM|Lj!Yw;ay_B8sC_NE)jNoI`!)TN(*h;wv zYSMlVjKtuyb^~aXng~j{?yS;&W0{QL<=MSx2TUPJV5$B;d>OOKE`+z`XvjnCZE@vT z68n5v#ZRcvzVRmI?gu7l{=M&Wk3kEBqe=g3e!&QYN2aX-Zr6@xSiWf33jYd*LN*{O z<}C};Jc->KR(vW)91GK4iPuWI3P~Se#bfw9WLDh*MJn)ED83 zHXyP2xqqtxsxc*)zePgbHYac#x0iAUhpd@Ezdg5pv#>dLO+V!>=64*7mE8=Yfa32iB(`Na1cQ5V&wG&>&eXP!L2Ykh__LFWxsYfln9|BJB{c5qDvb zQwd>B23n_ZV95^}jVgv&&g1=cp%oE9gMydC#lv za}o_635u9UNpuzpeH63hD=V9gD-{ z&ZxPlh)rhzJ}kGlNnjp+d5kK1(&Wf3`KLC|JgdUj+hJD+c3?7hu)asnFLJ$tDLkyE zqw$zjyadVEe07O*_dTgYuSzJH@x3sO- zEE-nYmzn!A%y$-B9_Q4t-pw@TI1Gfbzl90~MM2W0JY7V_M3lvSWcoIi$>D|8Tv-yc zagp?h_d&`!N?VLvz5jLmOKe|ec3-RokJoi9zE3{t?Fy?3PSZhYM`T`4B;+7WGvL|M zb7XX~mE9+Scv*dbINbg04We(6HeWA0EAeoXTgyO<)vX5aB6+x*?;7|PP7WQ)I12P} zU$cGIR$}ThTARLwHkKPdnHItS1w`Jtr~8U2btdSH9S3XieU!i ztp?Ce|J&{ZS^wKEU&Gy0JfJl&`guID9^j-Z+K;OM#F-MhhSNtVdSjG^MfY3Y!ze@E zgI$M+q&dztqyE;aq5NF(jUhqM%o@>Ww}kT2zU$&w$;b|V&SjL`3)>dILz9x5WjRDf z5fx^wcG?)VuRfAh7Bw1s$4ry&g*$8^j2;r0W(iEtq>VY9UIfigFa4(gy)$LM+WB@n zIw$nrQlJ&KFUJ*b6-p~r0;L{jm61}QdZPdIc>w*S=~AG%|Mt}Z{lz7K!uajK3MT-9 zzEuj;E?DbSF27PqR^oe6K8B3;`g3hhhe^=)7heU8^c%z5)%dnKg6hmQbKxzfDIE#} zyDF9dutb9~QpLP|uW5Xj^xV_C8car}uQh!A&mTkjd+8tkLXa^ltSvU>ewY;xrH}C` zks7bH!AWh&4Q16o7fJKT#Z%QeR{cPAnft6HC&OyE$~0yRNK+(x6J>~FvGiH0Oa|B% z)9vnEM{|&~>c5fFQrBSLz)x6mx`Q1~hsv(TBOiWy805jBj67ya`cBGiEG3qx){0qU zkjt1ar{N>!IF=k)tKMZYz>!(Adu*Tbm%=0V9DzCJ)+`96&W} z@)>!YNQbS!YjBee20~g{%o>WARr?M1vOySnegkX)E3NG>{BN%xHJ9g?Ji7BSI^JCG4M5mZWop;Pjv^DcJ7A&SlnOFAHa#OF0h7Fyoi)_T`B zo-hbg@m4!fRD41lT|#?n)W5OSxeu1=#ilQx^iK#( zAw8mz;Li>E-5}PPF?(GlfFo>xBNS+Wqb7k_a4SppAwAc4nNpDy^0P^)Jt+% ztZqF-f-PIfv7BF;ak{!Ff3Z|5&0w>)$&vIoxuC&yXc@ul>UOtbFHs%CW&CE0+g%%l z3D(YT4z6K%*nm4ja}1W5${ew~r~vP$57F*lRtvHTc7oyVFHZFZptk_DTep81Gxope zjQ@xoJ{MH5_O39%uVwpx{F0!N^g98OU^$Bt1I|q2^gm|?<0uVRaF#kPyDdv^@^{j= zV1B+~)YX}}Ei+4o9)X-9#iOQBs%C+5^f~Y&qH}-sEMj%bbz(bMh?E!W4ik|pG<-eN z6tY_u;q&En(%y^3-qy~E?JE{xr?X#Y+3kk#JO{AG-ShX`tCRQJjGHslS0XHbiuciN z^{*G>Ew0q<4M*AUPTqiZfy~`L$9R)psoT8!%v){Q+YJ2MsvHV;!>Vd=i%&`%^g!tF zG>yaJB@Nr;m^FxUawZhE(N7eZY!&7l*dLjb&Qhvu1k?1gU%%M-6QTYsUH9(#{bigD z+V*-qexMis5VGlmr|o6NzrT*&A>2;(akhW`WHjTY?)iG%#&P;V%7=o+;O%}h{{{L# zw-7+}(H&F*Y#k5|_`v_4TL_rj7&^d?PE1Zn#kfe)N=CYjGlV})NYVe8l$oTFoUk7s zWnhq^V_sn4U{<7*r5lr;7_Iz4J32AlQ2&GW$J7X|lnNuO3ZpzDumMpYM3jOn)|^Bv zEYSZPeL4r+$pNs>1S1I0|J=a9!AL(yp;vZY0Eteakesvyy+T61qKebb8a~>il3V(?q=yafaQ#@x zzOcgqT$Vb+-$`5NZ8eOk&kh<~6aNoa=MXK74rJ?N+qP}nwr$(CZCn4bZQHhO8-3rb zUuUhXOp;M*P`gt53o9d+3iDNlUJN@|e*9vY>L+VOtTpIMrW#;PBYm9Y(UM2zqEhfnm^j#E7b#~i?VFy zJ6OJTmoR%x2WJyGV7lS@#%$=k`Tu`6Vn^u@*8hdXDiHtx-2aXYXA46I(^O4wo9q?T zH`i~DUz;)ZHA%0f#Z_8bS<3lQ$t@R#Fk^06F(dG;Kr}EtOJLX#rOo^8s+h-hFc=mv zn?D6cLbeh~31bWFct+SlN#Kf2Fbf7T&p1mSCRnxMvIgh5kLx={X%bcJy(jLoyfxmp zoU79)6N3AJZWpdNU^t&Fnz2}iGbLI_L zU*OE`X_>I`pG;rnuYa$)tSwh5r2_&4^;mzPa&|-bzE^13t_1Zbt)<=LJ@rZG#6&>i zd;W(O-yHAF58~x2gz8f((5VYe(WRdXj@C#(RB98kYwowZj6LE(3XzgT?$>)A#%n4_ zK~!qxatz#{wp4stc$M*K&?61ouWk^O(H2C?2(23{Mx_eXWducsrg}$dg)Abfm6A{9 zSOTTjEx{u=oL|haXp;>aVL3tVR_04^DFWq$Y-+n)S$6>?Qfws%3ZrJFqp zSS3@srDjTl_2?tJPqXHX+SxwT|fQzKUGa`=|#V&ddZ z?Zy^P?Fu_u*c)j9qEHZ9TEarq{c~QJfRMaYhR$NMSuFiVsr73l3xLuLsI711j?FnC zM=H}en2NadC?BoRArcy4T)h2GsSeW3!eW{;_u)$QgUbQ9qMqfrYD8P2c=qZrHwyKW zIBN<&+lh}Ffh8=}YPr+6xOi@iiw;4`lonpyN%Um~ut2TIYF^0 zr4L+BO7)TFm9o<7G2z?$>EzZ(V2}oYAV&3~p@QH;ELbtvIa#^y;9Se1A&UlKFTu+?D`I3MW& zk~W-Wy$f|9IWr-SOrU25q#L_NP)hZ(BaSN&1aMobF3mc7Y>Yu~Z#s9+lxa|m9P*)K zWY$ANjPFP_Qb$O$>X2G1RV|Yic&T~?haWnnLXcRrBL%gCTa&5ZuNXd>p=0E2u<)Y> z;B{TD4-c70K;_E;G4Ln~C1Qv)PA!cRT)+!0&J4mHAtQ(bZ8 z*J8*nNWF&&<6l&Y*k2rZb%mu&chdc9kpu%MKEfj(hcRc5s5lhhArs!DF9q)uT6}KmFm{MkDuM;Ty`k{=gfVS)=ux11XjD8Q${i_TcMvOi zRd)0z)FUvuHeMI<(kAj5h zlVhPgx@0GBAwDYOOD+UJZQCCu8@M14;{k9cK-~zL0^@`3MpgKN5YIz}*>|k1YU6K; ziQiob+$ZuOG~>##HM);&LU=`e^w7r7mt8RDpGd&7T$hJ$OJp+~17319zb~Y3|U^4?vtm;4$fa2u{I1a^q1k87jIY zQ#DSD&v4E}rcFUM*n^4w!c{@XLATqWmZ3%WEd!xs1SfL++t_%-&TU<@*Q*~S1lJX_ZQU)u?CR{! zxtbn@bkuX1Jx8t|Uvg>ie-?b2avqJ}@b;M<$oKO;NC*!)f$lxMJtrgvxAAg7n`m4a zCEg6U9(&$%dhRa)=x0m7KzVrg@^w@Aom!VuaZ?SUd75qirfTOyR#N+SFDBWYbv{l3$9qmo7`^Sf-RJv+tMz>xS~IKu$5Zuh=8 zwz^`0dsWmVXSM5@U6mLXr#GS5op25D*p+&LDkYZquDnQ5) zEtL4KJwr|(!Bwp>#CPT0n&Po5^sJ_p+!n~2MAdcanM*ICE|X(I>DwcEe4=$5B6^&E zi1SM=rg~pf)U4LFrop4H!pqOxZu55d5%uk=;QWNU`FhR$ZhX4*$cH`mGf8`wK&9(j zQsu52_H>YPPhCgsE+_Q_ZYc7uC-r1*6nv#?^NuxwvoZ0PHC0uco>li7bJ zCOgLh(DZb*Bsew@qO2{|gnsG@LHbHs6C%qBQ&iB~(VF=@tF#RQvoaUF8?^wS1#=+QGe)^Md+yVzq%9T}Y97e2CX z)iX$LyB5wPh`(oA`kKjknWSIL%;)(fHKEtDI@VC7U%Nv7$>BCJh5TZLKUVA9Ay@b4 zDD#6kE9qrA7LA^@4+`Ue*qnX>$UfGHGVdzwW!|!Ph6jfEla>fRM`N@{G zU$dKPa{H&L|GMNkUE$4Y*^cCGWjZi*X*Nru-|(uNF#BfEn#r%a$JULS;`{{Si7vOC zlR!a$GfJexfq&mhvmG^(%VB8Wu=^bW!l17GXT|b8{LyMndqzq4-DXX}pqPrkL_G-?adz|*M9Pl8UMF5e9x`)ZNXD9W!w zL7^TMY}siR98bbM5!qh~ptZp1%z6Es8P7su0ZxWU_X)`g8H=Axu^2gB186mF)>{R{$Q{-za^U+;{Jo-<-_- z!$Y%4*Vr_2&=mZ-ZXeLUb>F^P!=`%p`}}Qby~)=U)FIY<5NkEb#Eb*L8N5ck;ZC~t z1st=DoH-|x@-!E%yHBv$$mw)wLnk!epnLIkYh5N3<31xkpd~%Sur$Nq;t*uBzKlKYXOH=h9|^NpO%jjnOb3jFIccZPl26A7UxVWp_5Gh(&Qd&Jpp-ZO zfWUtf`hP2S4?6$|fww*l8xJ)`4pAY3|Av_%1H=DYSs2Y2+{h(CP=`q$lcwk9n5ndX z5bm$_s*@)F-J=*a$mkpew??-saW+0B9ZibDg{xCO_&LB`xjCL3f$L5mh0$$mbV!0H zDIn@ndVcCw+22RJwP7$>~kP0;WY`Jhoq2pm_&6^)H;izcMO6rxq z0TcTo6)EJO@RU_23^uZnfW9FV9Z@wUkQXY`HM%5?EwJ&uVhvCvdnrN;J_Z#Gp&wGD zr2kxwCL_tg<{s33e!mpDH@v}39Ja=VzsOCP;!4Innj0x&d_>eNii~P2XUE&Jb@W`7 z!Dz-50c;iZ|-NktwXNc~MC(IJDIKW7;-o1VEK0+$NZ{?rBKJq+t zCd@ZDZ&nkY+~PUxoAlYB@~h%3v3*_4WNPB+XfJl+K_)yOd! zC9QteWY5TkaAX0HOQ}0tXkp2Hx#|8^RmGlzh^0`4Kh~-ZxIyd7Sry!_AV8ExDXUhz zsKHY?ZJGs4b;9(h#c;3+E5JX_=n^WhNanW}%@R%Ww(^(d4vBcg3@+_OTElnkd5a#z zMnY-5wk{r5>EBbntf58 zJ=_)gD-U%YaN12Yk#UtWd*mAi2A@ZMwM+?e6vSBH=~GHBql z$S6Je<75aq=0$`LtYW z5Lw|^(=GM>#I5t`Y#TGN^LV-CA^?CS@XVzKY7tC!HVrmVOp;HO63*T_Q84OtvxQHPTzn$PG|LeXtM7I<=b`5Q zH71-y1x&v|B@Mk`!Pnq*V-vh4DOHx86$wFRCgg9JTaSWMC0E?0lu(D-CdHX#@U#Fh zpON`Fg3k0Tb4q_nqj@8o>sxS@=_&~#o3JKAh(V!TFqXFC=8`7K(hOE~ym=3TAzccv zf$K9TJw*#ZSSLyB>l(NDQX|354f`-6`sqla%NfHXoh9}$f6iJvS{$`d2+$f%U;C7y zrUOZlxw^1YsT~Em|50n@|K*g9H8MQ;Z%1XPfQIQZ(8gcb&YRC%894sV9T z#m`18*)lQ?;h(_{Oo6$~cb^rv2ZkZ~dq zh_bH?@1y^E+b(*ZejYT?f=3SA9~75BsLj0a)X13}+O?8m4_q*|8+NIdyRzk@E z(eZ@2j7}U>drdC^0RA?Kmu%JQOLu8xjfH3z5A;6XNz9r0DpR91<{xNT1}Y1RA3 zgv7cPF(J40>S#~#pRd@Sqfcj=pBLpTU(2;4d1>^EOrOjd$@g+9lp`23d;x|I-A1q9 z3TDx3F`jQkPVfMb&r6}@q3}QAQ!lbir8?rQ@Q!nl>M%&%P)b6@RpV3^t3EOOeLDSd zMKCfG%KTB;R;S5203wN8Z|H=GY^H4gQp~Mx4-K#xCoyxCM4Ev{swnA3Bu1}yQ5p?t6d*I?XocF+( zMmw}lLBR0rnWbAx)+D#luYwncw4QnBq!@Yyx=*Jv8!Dqp?5ERdN(tA;-vPi^n)Y;$ z@#!UN3d9%jzXYq1*%(k*6(#b1Js>)d_Al4xeKi}P6I(K$jRY^&JiSWUC2}I!hr%lN z7s4UkK6QFo%ETIc>#g9fOgs=^4{Pz8XqG+K@ZvsSkOy;IF(D;JaR~%2KgR?hr(fRh z4viIm#_wR&r4Nw=cR3}E_X?z&65zC0qXTM5=hk@iBb0K&1WN2a9>x1Qpb;hQLD!AQ z@2PY2-@{(Md%XXN!zvf)Tql;<5vHR(6G+vF?L1^^eAt~kQiM%9S?6o2{k5fMoKY6l zjti1oIWU-h{~H9N6M=DMm4U8L(u(zYT&0X8pzFV#M{a}H;Zv$ksn*xcp*ApKf#I%y z$Dr*yZ$8Kzx1TPLNj>?q1>eGc5fUg8(LuSEw4iUTUPX{gWws-Sj>iJ!TFL%kGDX9E zNX8V~-F?B2B+B~G?X+kf3Nr~~yxUQ$ATD6I(n=34g2qvprTUe7{S^x***yq01* z@WMu=DKrn`HwN^oTeRt^(4HQXD+(e|er86XZvNy&ojZeUI`6%H@LzkSo-%s)nqyxq1b=S-K6+<9HK)}xN z=|zHJv8Ie6Qc2IZC!N9kJ32s=xz@VtkpAI4 zJOa<97a)5gFvxM4kL96*$5P6IvN~h!hRL8w7co?S7jdPur)Idh=wgd?)jd>K-j}`? z?m$Z|y^Fc8)Ot2?d^L_mzDrwKUZLOSEl#vY_n;uV*IH0 zIR~+`{fRfynl+u38FEA-zDsegVlzT0*0H3TT=9c7zAm?`pl9fYmJByFP4nuZ+5i>E z?xB<%ImOdl*?Fhmlf$lJ&KX>$AIQPVit%9uJvG~r>z>rgiuduk=Tg&VShPb z6<)#CD1^Zha5azK+Gl$N1j#68(}~%Bd3o@%juoW;dqX=mQ@&L0ub%SfrD@EO=e_>n<0r(72jLrjBat2i!vN7Xk(QsHYVuR`?VrR*Qp+B%7; zQYx)>g{fw!C6nm_+QBK1IiC3Iz7c_yUiZvjBl!ErZ3VsFHWj>$DanPm)wOa3Sjq4y zq};nXSmF%0Ts*QIy{?Lw%BZF6;7zgZ{(tRX@~w-Mm=5xP$2<$H#IExWWW?(+G2|D7p>6MGc zV9~`^kf68ZE-w#+jIFJOIbjV7lD*8hHR z4aFm%cfgZw@VX5`ZRGC;Ob#oW)H%~G4G#>88Z8k9A+>oT&4k@^50Z%(V?)udpOy%^ z^u#_3Q1qyg*hRuOAQeG1QYVafAq>L7b8+JAO*)nqqaOSINKa6<-y~4E1I0-IG50jh zzhO@9stqpc882jbL(l)N9hd=SGleF@#-w_tv#{ktAcN8jo~063}y9aU8%x{L-OXda-wC!EwRk* z=T}e-YTpsHfKhi?x1ImF?`sG#<^TEo^|!oc8I~?cMHb$ZNO{G)=;!tZUcy!p#$m#0 zD~r8gjh{1$oKHG3E36G4?a)+ z`_5OApg_;#TbGdAH{^S(4S#wSk7T_#rq2_8q^8#b2H?#&OGay>cI z{UXN*txz)oxYrqAhUh>%?r=!aV>Xk|Ati}IwG`>n@)2ew-K_S=wm14|N`TEo_?be? zoj~`r@ry?}7f+ka$>t~OdueDNN}APck z*u;?!gXSnIdAi|i4P%L;L`(=aX_^ND`@0;pFUARF!zV{njNZkEK$KU6CB`vy|ATUciXo8|# zG%Og~tKTv2|74&t#lTLtH+dc7Eu?B^36kV;H|CXD8SBPR+o%b51GS?_0si6a{|~1Q zTl_E|Efe3JLYl@zS`!Rrd|U@T?wR)l=n6NO7p#%j5h}2pk)F4qg9-uzy6t zWT|N(XIyb6p*Tetn-?U`NuMIo-fnO4I5(+xsGWZO|20l9P}@FBj(b7ziKd(jtW zbFvj$dFFf0#Szo3>@&N{_tiXPX}Cl3blxneQ6lcjSmW-O^Uovf*Srshs{(1cvy;OFXH|P7F{8}6jC`@1mPEpgk`OE zLin$~+W&n4ZfJk`Gh$e?atE|U?2X%z%j&`4FctqWmY_2fEaxxHY{f}=8X57FsUPER&}AUec)Rk4WPs% z=|*ovh6GrLsi=!6;1z;&Ikpm|Y*39G*U#Qg^0^MYy?+ApvWDG7&4-Opc88Dz=G(lJ zU%$S6qGbZ{*kP@EEj+;d>mp!fpS%KL<4JX}sI`LY(NzOdJ%8}Ke=?*xgF#g-OgXq~gP2$jDo zFMh9!dMrpZPq;h3dAdZFi^8~bgD$~TbKH$i-H~dE`{ZNlHh(S`qfiizD2j^2+dd%6V}mJX*Zr&(8%%qoy6vAa7!zY_iA2wEGP+u6Jo6UZ;d z`Fre^Y?q0sUZzpac1MBL8dMgoJbm!xUIX>b#z%G6L0VuU*N2(!J=v4VzkRsa&!CK3s#dPd9dP4@Yw+aT$2qs+(i362EZEt*? zMzNb4`(F*%e|PP6%O2=A1+Cl#d|lH?Vvy|g>d;L#XQPW16Cm;@(95&})9*prq|gq1 zG+|mStZ0>Qcos)S6dMJ7Bg_q;J(maUZIhn8+;uOr^-V7h}(U zngzAMUJ37evi)~Q!+CqBeR`J_mo65-VL*vs8Un@5WQ%b_$;)K_DOo8Q6Nk;dVdwG( zh1myzy|dmD19&9hR}KVHFYo=e-$vfb(!A_E@LqC(ukI|YyWM_s@p|~hE&tw7OyAs2 zGLo}I6s?WRsEE}+Q>s|w*{5U7(C#O^lxD%(DS!xmn8l?Ar6hw|tTYx!V6mG_%cW&s zMuet_d~m-=wuoXO^Fw(hWzcdmUr2$C9t4vOY|pE6XNK*#mnva*9rR2$<>2k&_sWN5 zBh|F24WLU9^WEU4*r;SktPb4LrG6?e24QjqIi!=Z1@cQ=T%%?z8utqrND3< z_vTI3t=^^6zsk(3;?JVFz;(@1RDBzU_>0Li{(;}T9!=Uy;u5B!P;DUaO`g{q&Y6k5 zSyVl0(bvpwpm7AK}mGh!>Pm!=34-=1YW zmI~*pi$%WPxA1zkA~dd(RqV$WE-2w%D-D2*psvIEYoRydVhHs*va|PT$#H+JP}#Tw2&aWR8iW35gP%|*p*$wa1h2K4zV>Fz%d ztrG8Yr)IMaVDvOk(}i?`@G)Nf;Qz-O8@ro=k!cyHB z-zAU=*wmFI>1JZe_f(GHo4wNNn7dXuFkv!R!c{y{o=Y{TGVBV!|11F9Kh+xt$B62) zvd(Do2pKJ`4M(q*(*ht9?<)J~CfhN10gDGokVk8oe0%0bs)W!P0Br;vjC*yS=Y%h_gxZn>&!);JL*K9KQ|28cG5HcGeLEY1ZDqii8{dwDY<2l^~$D{%YCCw#r!LK z|FATaV-!G-S3Ev{XV49SK`T9uG6WGXBP`yl6Cg4?^HCM|0m%*JEjiXs`N6eY+ZYf=i`oW%RE|gan`eK%8niGbI|3kUta+0n%p#*MgYxWsO zu5fXZM`>0F|JkJKvAgGwJU{oywy@6rPPL%oH}esjl*W(F#Y3LJ+a;%4aMoiSW6NLH zbS#Ce=cq!LZO_KA*{DA=!TOdcoBcCWE5K6ri^!XXm3x3Nz-Zmojz!eXz=M%E)vyeM zR`SEc|6t9}c*)=+<7lXq5;(q;$h zL{4QE-e;d=dEHSLWP-`WJK34qATx*nQ6+VpU*%=EKYk*E=?F$vEp2CZKNGSDDc*u5 zoUcGnAAE}Z8DYyXJGIjmCI|5b$<-_i0qusn&ATk1xkuRu(1^N62h$&JI2AnCY(A-< zyRoBcY$lW$_04egiq;SVGr;ZY7lxX8ephvXbAy3k_~}ub!!3T-K#-?3(ngsjhcbnv z_E1+nCx~|7DpN(mIm};*@rLGi{7TN&#?z?Es|M6YYV3mL&mO>DzDs2`4s|Lh-)&Hr zm<_av@HumCjQL%LojP5%r6!ZxVYUu zENtdn$^7ZJKaH&5f7u(peOfC9OR$i8(W#fyg8xD?eh{f9_^#f!qJb9CwBgnz0IwCW zh0h1abHol9q>vw$w<78_c=k#F?q04vEqbR_XDIPYa9r$GQ8P>oYe&Xuc4cb~EAy&J zIOUsi2l*mke5Zc_-9};P(0S(Spj;+RvaUYz`eu&%1A+Fj3$CRs_@`!4#7W4Zu3tSC zTJ+YhIH(KSZ1EQr7-_(Z^zjiyTs^0txb?{gunCzkrCKnYR)g74;yx8Nsk-5!>nvFm zR;N-UR*lbKS&BS$O>6Y;t=E=T6kCT8aHnmu-_3Hj_iaoBsh7Qd;Oxy48bUC&$kX1~ zC=RcvDT2FKOJ%abGiJJt*faf3`$jicvWg}idozgVBjPYmX4_5;(j&C)B&GQK!r{$x zr}fpRRxj3};(5pE(}&4RQkA9D{Q;KE@A25gYENensv*=CF`@y$&(etnTJ#=p6JjpI zl{_3Le(QcfI%&wRvq}X!fNk-}c$e#*hSiK7e!9_Qu)0}6Bh2*YHsX?$kzeHwna!SU zaL}vx<;koJzE)y`gmcwuqb#R{4*-LnNb`xoPWYd0uzURX8DnGw+Xb<_QDILRjMTax z-bF97&GXXOwyi!4L44H-M2*R6P=1_n6tqD3mFZJV%!gLR3jVj40Hk?3;W5_=61ii# z83p4i*@D!*&hiqYvAO7!;8womVSVQuacPWsMMrW%YHJOn{9)Y=?+?GP?lFHL=EUD( zt!MheRNy{?@NF%zJff7BS8 z%z8j^hxn>$Z`D&UMPlLI3VQe+uvlkBs@QQlb!w>|Qp4}_CKbPD=Xujs8dSZ1Ra}pn zfR`pFu()&^!6A}wwZL6i2>McnN)GKFmL}3fsx99CRcX&EV_Fk8KNnDpY7DOl_V?r0PskA|d(D;TQ8z63$T0VSf1 zl%`v&{v*85=2{|r5c@_NW6W@TmF2VI^m79dN4{CG;mb0HP61DF3wODrH~F~VU?M=w zZZY*=0GsGXz|3H*K&YbcKyNXUqD*>ZC5}mr4WxZYhlp@;RKCvlAdKa%vd@b~kCAOK zK%kczInQyebj;||^+n4+QgEe*;cmw4xQm7bh>1`+_PXUr&{}vA(?tUEc*(*P(Aaar>KZ#km6A(){M zorH8gp!ow>JXGT8+X`#$$l?Qvr$(!p>SFkwSWBnhj(xIvh>@t!*kw8JYm}xmmp^1? zXh|4Is{;X^wnSv>We{782ERolG^i_Wyk0scbUabA*-l_LffF)WXhto0^v?5EEB2=A z5uB6+HkH&a*1KrOJKWh(EBBax3NOj4Bq+RW!zQLZGkG*dnd)fgdU^FNpj2O-oQ8(y z+i@;-Gy4lflNM{XLas3ENvoAH_}%i+lyKRA{-fgTe&NN+c7T5GG?l3Qlh@d@M)nBuNaR3c}G4-+csldzoxY^O!3yu^P+ zY}xDAXHKK>Xe5(wB(*nsQdm%kS}w)3v^v}>!|52Pa&3lzs1>J$Sh~$@*B%QCx%5T2 z2u!NT)RNTdvETfA%rk+ZQ9zE4fU|2JSgJ$J6BxUyXjUgsNUm$NVkviQ@^D zgjzL&i~%A;hL&|xvq<^UTb|u@kjIZ*Y)>wzb`s_MwgXD<8gZT z-7J?*otnB!;5+_IZO}yfn?tBZ-1r5rl~Mh3(^eDl7?EFTC0T${T8Uj?{Ev!z8U`Zy z@s-1S%gRg>@;)i0;W&X;2v|Q(6?_bx=Hhy`pZ`GDcz%ctjsywnOHb(~P}ySoY;sSj z;ZVlwe!=Y&r7G{VN5!%4AY0A6XS~tl1mgg?p zJm5!JH7t5P=2^;yN{z9fWjXT0M)0fIj3E6eaLJgCk4JDl>klyEz+X_rqzbgHLZx`yf1C zgx_60L{e5d#uau!1EPGC;FxH^US&J$uOS9Ia>|d3!mHq$luRNn z)?b;{IET@sWiQG_**Urjk^{r!7ywJZbOQt!{7W-STsueUVez`V(gTyyYV#iuVN7pvfW%sBb|1=Y zqe{9V+Ba^P`Hv~a9}<;CYTq9ZABuMzgA%AR)d1|+;SuLgF!K=~dkaLcR%+l;;S}rR zSvT;4rJdc+fDPec(f$eKr4Z(4tkX18gAM-9A^5@RmPhwsC1gijxcwcN0!=S zU=oXVZA}gT&^oabKntfHqC(W{uh|S`Y?Qqu-s%bK1!cq&cFgDqEEB-@w~GO|yo#)| zdXdw_^g|_>;(^VKdHe&!sNtxV`Hh7NW80=BCx_jpOxPX>a$bQHLtd0?S0r=_1Xdxx ziGy5kJo|$(J@^Y-xpC+(Zcaqr#)hcDkKyo?9~8rsuEQ5p``;S30Bo@Cu6{lZVwLep z?Qk60fHNXe)wC_MxKY|TLzn~7S>3VfZL~ndIqP3N2U#@Gx91xbgMO0Y4 zyKb(fQo%Kqn^5^4T3lmiqr^fTW?hM9gxdiG2Jbs`x+WSbvZ5NR)X?lbd1m9%x5`Cg z%+!)|SNYUT&hXw%GjWTol+T^~045e?8j{t3CE|smYntv-)4!>0ZC;B?<$)Ua%lp9d z)gtj&WX3e@7mfuCbXOpefx(GOeT$ZO`GAI6b;c7tg}J{SFF*EyEj40UJe;Uf|6Y?k z)ja`pbxn>-E(%leBv8kt7yC*a$C_dJMjk}Kl^#wdg%;tVWTotznJ@S-QZbI|R}y5) zo}}WBvxs? z-PcG|nXG%f2~<_{x=d!q#HkcQKG6?aN;&~EB1+Xx=+II`@_lVEiA}$Sx>Ni;Pmlp? znzP3y62JPZfE!udBwV=AZc?hb4%3^162(YB$HPc=9GjH+|NDxRi%9VIJ!{uSAbX%^ zzdhmsUnzy7B?n%GgRLp~=OxYaCIy4iu5wRCxH>W==9GF_7lm=rIsBGgX_s>~EoF9D z$iM%yUXMmtqw2mZpqM-b)WWu!ts{2!z<;rwq2emJ7ozhW{}}bqNCsCYZNxA^=;_Bo zSgLtT=Jp(b9HB|c0KVbAIK=ko4(z=Sq!P{08eD}GMd3!yJ)C>f<*l)$+WRg%XfRQ~R z2CfQxqmW-*UrEv8mhwA3$bq<3)30-x;Gw!Q^SW5%i}>O_o&T&bU$n&9Tj96S@xiLZ z6w=mS=!yXle>eXMbAuyrFjo2W1DQ&_YTJYCQncP9)%B+~KOsBB>}b=^IytXR%l$HI zuAJL*Uv2aL21e53w+Put@+aF-@W}Fc5|c}PZfjePXj&-Xsg|2X#^oJUQj}xFMXmQ;zrVy zItC~=CeM@<1H7buX%^qH#D$l4VKw1V(e3e~b|&r*hE>=!-yim5b&TOTi+AZi61b~} zbyDb(Hr$JVMAdr?@I29lYBTX%xXJzSTus#=T}C@`)(!JVYJ;;QGOtB{K>?Z@4{g6H z@PYWQlnQFQ{WM+E4>O$5%0H`+tyah>n4@fGJ+*Z>P4k0Hxt)2k3blM%2y{P=hgT4R zM7~KSy&+G?rK$xekS#6^Tss-&MgYO}&Q#6|^b$H7^(NxsFMBkJ#=2L4RAh%`a|LUg z$2JDOn&h6w%fqz2fOfp=9&|Z=GjY9`v2SR31o@QtOlu@jRe@$${h(zlaNI~B zHSl+)bE|AEEY^CsvUb5UR_9sEjN6fRb~IN+lQiX+0?p{|Q~|n}Zc)pIX#AWk{y40C zHDfF_2|arsPB>w+*hc_#i+=DE>rwn$^vu)@i&(XKo_EeL&WJl~eF64fPKq&=XgX!Y z*FC;6^N?q!#Wuu({gQf_SPFj^NxF@v9N({$d=RsFJlSnT7mq9_8&1Zx;D+V!aty4m|$+Ws?h zBZA?+Z7Ti@(S@>R;3}t&}LC0ykl_(TO_aLY(_iyaLu` zxeVhh$+cI{srt=_NaNht;0axuc*^G2Ppf`rB?g;oL{YLY8KTcv&wk54!M~(PYu->b zZq8zMm~HQcGn@OwI8iem{EH3`Ap-9m78 z*Wk8naF@k4xGpZiJ-96voL~WhySqEVEl9AS!7jO{>Ya0WGc`3*{q^+xGt*Tw)%`Vi z$Kp&{`Yo%X)~cU8PuP>0nT|+sIRx*ysnmXGgV%^Bs*eC}T_!GOt{bWXXNZti$K*)A zvJL)QPe1GhfeW!BE282nF;~`@Cv<_yM$0f$G)=Br-yT&nN7}GM<4=i?ADEP5pQ^%7I>0dPb(i22FSkn+cIT171yM|w9?hVsa z$+3%-_We>)!IG=HY=6?ckmmTv(Oq~A|{$X=)s{B;$avkZGS5gvD!eWscQZ(L4mVedhZ z1QU{MYgYVgSMcOITCg?Sc>+Q)(~){y5HGHRBf7@2L}H@bSE&7k@0$oC8*ceROy3Qv zIAhic+d+DT0USFmFRA=SlSsPHT;7EQUWcNRD4A;t)H6yDr5Nms5yRF%uQ_7( z-huy3Z@k{~Qs?U~_WM9D1(r8e*+rCl)dx_wcnI{2A=0PcysK^;+#X)XAzvTO zo+H~*zXmEiqhvlHy~%>3(Mcf=7l~-VjaJL-nr?@&;h$U=VmeR|F3$brK2{1FzC7hF zwS-HGhB<(ZT1faF*P=O_Uxj{bai2!o8(GNPOS6VDd1W;F%D=yGVN49h_1Bl1inpGE z%?oV&2G;fO#t%*D1I9r&N&RaZLxS_+WYd*YqgLC#8oK_h4kJ`Ouw)D{jA1EMcqn5R zj$~$OHWI>hz?FEj1jm8rX7Vl-%$?MX9Cwsnk;?4xQJkMoh zO^M-r)S>dy*!dHa4Qz(t5slI1@Eyc+VhU~aK^&@w28rDn+4$qs#Q4ld_JUY~_u^FG zc@$r_OiRMwO`F>W8Yn#kv0Nw5OAU zyNVzL+=TJgiv0pQc`6o*DDE_`S2AzFX|F7;<|JJHsA;o;zLK4DgIHrwcA{E2vcFlA zqRIOHpovQK6}@^bw@V8@jAT5Vo&Y{+VI!OI|4AkJEk;oMVlTzd@rF(syqInUvvs9& z!t&6yYOIF)*pAlOx1ov(0}uI9szl#kbbn*ttrZ}Pk2dZqE5e3vwYgxw&l}^;Q$X2< zQ#$$`?JMz6=yld<2Mx!iN%J4jsO0godnOE8$B_#}%>sNDow>}aqoYj*un>*XNUZ)o z^XiSq*NBymJtYsFxoM`ja%>(_u$~j;8pg&OEwP<^4^hEnVLyPrP+1{yK-(=c$A0%% z`37ts8OE2<&tKZZ!%-(P>=-(o)s#+-JGOy*GGmh3i(Jl%J6m1W4`s&f_-1}qfQ9A0 zaFuT~Wu*FP=DjIL46-uPT2E<%z>*;6!rY}z1K&kk`bCRByBP%YtEXCE+wX!~0xjJ6 zUaUcg!g)b7j8O^Pi2Frlx`zd&6NCs z>o_YUyuWc~s-vjhDAEgrir^ef6VM4bx+)XxFPf3!6ncs4IL<^%`a)B9y(#4=SjhjE4Xgi$qVw8FpA++XOT9PaQ(pleR+bh5#?Ji>OPXuE%DP! z!l=_Guhv23@d^h=0JPv?UXh;DXnmmbGs*6>`pW-RlaiNzX?#@l@JX+@qtPpl+*XZs ztvkpd1ATcUnGT1R<$dNunmVh}f>d??bYrK{r?qY>H37;NS0_x0B^{-7x&HF$*18R> z^SI3Gktz7oV9kcZdZ3uhoG{ijN(BmX$aq4S9s6%=1Q?RON)Jr) zDa_kR9`!clNXhJV(RLL;FZ14MFb0I7O5$h80+F-4s$2qPuDk>an+?JR1Um%%N3tdP zCFWiiqUR836&{|`oiK6#d_CIttQVfh35Ye_W}EPHN)%;;Tsc6vsA2y+i5OqXlTmLa zXmU!7n)TIfbD3Ras~n?Lc(9_*?fiD`(YPlysBN{zhX)w1I0iEC-~?K>mw0=Y_k7f# zKG(GAI^Q5l1tGU;GhNX~o=FbcYV&O=E*9(GEsW2sfY#=A##=af2YQqzezEP=hvemH zA+KL|A>(+U9HhjPc-Z#lza|K~%U=@pW18kpjPFzEm0P4!;inic%^TT-0gqQF87B~f3=83 zJ9K~iO8k1DP<_0kDQERyWx;C=jb?zZYZu#jKDgbnX1Bk84_V@%z~7yYq~sI>DTc^` zSmd2{H9I12;P6`!`XFa-!SCC<>3ADBVj3QXa)qPNN4?4USlQlPY|!ZQ?_iBMFnep> zxM7(87$-W>t5I7t!?ul^(3~bnTNI!hecST`eWP!h6+z7N0LLm9vkM0GaL#(8|VNGSY*q zaHL|IHy@@??>QyReTlX})EK+Tu3nSLg0|k?e->xbac$|tj`9^+C3<%+e`7ImvFSXl z=zjMv5QR3Tr_zt$<%T=sWZnm;-x|wQa27X@Ts8e_chYt>H{7#-1>azDwyU<#)(WGO%E;gH|zEkQKaDjTO7Z zu&H=oXeJRPm7d{|*FBnaFr8E;RnJ@)@;X}b1gT^R718V5NUS>mE4PM9MQWxIrzHz? zfG}`U_RyjmFntNlT9E3T#kh0rIjZdt8m&?nwzdj{udV62J$>y|8uw~1wcDy=fu<>* z4wmWqeJFs~l~}aoXjlPtpD*=FrHA`Z*lp4Fr-#H#? zP6pg6p1SjP5cYb6LxWSDXA@E@C7^AfsrT+KCa_6(y2C~SJ{tYQF*rleH>)w{hoNp& zWouSq?<5Os)yz~EA|9pMq%^FIkVx|mTP^h=t#~l_shf)Y<&`njC;rZrPuzc!Rj34! zLo7>a2c+as7=8zqV+q$iYUGzqM!Y{yM-kL~{^qCncmM#y zuUtE4T*d^h(_Ku{`~l3(!Ii|NS-Y4 z%{BP({w^Z0m#a_@431s#FRItuG_HkrG*BaHwQA4`ZxQ9R>J%iRQjlfTP6f{J=MR z4oMy5y4SIWFGU)<4&LYGoQn||<%Hc4Xs~B5A(aGs*AoOem&0;g-g#S7j?aX!^2?vy z2J_>0weN?U`#LKRpv^|jlAfs1J#D?sZ%e7RQi(8ql2Q(%a@GEv3M`h#u%QOf5WISo&ZnE}}*`kB1 zN;S4wbXAH_@3$N-*q5f8&ea{)@RU^^U_7u0Mc(6g342X5Y1OT>K-3nw83@>8_I5+jq4+wWjV9K?Da7HY~>~ zkc*@zRk%38yny3f=%_!rBud7&CdY-m5}18T1$X$+xusfdj*gR`XXLAasTQ6s)QIstnPSch@Js>AQANgjLp7JRllHpI(nSg#{qB|@B@u(fy9$N@Fu zZLr5TN1PXEv~Tv%JvQ+*+;67l-1H07&H;=M0GuBdtHe0%7U;@~6t*F|?^GQ9G zujYoA^nnLW%~@ra^H(XojO>>D7`yunQr3|xt1{&R7Rv6-(PZkJ9<-z$)&az$w6DNmpQKOU}JA=V#V-4^W z6it@`068YnVa@hV2^C;RjUAl91juv?T|zFjaSzw%6q)iZn+HqwSJB)#rW5I&VPEsp zSp~p@>M#DVz>(b_7!z=eC_9Ynh)0M*h;~k0QNhZyONx3C0H{4B%LQEveMptkuH=1U zFcJs;$!Wz2XFZwrL$R&(QodOUVTyw-v1viv4r##Sr&awmikaFOdxh)$HNlbyE}j6n zeO0_y{0RLw4XRrYNYx|4UN>|GA1D`88M~_@4Z0pnH?bXW6{df##We{8+2~b9^-Ys; zQ(Ep4=pBlcG(qUzf0HC>{few+tW0{8;DUBZD!_gfPNA$mtkb)7hdcjEG4njMz~tSZ zsD%%FXelzX`>7sioRvS?GJn-z4o)}X|H&SrN1n-q3}^RAHjY1y4z2xawwLnx8T!YS znWfY8&#?n#gMP3D87@vwshR{&c4U5TDY{UMlF(d}E82Qw$2?OiT z!&tihD}&(R5&->`K~VB=vgbPmJ3hpP10xhVX%IU3FhpWH)vb69R-Xd&2ZH5{(q1*A z(>h}t=%Lgc`0TF=`fOnwCpR5ZuTMlXhs?f*7}!y0K5#YkP5+=YXYq7C?&LYSPj49& zZvuSe*IFMuT9JxVlvYoyc{?><9XOvDFj43_S|D+t47+|AwWTDzE<`vAZT6iE=>R94 zp5lKzj39$^Rk&hXI?o$y8|SDJ0SgpStBQxbiM-_mVr51IelX73yp7CVqe1W=K>-FN zUmkfw(aVLqW0ZMt+GJQc3je4J0s7l}EYW{S&4^F4#I7yW0k|D}$ zPGl?)LINEjaol`j6&wCSZ26gzG1LIk+&MBu@8ztU^ z_5%`J(@_nXz3%T*K&DNwCokwYXqnu^g2X6&HAL9AFGciI)Y>cZlR@@eswvXL%Q<#^ z_zh?Ex(wJc-*pWcO_z@bCBJUag@tXe;ewr3V#D5l_#&b6@atRt?S+9&S~!hd*cQU% z!D-~DC!&LQlAp8G@Qf6>{I@>M%->KTFMq?XFF#Y^F!yqF?g|-@uvElI zOW)REX_0#u*ErZ=N|x;~jz-p+*bM^6d@e+||SkHZtWAZ)Z9*aaR)C;li`wI%DWSNfbKn1e}o6qg) zeX8-22FI0w2Qh=>Q$;L=1_I` z_wlWH;5?m&Rl`LU;1hrL!<&~QU6*=x!DN{Yz#C8*chz!=7H*4=R9O%rO%Dm4776kD zD0I~p90IS^)C8yKY;f~Tm)<2Lk#4duMJJU8VFBYOF$MG*G1sCFhOn2%9O@jMe-6Oi zgVsLI6f?pLHZ1E7mHjD8?Fbum=_=OREq0Q3Nf7d_Bz&)oZe`DezN!#J`M^YS)hh|DD;=~L2EPQ_|HTcJ$Rv`hWjhoeR>h(^=sGU#|7Oacn{>6qm zQx`7%igdP9PxMpm0l~|XRiY7)-|j)dTR)#8bFM;6ysPhiP;v}j`$9+AJ{|{8i||~; zNGVJ$_w`Wb#0G4O{hWE{3t@KEelRCe*fB8(3Cz;0J=76j&lmx|A5g-~pnTe5ueGly z4X+NGqoDS6ng80WA99Z8dPSfDGv==KL1>|$34D_Hm_!}`itx=wBE==(rsJOo`OMJN z9Eq{_=!7jysinUyDizk`mDb8Mml|P$_ON>_+G2XWbVB7&LE8JRuJc}B#WYle#OW@*L6dPo8+2lLxGT61G`vB*d zox8=7O*5ENcWtnzdr?QK5Gwt?qP;2t7);s$L-Kzmxg;7=O&ZUt9o^@J@IT5OBe1HK zhcm#zh1JR2!N$a6YX^C2ry6mXSy5?Fi=K;^02Q?69KSdMXYL>@RWu7)^Bui+rC ztf|Vb#?D#CSy#o$sdb8m4umPei$_#p20Ad)zaAt`*CWc%CQ9=+W5y$V;ZoihoZYy7 zE`s~B917#VMR9gA3KTeG>&kH$b(%Sfx{1;dH;5PI4ON5~pLV_isThT!tAW z<1OJWbjR1;J)HQIktmcTiA$tU53%9KV^{I&P`P7YSC-S-%G$ zA=*Q_V&hGjw-4nU4?^XnqpAZ1-wu-nfYCwSiz%t(AW!`mcYjDAk14}Ihqc<~t4!Tl zqI8gF^_}%R3;i+46|2K^uwWI2AdbSxV3xJz=JSL8>e==JZzI0yy!~G@SNd1TW zUjz0__NAEZFZ<7>W&r=gK5N=uk}tho|DLt(Sjg`EWh!wMtKrD(#( trU(LCami{rLU>HvIN6zObh$P7J~}#Esq#nx+yLzSj-DJ4Ycr6@{{Vg3+SvdA diff --git a/lab2CA.runs/impl_1/CPU9bits_placed.dcp b/lab2CA.runs/impl_1/CPU9bits_placed.dcp deleted file mode 100644 index e1f21b9f04eaee61c8f8cc769855ab06e326ad17..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 169881 zcmb5WWmFu&xAu#>yL*t}?l8Cn2<`-j;O_43?i$?P-Q6`vaCg@`$^V@9y=Sfa;hs-j z_1n*Ws(bb9nxdI5`ETG57$6`ZFd*L}gXN4<)jy*^K|o%>K|r8^)X315!PUw#Dq+&v zAAljgd{1Mo-WZ_>c#B$t2}ptnB3W@3lMiO0VS#{(u+gntDT>EwdgMLKNc)DvpVE%= zz%>plJCr#B|NFL(U6H)wU4}*qr^1IdE&x*MC&vMV^;{c1O_eo?%`pSUWbm)5iy;fzN-^|ZJ)I%pO#A3kam(2BTRI37zc?5T3c#B+y$a^U;RHBH#-MA}M;`OK zI5kLA9K~kP?6PJKef(+B!{gcu49$xEeeANoX`JSxQ~ta#S5^MRccb*X0wD5Iaj;8b zX;RIu#W{g(v)?l26p>PI(0`eM*-2mR)FqQ%ddkgWtwRF0VA^lh=~ zm}1pr(h*W>F~-H!Gte+$@BWHa9&Uu`VQSa-w!qEhRsX8*=JTjjT;JdI zWLVp#wYljyC)YghrQq$+@MLJG^Y-z&b17(}rl!l-t&&q6A=v$7c6jk|^WpK)kR_-# z{`xwISkR*Dt<%xf{q|Cs;GD1_*Svg;#4}oxaL=RBm-B4p^y=pH+<1G|yic5>);!I_ z`z6|xd-Uk=Y!!a~(MS z`ucqF@lcg6k*W&f;7$^6l(felSV>gA9bNqFv;MIz_)5op( zjoOwI+J5HY_%LF6{+AG0e-pB|$J-F~iNZScC1EEj@_ENo*!SXs{gB1|lgp`;^8L4U z^Jgcw?l;3;1j%{zn$hm3r~QM6mxtS}tgMVnT|qk4-N9mG0eeg5TaB#mGlTa|hl``? zo^7A6>-o3l_AK0jd??7HlfCxWriUhWKAj!y*xlEvR0$CU{ma;55WnMPmWg^1;ddWieB}b^K|b>8BVMsZZm__j>FsqpLnBB7QSnKC|xk1UUz`;xmo6 z{&rD5Iz*2p?`OJ)yvh29q21fgTjtkUH_G=LhEX_ck1-|W?|j5^PnX@ zCwlij-PtGGuguHFZ)|NmQ@_Q(1IW*wbG@!}-M&gG(&un;Uxby+_2%EEK?3La4ec zQJo-1M2tJR<`wEc%gheioAB*oEDohOUV*YaZHBvOQ(?Zj3dB@

E=`)h=`Y+|kT()I zwCAsnZ`RLVy$&^=k9An+RQJjIZ$=OfP$M-fONnh9=J35Q6ucWdTHCujMx9bFMOnV+ z(hDp?`N|?cKz^P!rUsrpGLCT^MPQE+RA-MqqWxig)*YRG8#~CvSz z@cfo}`^Dpn>2G^oD;kTGxtpuKD}ydI3t<-bk6+^-0pOD~4>TCRHi^DR9~Rf&Msz;A zGJcv0`b^DkcH7U-xg~!dn|>7MGzv1`(|WutW$B*x{fMT*?w%T|FIX-2nH)ZZzTHAH zt+vpVOhz7gbLGfhkYyYyZeiaN$|RnMw*ThA#hdo|@}TF;!tbe)kRvw*9A&pNApq8U z!pMft$AhcuDQUC|Zz@jW)hk8_Q;Xwv{V4g6=sjo(G`Kaqc$OyLCQ_UT~maod+bHr zpH`Ql9J~;)X6_x6U-jJK}yx&tV!+nL!HsB;&-9E zB>Inl6O}uvtU8I~?>rtcb2*Mg#J{?K?0pm#yi&hQg*+>~BuqUKcXf}t&>F@>q4U@4Wak&!agUDhyz`yFmcWphOeO;rZ@ z%D5(9zgA&;Qh~`%%LrdAr>Rh{J#1^PDv2vjUq;hk3-A8*rR;0G@PGsA=|Q#J(1EkD z9mW2tW*$WrTdv>_R4LN0zoy2>L?j|-6(!1xj2jd49AxA}rkI5Yr`QV~_OxE;yx3Nk zMC&TU`kRvF_u_9Tl#_2n_*&}($YBYw_DS;!3it{Ud5u-PXj_w!HHKN&LK_WC5U156 z_fqHA^%o2K=W(5!Npwfk9xH@e>(Z7NK31Iy56bB(M&%MP+(IV0M?wCFyx(} zvqKmB=lMQN28-TOcs{)UNbs9_kmnmDsR?LuRXD~z;$4(-wQ3sw89&Xeb$%?n8hdnU*s{hjibBzems^)lqual|a9nLBzINAJ2 z#lBH<4F5b=n3p6E@!>F&2N9gNq6bMzFQ6ZqnMq$y0&@iw*3!12uXGWO3%N$c%=1Fa zRo3$vafVG(FUaiHVxS}hC<#_$cdfgw?qRi2@q2Vq zXL*|WSq}Bx84A<&pyTUR*T**~Vm!~q?a`?`u<1+<$j#-P(QOgKT0GC1`t7Mou*=ge zqpQ3;4M=ZJoY$&IuVr)(yQ5Y2vUqF}%rnvJ%CKq&df(>kBKqHDCu&}V7N8yX7>F*V zVLM9by2Y9xHvIhk8)o@W^8?FySUp9?cZWW9FE0dqN(Tt4U2eWPGMa;4xIeN4M1ej~OU)_J}_ z7)Eq)sxS8J)>%7`N(}=0_idwj*^KVBJGJD}omF0J)xI_5vS2RTxMAbsq`ie*tP4`= zL#2Z^%#azVHkcPDS?A3n?=O2y&MCJEjjJiL3ZX-oAv@hqXfRUg8Ed&e8g!9|;=rQ< z6VVHe)y8`RE)_itIWpq_=M^xBOu_wtMIsVIb+?#CENP!f4+zedKB8q7jNMDs^hMy0 zoKe&9CK?ff*g81$5cpfFC0Tu*a&T*0t6L zyPpfOx3d_NZ0S=WI1MY4(srPbk{o4%7Xi{iINTIXP76_FP+huU!xQ{GzPt>;%Zp8_n0l6nB zZ9}mzBB0Pjkjnhf?Oj;ejAtmhC)_LdK^|Q_=bvSVddt`s&tRM%<=Fu`x-EzBzi+DD zk(|B$WHZ{!a+@b{QLq+cM%GYvm_)a?xF#+!uTjG;r~0eCp_E*R43HdPE*Y z6mfon2aU0D_;WBlhE0BJ-K9Qkq+8H;s& z8IRsEE=5drqoBy}93_RN(zSn*q_Sen+Pr0SMDp0!@T*h4-u|vX9nt>7<08KIma%{H1IGSI zJy;jltGoBI8T)(k!A=@dd%-cx*3m8oDy2?&sJoYjcxP8i>=LVpd#p&Yt)gUjU_#+B z%%+DC)}(y$#LPFQQ|5{Q{*i1Y7RN?dCf>edT86E!X*50#PFkZB(}FXt@aY(2EsDbB zRKvvIwW*(hmAXE$CDI{Ruh}`ds`eXan_-FLb)5^bO{ZbX+l`oJdy1^HNT-evPKHxR z_=_Z{Ujf6G2vP?;s>8I+m`)Jcg^BKp7z>nZoTy3gL;fwvZ?YeWwh^h!1e|y{=+?7t zDAuSWQY~Uk*wOgMA+XIyd@wGxJRDa3`V(iJ`-mt|e-dF+o>AGX zXmY6!c~hm%#pJ8+MQR$f zR*)94R$xn2NFdBJ-xq0KKd_9Ydd^q-lw^_#UP@A0@F00o!XNK$dkif&V>sHSlYPot zK>0G&f+~*;Rl6dSJau9;jufiBZ?P~9eXG^0@xtPz>m~H3iY&c8E9!*PG`wcDk`rt6(_|53jRndm0BK$Y(jdy_a!=TTV zkLb;iquuBAct*y4C%KD=d2{vxVpF+7D`xG+p_@@Om+_Ypdw+%ZQjk2A&?R*|q1SfN z1)@4ps_)9kuCa_b0gi|QPEf0FiGlQ#B~)5@eLr-`g3GDe8}HBF6k@WO^{idUE@+i; zgJ?*iBf;pj$qP`bk4of^!XHp@mQvjRoS0RHVb|231hAj)DDxOl6O!2K+6`8+)u#)AMkMLwI>GayVb)7Q6wiOK(4=% zaA6_A=!JK1<8X0fXK2q5Vj+niYfq^gn{UxkBNAgd&%X66mRwWARY*xw=C(DgCM#a? zm@c&uzo_D#i5=e1EM4=cJ!-G^U%H|mlJ6t^2>2{WR^Y!yuUf=5TUGh~WMk={&Y|qoG*}lT5d@`SS5&G05BVVAkQNQ?yuv2QIem8U8 z%AB3Y`MjORuklSIn@O`qUx{C6>g=uQfUSY(sb{Sny#tqR-}mvRHejs6^w!s`CgKEtZ`!uFbgaEDhT9=eP$H{x{1Y1 z*C&a)e>U%Z|BZED_@spOzGGfWHAvjO`4@=*(Jm)=%3IjC@@x#BH^o2kf_D9j2|F=c z652OZRsO$)Kdfb_g7pH>bMn&W5+c07AZ^5Q9ltc^_CVnGiKaI3ko_u`Pt^m@f>Eki zB4k=G)b<63CX>->YMN7_mazqh1kx-`Af;9SMcaS~7$rInLM9EhJf>by5=4_)d#4^F zouEDl=pxZngX?48(Z3T%^h6kwl?tS^S~gRsL$drJTG^z~xq=mvd5pP({A=V&mxSdO zRTDAFI&#>#M6Q=)U#e~$R$0Dqy6+mfEZ{tjGWS6eUEZS*wuFBUVu8pE%shq-v{51* zC7VvIv!jGV`2wT7cIC~kNHq_iI2P|b@!9b1wSU;4dnjuWiiA|Qk~HoTnmB?U%jGMR zZy==zVwmUWqxxAmmo9-HN#3)n2-(&pKNddj6t)P7F8#EQ`?u5F6_T9Lz!EbTzZQ zH9InMO!`va`Il+w`X$}ruyN%5n3|lWPGJU(<*6}ikkzqyQB5V;Bt{ctR$5JzTQ|9c^_a={K>lz1R@FWgP3ls#*%cVjb4auLkoY!4NpeccDt+l@sK_YuquB zC06x)q3J}4V zCJP+!#-g$@-o^`Kqy!@8;bD^{R;qJA8&{lT9L1BHa{d^%tp8x>i<9=|VUwbD+1`ndN2OeWhj*QO=%$L>N zL3C7}`~1%exS#4c?gL5Jtt$GU4XO4MWi?x=@_ITwFJC_?=NsT5qgRki-o_J8KIzQw zeb|VS{{J`Vh&-h4eHi~c?Z?8&r#fHwVszb7tv#1lLy})=H*wPS`s7y3*wgvJrG)XD z^X+2+i#PsLJG1etZiAnMIuD>^RGSwx&_VK$Ce~Twj@(I9xdv zE=`4|QDM#h1!>f0KtN)l(4u3w>f&zf`F)AZU&pWovwbN3In#Mk-OTL&miLCFkmD3N zx%qkpuymn+0ZSJqHqSYz%w(Y{%?wz&TENonB?6YN39xjRdV!_8HdeB@+eT5tvT2?d zS#msE9jVD3Xjr1dT#MT{DUGKv4{n6pXx}g--`-~eoDzO<28s@4l zo-h4`X7(%kISIi{VXguUdZVC^SOSCOg@1$UFwA~38o;35zhD$F*s&xNyIbtMc-|xl z3k-S#gPH$=nZO|8qD*W-`QJ<~IA%X>!^uG272(D6`rCfB+uKn`?>ZO0Ql&IiF$H%3 zPlDq30C2(QTBUO6dF|HL@gsCgPs(#K{C%m5NFWAkk2ZOwrm^B#X3kNqN#vdi#Q(Sx zLRiLkg(MX+4gjNsh7VCchz6sdXc(aPLl%tmx0WReoj@!g6hO)WgJmW~B07SJ4-uj9 z1%=Et3ejKe6Ah+wfddJ6?=bM8Mh?Rf!Hv2HgF)>f4f{dTl4|vR`4(1~087@EI3&Z| z90;+fA2UonQOGf`2JpR@h4X3JTVBX=c3AeFM$P;v`@d+#4&yfN0Y;{kocvGb0QQ86 z$F>)JH;DWS0d<>Nb*EFfES1`iif@i5Hiax%f1gG7?+~!T6c}-;G~E`)prsdvQ8w2i z@}0Feg~k`IZ>c=E8%quq5E?dwbCN4dDATxQ^K(K>9rM(N&@k6A`z3Dq(p)V9-S zng7N-Q!0a*fM`(h(hPJ=6oe#C2}3P!cN!JP8Seodlc3}mX5O)en{)rvcv4FD5V=r9 zIJ|*KggC2=SrQKFpC}$ip=TE|cmq(7hz25)nB|5i(r@Qaum3d zn=3>RM~ZZhl!bzi!4}$X6iWV25+pbfXxTPcURgoN5sFx(WjqEMXVNyv+h6ie$2L#@ zV@N#cZ+c;x^>s4u*T1f0la3L~(2Asg&%vxHL&yjpx8KME&sjCJDtWh)YUT4JLbep}&~yN7Ud$8<2LNG)oRQx?c1 zw`qLF69&ApGou2bKSlwWk(VCd#5ctHe{!wI{Dc*hEtWa>7Wtx`bz{8N^7=eB6 zYPMU@VI#;(h=K}AKzx>5PeAO(=L4FMhWrQFD|TI05<6i>ZBLDg2lS0Xano--7R9zj zh~u398>W95&Kei(C`D9EoeAkVs|m|p(KAtAoi!S;Ne4X<;Ng`@97K# zgT|W~^n>6k0_(P+8CbUrhL$)q@avengWwM?bU&AfIsaGbG%Eng$>DtP6PdEscH5HVJE52CI555mG+MM*UT)$4<{BXxq zc~?2DtGmc;Zl_g}BDRn}oX4AK^%RV_5wl=gwdRqRBQ|ClsubV#hrApfb;NYZ3@HU$ z5+(V}f||uicJ*6ejpo;LHzmmMO*aX^md7lTm*-rdz$mjLo(2Dj2Ik1R?>gXTqZA3f zfBa4`S_C(Fa%3R_aqT%%Fn;Fxs?Dz# zJU-`|zYALbJ!yZp#OB@?m*LwkY8Lbas7i87K^rikg)YjpiijyhSwkRtO%*xyPaPXk zzDEziKLah`#f;k%CRH}A^a%&Az?51iv2ZcLE0XIzVTMsfJ3oJLP=rEB*`3;dpppZN z1G5WrmNH-q(H#8w$yy$Nq{<~=4N%pAzW~LuwUNsaJA47v=vU#$N$p0vg|56#;J{fz zm317kvJCu4hW>3_jovK+t|I->1U`fM{JB{{>lGUxqY0$p$h5Xpn{$v4n*G^T`{lia z^sC8s=v2G8S1U}EN>x2swK!2Yn()6fEi(Qz2cW{o(3=N$7$O9bZnVVW&Jl0-%1h?E z8=F1@KjV_hpD*v#eA!Y2ZYL_`v20FT1kq9ZS4Qs}v$0~)zI7i)2S1xQv-h=YiA|;~ zp*Lc}Ym1;+=qBEu{MXcd$#R*9L?k5i`7ooR`&=(D34^X{NO<6OIXoOOh7eDVs3W!7 zXBBWb#R>{QJe-h&3tseO`M>H{VYzHoUp^OMjL+%$nA#K?RC|_v^vsEj2{IiSJIfP| zXfs}3oSx+taAK#$bAL8zdh2X5eyacOrO4|~=#N;tjLh~~B^FA#ap&kv{Y-y! zwAW7fz2V)KqwCZC>D;Pq9E$<(uyA^xWL$SQ%TNz7O7~34N>Pt7O1D9oc29CFG7{M- zzd&7o7BUe#vGV#YisjTQVd5qBj?`%AM~Zo(#^=Alx<(WWzGcD$)PDj{7Ky7@e*@x@ zCIu1{^?;#$+1js;91M-uMJxK6@Uia<<8t9vn0uJD3#D%^aN4`+d#;#*hPOA(fC?{v7)ao%=GiC=%@Y;%EDr{^o=UpbbI+2BfTq5QCXo z;vb!R5U0smFGK@-lL(5ztzFBK?Ftsb)W(r0S9S1f=i$~uvp7b@&l*rT3=TaTQF%>c zqE<@BISh(TRzrWS49L*YsI_R~xy;dpo25x$^lU!cVD3Rrx~J<`WzJg_kI}l;yivjP z9R^nY;a8_jgv_2dTDT&jqZ$L7HcK>jMNTF(_B&+rVDEq)PpIp0z+1x0u9LnFI80&w z%Qyb=EFk9z!OLFjfzQ^(N&;G>FJB!!E5C_$vK^ga$19jZ^wr#wyQSC(F3i>USMY|& zG+z)$uO63}iuI8^-paHwD)*6TmHgy2$b zBi~kUW!+ZSLFx@&+#(ZR-d?EbsQ%RzGI&H{7@OH*{)+!&mju?X8`A zha4XBf*L-#!RM&>hz>CP#fu!B#ETNWxG!p{{@w{QeDXlCx5ss_o&)@2EA+0VdDw=p z%C1tAfAp?}ZNqpF%(n_y10gZ%hBDFQbt*T88@1QFa3^FTqCI-QD`O&iTOf&X1MGD9 zS)g`J+>8*02(LzPBG=dAm~kG<$PJmS0TM$XO_xM4V)wo2P>=Voy6-PN%2I-AT|d1x z7E3Zrq~%Gyly}nYIDHO>_K;oW*C>0g>M><>5%qs|q^HwAtmynPX>69iq=Ej_jlxcEt z!re1-vLGhmP8XE5!FMWeL1TGM&)tTWT?jKSJ^G1lW#93om$lD~-+SqmB6jFK6G|=W z96`Pc)Es3*XGrN;-18Q+CP2UVQ1vzr=GV;s&Pt zsuigDjUUKE*CnWeXYc?&igQ~j|5;E3M}5iO!-VZfDuX7Mwr~N4;3R|%G5_f+bVI*~Km0xMFZTL}nf~Id|ES}Dm_XJOj~Z)pZ$e%Z%xT*aS8>nId2x{*X<-9(#IE~<4IlR49{%ID zQ6nzasQqiarHi3tLsqLL|F9ydWCOf?{ec#YA?a)t|5Q37S!9qX>$=9g<*;zRjzTuO zt^^KznD@2kZB$@w?9XgE3->y8NjCfptBE0#^r%S=6GNrRp(6bH+ENq4`KZ+u<@(y= zAxq1$)fGoW4vs}j%Qj~G408^SC@aIsX49AZkCGI@?F%o#DX=|FuNulNYNj+i28~4k zXyR$!lfRJH6E7l~CwJ5>Q7DC9gQR;v;j&WG)UOyxQM$`^&s~&eoNw2Cq#3p^=Rpa- zrn?cGU7*jpT|KcFX}>=0U4E^*UbUDuWpVv5<~f)~I7bbw&W?Hi1;aedNTrgZT@fO-@-Ink zX*n^x2JG3~l!(xGPCTd8&$Djow~LeTT6_)W2Z@6BS?``8!QS7h1>+h{(HHI=pAf81 zTx>b?beOVwwBse|Gn=9aq{nNJDqrJb*SkfcK?J)dRR=&dZRuM#%qA<$_ zY&YSlOqDq(bK2nT{NyXwRb}(jDa2DkPsL)g3LUok1)Hf-Oj!{&O(7LLY8xuCSc-CI z%PTdHsv=q;>6xv3(sL0y>Ei@zCTlmB{X(3zi}DMF02?~;%kb1X%KQVtA%Y=N)=3W( zsnRpO!JaMBU^;TX7Lv?5lyDLd5Mh&0X_W z!Zn`Gn9pZzd-arFD;YA65*`VW?^}fDVt-PMX(&2Y%ATV3#k8KYqNl0OpJlUsa@VUX za_;K%#SfYGA?3e>lv4Y0v(O8)^3hj_3Xe9Pjp{flE1&%_CfMto)1?N$l7A{EmFs z_j)Rv9205JbpGBaHl>~UGuWZxG_-o-?@T7+iK+A+{>)lM1D31kk*n^~GV{Q$?DsG; z_wP(a$26Pyj}V(-w-o*&4Rw4PEE zpc0IFwOh1dRL9zXHf7@;Mlifs27P6iDU>2dFxrjaz6y2S6)I8~!SR$u$_S~(M^;ea zYDlrv#TyxY86AxqQ)=|GzDsll{wSlsofPXdzl0-mYoU=U ze<#+ywZHN)BiSH_dtwhF+tzcRAfrcbBoD86kp{NorLGsmHZURHR7H&2$ zOy!CqLPc5HEp!p0tBRR?n{#9l+lQ16)<>am*Kdn$NX#r0wMI<76r6hsA}^msK)3mU!EMpM`cI2#|DSV=B;nR(HKhf;>+h) zub;vw z^M%g)=@^^q+d#m7B*cAryTw}x^r*-gPtR(-PYN>T`o7-8ZnD4GA_P=Xzw74fV&Z6S z+pG~2-v(6je4$1M(e$;!BPL!CIAp-}#GjvU;1dXjwm<^5+vnMM1yrG-S&go&BIV`} z8d$l3Erh8O&}^SwkQgdD?nq^D3cRNcDA$iDaK7vsqpLEPTgd6AjBTA+oToHU@oQ>U z>&ANd!mA5`NQ?`M{4C4HdcDG}_C7RPvvd7B@)g z{LL*e-2`urj(~>eUiqKx6%yd&PNC!P$eXtyg>uA$|8O|+|H0nx{|9eMq4+Kw7r2`C zO3r!^fW`87VO#pEjW z(g2bGOXLCzNnZpQ3K9`vQeui+b0`E~5>ORZJuVQ~l6254axz~5I5c?)yfqX1;k(LN>no6C78d~n&d!hWi71d>A&TP)_eWvTc_sYqU*eM zt9#Ni`F>)3`zl&%#!ASsU?b05ulgWl6xj_|Y!vw^tUvK4O*nxAXPP(3z)Rww(viVo z8rhxL^yWX5nM?CTyJ>f7fLiH?62d!Z5kL!kG{aT_HuhEhB;}gN7Z3SL2DTRoPNd30 zR-ebz!K^M$GPBVvjGkR8TndE^KFl3WJ7F7xoIb9a@)%cA#_ZDiU8t+16tGvnE$ycs z=kp7YKa^7j2#$2+4H7w^G_HZ0kwndfe<;-}tdWC64-#>sqtGJ*oC^^(l=APfg-Uy@ zK*A+GgubGetnHTQGoh`1G0156SrVDB#M43vH@v;Ghs=h(y`4`|$KA3Ak!5bXx`Dd5 z9bQge5^!49$%KY0jY@z%5Sx0Li=qgv;f;kByYh1$DsVg>5uh!PFMqYZ0A*jL@e8rS zzHJUlflGD)>XiwX<_xVN)r&-EccksanC^iBKDQV5G5Myj{zOz{JupFG)J!7tYEAi}%Hnpa+31hPD{s2UP?DNn)b3Q?f7I2J*O`-UeV;D|PhK(N;S4 zZ)pxLgLg#b3Gbf}U8-dt+u%`L2?UP{=ns78O79n~LM#ss+vrk4Ola{OW|48V_T^e2 z6J)B9$J=Zt+oM~T#tEzQN@#hoiQK8FhK#~k+V__-&RQoECp(p1VUv4+Yzxb08Uo;M z&*V=&49zsHTq<&Q^f`A_ps^uUs`yjFwL?sTpK8Kph>ukjiNj^2_vPJhhQn=z?KB51 zi=6(BUW#DOWy&+Xl%X>tY)9u-Of~+@TobkV9*V+0_)q4H^I9Mjq$n(<7=7 ziAlpzQ>9WX>`PtMRuqQt4QMt7#KysOW0gP@Mh7|w{MW$8C7GuwnS9Gh z3VjdOs{KTTGgVwX8p{as+ejC)veAfO#H7b2si!Kbe9H_9{a4DRFEq(*V!`50E@pvo zp^p%eMaCt*;<ab*~@E z$yB{Pwd~Fx^J#?oW0o}0HK|BzX@)4Hv~Ww{T9hn~Rgn3xSr(|I0p!pd9RXmO7GZ`E zhcIczwtJ~@PCZLt2$>d`dJu=9Lq@iHBwoOt-=Jj1grY>Na|-pJ+1j1 zB3ei32cr==g;0bsuU#Jf`rTSqBv-&6@p-4XnA&AR zGXvjf*jaw7%ctR4<_FGUvszM8$qM{6(CmmFQ|YHZ@Jt@p>96CV}s zH)4;S^Bm4rlI+^dd`I_U=^By(fGNH9nh zdm9kAuRdEKi829-o47Tg)JOS2hyeW|&0s~<4{H#(wmEVE3|HhasNCFfLk>NaZq+3?;A;(j4;6 zN%Ukfy@Zc8+jDO0S#*kbTC1(PJnY&;{9q*>`}5FYB9PdB?so4^9kPCVaxah6e7Jb( zM_{skYku>uvzJF;JzYL3!u>m2Eg{6dg}<5-dEeIKhb+~y|Fk)Yn<;hg;Z_3AvW zt2VvFO(@uHF{D&5<0M14#PvuT`5W3I0C#FE7#5cSTq1o4jXXbI5x`h36-;GX5AG(l zUm8qC=nEiKZt)@SvKTX=zoj{<7*|>!kX~?y5ssEEJ!985TIme@VkX>&AQ6Kr`rFL% zfg}+dlKHzz;9)}mgh!UFX)q}|&f)oD;M)9Kptz<-WAGsUTW-h*g3#EjngbUA3S^=n zihC4`z!k-bY54`G9H4Nd{O{UBjD{0bQb9iVulGI;B#F~Y$%;*;FK|gvwt-K@mivb* zi)lTNEo)gn@hDu5II;I}CtzNdN4nS^&HB>Di&5Z)zl0y*lLU0mR?^p>RMOV~P108w zToi<#jRFvjhDPE82^(7_0%p`n3hGptM;`z&@BkzK_PQP?ko#b}1OTJ>Ch2Q}NCBva zLd(?%fZ49_gZK%=Y!)P-iroJ~xzTKy?6JNpM4)h8rrPc|nag;!7OmrqZeqgc*c)OJ zWAl1;;Mw^_aI|qch9+82~kQv1Zc+6eALBWMfF)~8d{E8fx z*CHeSkn8jpffxf3MNDk3q>{KeN5sco|zmAxJO z-USAwT|eQ!$Oh}gq{A5Nnoo5#6~2TbYHL*?BNkK{A%!A28WhlR@Nldjup+xw$a=bN zC2wI#MV+8W%uSEek}lY+nG--4KJkT8SE81tD3@$Ch_js-m*OjQj_=|1PnM*f6a?1Q zT71J<>eIhw)G$GQjeo`&=`&fF831cPEb0}mQ=zNTa>1i3)EWe99|M9W6}meay1S-u zNarsq0YM+CwLJ`O<~2+!U$Isyl@PIlfx`7ro0^_*7h+6!OKt(eX`2I`)17v0E2`Uc zi-(Y7b)k8Rqia!^pkQcWxHNdJogn&O2D>zAR(a23vX^6Fa(f1iL5Ear3@VOfzbffl z7T_}_?;P$HDR#{&II1$QSOi@sYw!J*l?A?bWE1mcyGsWTCoF09o_h46b9WfIzA?38 zcK8u@6k$F0Sjg#o?a2G@_K&X}asO$5!)FM9vss4!v2#)^MJ7#7K+wAVONY^QGVC;X zS(%TAPq2O&p4EfAz1U9ww%)C?=*Y7Mab5qG)m0<47r&wZ!1TP1$zs_iWO91JSih)h z87U(t3!P@u$WnvX(p$U=myf$LbfnUy#!zT@I+CeDlB3LLK=9%gXc49f*}j6A_lya(s$3&j+n;5y!7Uy1tm~cwx!@1UY|@v z|04Y^l<-5%;2yBZZ`qL8-jorQ<5>%F8EKO^Vc#bsOxyhHT;0(V^YC@k!+5QKJ1<@(qVpX2 zdejP;XAy^D`g>Qp)2N^k%%V zXSvYZdo86!bd~}Xl@d2M=fesAqX%)OBbE~UPD!XH<7BS4NQ!D2b=oO!6}kQD_|%Mr zXF4g*jjAt5PFEpe_8C%B?{g8@Rsy!g)b(EfwpBa|w8ApQB4yyS+&pTg%6__%!+{*4 zDQJm26;Nl>p%(;Uo5xt9n@Bg z*j?Rp9jd;5h%3(;(^6tzp_6U(Js(q61w{zZJ5|oBSq-X!v|QFAQ2_}cS>aKkwsihx z0ji}vdjj81453vF7nrpaWKmiUBM#Y9^<3P)R4S}rf0GK%K0Fo=5cZ0R#!OkK#WEsTcJ97`woxR4r+8G8Z{~8IlTwr6 zM1-v}a7C7IegiNWX6)ourAvVY!{0t4j}qx|^%dMELv8ea^v{x0`-nS^B}Y;-mNIV6 z0`x^=O5bp2X|!1KJNi0ZF~NgSy~bWx^Oc&60tau{$-Dk|B1*)x|COvW_vu#1Gb?te z`}Ktqg+2chiMFofx%z+wq4IOwnu-=%j)v}_Ml4gH;OMje67oC>q+gY<4!Y98Q2x2b zaotR_Kt?i*<__4V2g`~j z1Dp4>CI$NfNAsf@fZ|6a?HU1~vJCef2u2Gq+QSh=rs!Cmfz_(Z6D7gr2Al1NvEgv+ zOSYuGmJp8?bc8>i43=Aoj><;!x;vVcpvLFX|HhHHQXmn{?-;NPjNuFn?cYB>FJJmN z9X4-0r+eSAxaIgf!oOamXsy-#@W$%SmGq9iEy|AeI2G8}dnGiJc9GsULSd5a!%98j)4u-)<=%BjK2 zpsrqE`v-rOiOZUENA_>}A~i6b1DGE1pLBL$x*Z}goov&{h(Q#y_KIiYafdU!o2D#Q z1BX3F$DEEcwkE9sXles#xzH#NV7{Z=~3h*#>4*!W8_IvxXoGQi1= z99H3ABl-`?Q5SS9LS{i_5+^qj__-ZtSjIv>)#%rBpwYN`ELMsn(5Mu%kuPj`*z{gjlf!M04eu`4rpHo_T&VC-qEd7Knau)4&_THND=EbXfv9t{REdQyRQ7<&ys?Gwvm3=ac zr$r?E!)0MrTFob0}Ri?9>26j)c(nAyV;#^is`vcKn3tf5~XYMn+uCA|+tQAT# zxEzBJK$^mcj2{8-w>!na z#k+1dgY^aP^b~fEecoaGrS@-UhAuiD=!nzPsWYX+<^4m(i1yd1*`1iddsc?6tn$H) zn_F2Ycy=F4CqxY|PN&_Q@3S?+9-eF&pB&siUci@3b2_*;b267JiZ+by95}Y>X`?VtaojP_ETBDa5XwY|-F4mE#s_HmSYSswm#IhyOy z{dV`q!DiuA!kvQp$J^ab@h9~E+(V!o56QnDxOV^-aOVfa|MwmOX4Z!G#?i7C{Y(hK zm#>&@DR>%F*qscC_jQR?ywGuGX{o!ur_MFHf+_UvqQYn$?!(pI2*tk~pCS0RqAfywv}(V}iYr z{-mm`&AK>BB_?cULh&8mkd zCb;eUWoh+$e(##wsa5Cy!_`|r$I%WcBRk+=QuNm~wB1A|{I`EK=4BpP!uy-UtJk-(`uJXXZk@Ob6!u7=@&u zFJV%K#^r`FH5DL+p7?5V6MDJKYEh|rfK4%|s~manY^UrR;%JZgb4iJ$bi`58n(p0} z>o&U4v=Cx8%_saESuD~m>wmtFxMFx=--w^SY0N-(I4k=T@deDP5ws(ltXjYqvp#4m zjVHr9#6PTA$oa5A4`UzHIwcDz+Q$G6-yj~7z`vozvgnv*Ii8oNJALh=b--gePiTWY z&Gyu%z0gCoI=`mtG$SFEM7w|?Be;!^%vs{3e1BK1$JDf~?WdkHa>k3b^by!M9=8(S z)}dM>7|>LG^Tdr3*>XI2yR8dqyRJWJb_^kGL&o9#l%rc<-I;*{cGTMRm1m^+di_}V zYF?pUx-@!FW|VH7rdLOQFxRS8W4qU2gtt!@?HUbdn08U7S0{h*Ro=d!FDNgb(lfZP zTi9uTC60aa4WhBQ+Fp22i{u$hl4*c8zWi5;f|3_%BO4a2)!PdNCiUS1AgqrCxm5t* zUIvG0Hry3aZq6{d+Dlz6MTmk!i5sfE-z zQd%yK6q;imFv@jM{k0y_J4|ccoM(ft2HDXO9Cel)J3qJPK|ryR{kVo;<9j6`FtkLy z7ZCQYQpaIapbjLHAwPybpu3JKOnC%KJfJ+f_>Q|8gZq%y!#oF#1_VKUH1!{B*CzQm zahA6#z5FKdFYorFm*y4^4saxn$3^@s=(~1o^cM_c{9^7NCWEr`J6NMf$lXznv}QV5 z{1sAAjFsf^>)=zDbF~QPyB!9TDpI?^9<+km`eW@(&JBv@~*;wydHTevr zL`r`{kW~_fP286+f6Z#XCLU_!_T_+SLI9E67EWtnU*O60CrYmH9Q<0K6wHwoG*At7 zO4$nxqY=F%;3w?)f*=dURBB9w0Cnf2y$-fW%ET& z(#n#@7rL#6V_K}p`m(|h-3wF>Z;rGZuAM0MYRrr8jROaY1QrH}nH+I##uilmJUg`* zva8QRM*-Dv$GeOFMzknKk1NLGWPedZcrO~&rb(xcrRHYfz~SXv+Te(|UWw)Li1vYA zrNC%u$uFK)j^ZhMzNt;VakqQh$*24sgKNtJ_#lEH5HdGK4zMt=VkaEH{3~ivJ{D$} zouN>9cry$C1xExL_aqBaH!_V$+|19+#bR5&nb)=o7SvK)9Bz#C(6`LUH_C9 z(UPAemFLSmJRRZeGNOYCS^U)|pw(QuyJ*Em4rzxN&WOVvVFR=3gbC!rAsx*EILcVl z7*4C^6nb;#LOjHXLx_rlv`%isD9zZSDQ**rqNQ)lreh~l+=wzfY&xl!r?dHyVOqxlrtslxJE4a=?dem%zd1EvG`?#(hk4J(w(Pk))ij|dN_m8#?5Dos#K z8ZXk|n9ml<@@9^mx-9&LMkzVv_3K{|J~_73zC0!zvyk~X2xLTWlw{d|NT&u58kv&* z&cV!iV;u$)l_B5rh4(8|< z2l74l4y?)1HO{5G9E{6l+G3XKS=q(T%LbdjWU$!|?iI5ta9A_@*F{%ezG6x{)Yn(W z>X9{HSbS4ECM4DCUyNv0lIk}YX2R-4n>?a!%XW=`wsRE4sgXx-qWr7+f~cun|I0@; zH|Z1^8asoar`5Eal1;WePPT=+KEV|+G4TZ$cbb9UCWh^=? zh2LnUvXCjh0_cD6jW*Pd$;z`$GHK9$QyfA1BO&f2g6%2qnAUhz?50}XxoTDpo!n#i z)i?NHcG{3;NK0L`EadCxw=~T5!Ra+^O?{lp?O__1{Poe-388&zQWnU>tnUYp&Fl&E zvT;bJ1qi0=_>z2t7>HTzzc?)VDA*Djsx%M@(RXG&teRQ!-5RTd;80U$wcsgu%7$%P zrS-L_)%1q6lrl&vv7BGVr^T@%>ymm31eMioMb!H=2|l8$Sy!A?ePy~RY%tMBNAT7d z=%u{rRnSayMC)|bAT7=JD7ZCCZ19N_p4|VwyzYzFk~hk6AjhWOy`Z%rXi)ZL$wk0b zel2uzWT8y8!=1=*sfmCmAcC)nvRqZBGanQ=|1rbC-$=bhXq;THjcdF#{lhom0u8xv zSCPF<9g1DVq)eM9Q~2OC@{I87pRw95OozAC%N=vKI=IVCYdta&C|(${wyh=ksx0{^=?~}@@{&w*3+_;vKx`s*Uw_sq~QKm z>-eYIvmZ4r_W@?PMk2?vYh@}(?|fbc^}TPZ&6BTdZC63_^a!Z=K8Dj33oyGGV(d%- znA{-qk9L{f6f^q4KSP{f*Bs5;0ZarhAq{#Z5b1ZUk;Zst&cbtFT90+9g^+aMC z-|`Hl<%=%K(b-GIyJxh&yn4IVjo1G4p! zL;V*cEy1xOB2qRDbRJ}xzognuRo{o($J-w^N77q80sdpV$?u%eT^2X#jDGlAV=C_k zjGw$Y!+JQVH?WMKzEkbX7K+a0Y3NhAY|PfnGww6DOrE`e3pPJ{V@33s9|`!{Rl`p2rO2HaxpCn7y~9l}}XZvk^LZ0`~7S@`(?LM>tQfkJKzSXG!1{w+%*_n5=^%m9A z-tm#)+W32U)DREa<28>7_8#Re;)WSFt}>} zeCz8YeNVPEIs{x%Bl?v-SO6dVE%V5`2?}q;l{@LqH`Hg~9-yrLxT*zn>{3$JDd3CC zZxqJTEyeGwI<>{EmSjrVPROS#_)g6~wqD$x16G-IW)F(y95STU39PgZn)GtKS6SHJ z$|#xbSH*+wCS)?%nL*oOu{iDGHYV-?8e%!7mf*N!iGZC(`_J@^i5X3{ls28>INY&W z&w=qD zb*lhe^~4_rI&0@`#RU~TrM8F6<52And6Ib(YyAtM+U4HQu7lCsvspAp% zW_1vUHny~vg2cAu#f=33E`^XTi%E*-1c2CrcG6k2+b>ibp@F` zljT;OOo)5qn;d$ddVFJbTin?U5-|+GOfB+=sJK3G6$C6WLw9sLVV5LpX&Yk^BS85^BsEU@U6XFj> zTjxmX!U;}6k;qBEo2af0fJVdb%qe>2UP1R=KS1}D#L($rNxTW~!FzvJCP4S}on~q3 z*J=NCpahe^M+&^RbL^Q#ATKM?H5hV?M1!!erYa`nK_BeX3^eINrBaQ0%oS~T8sVVn!L?m zw>fe)Eh?Yub_TntmSc1?wXY@cVlOX?B&v~Zw%|!9*<3N zpOtp8%-wzu5T9id-`+B@e``08u9;IdR)aNShH3D{EHPj#uzoN2Ro86So-g$=_SO@! zkiP{sQ*H888M07xl?5mDKDYtf^dL`1v$0FyOw3kVI{#B(_|KxQi5o>SuVidXnu{@g z1#9x~pHFR*B1ziE34*%lauYx~Ya2CtQ7+Yt$Hk_A3Os$;TEHvy>Q{eNRFTv4@V`{z z$dEw<;znfG{GGMKV_7Hd+_K|g2`l^MUBCaMxUO94wyzBz!db+@i!iB_GGz#o(Y=RI8VkWMatXZH)&vE^dX6NKt~hW~dA#Yj2!i>}?E*** zOTf*Sz}Qt@K@t{GEKYqq8t;3d?ubQU)$cmp;Dq8MxL)Sl(zf8FaCAQqpJRljbh;%_ zMzv|ANL{ucp=adF`MRWB_=OYOJM);a+BL za;2URUv}IWngQos*OaYkzs{vn*@swEm>CUQ@ZGAwx}OuB;Z1Od(0E{$8{q5Pb(bOU zb!BK2JrQw7XhTeYBuXb?^26bJ_F(jGAT4_KG`Beig>Ua3>^$;%=&*^c^-b?J_-2M> z8dcD)OF2M!3GBE+N#u>qdAOtQFeYeY=#$+TcbfPYe=Bz;4AvDWdU70IGU*6u5H2Fo zQTQ3q$y+WXK@dK6TAdPCfL!aUnJ(W0A-QDoYJ<~z;Hp`cSuHkgZ=$NR*6MN&mU&if zS5ZeC1)^GP!5chdCGNvmR*iQ>cZ0F1=xcTLk;zjHcRg{|gwZ)=%|1I&tQ@+>r#7?^ z^H@2IBeZKTEDkQhZpYM|Td;PdIlsqIhE>PrMJ(zFRW()1tK0FiC&xBcvxL??V*F!V zflv{$u_=7IT_$|gjSQ-!fGWLA_T;X*YI$na#R3efcTz?We<#9oVHI>9A{fj%3FJM< z^)TOko++6{-F_l&#|xloz55{hOd)CkdYD6K89h7QOv?4sqzjYXyC;}pQ1p$m9ikBn zjFmMp>}D6s@bn|F6ngg507%wfHlb15-luU@PR_yYgL9CBgv+1gN0 zWT-CdMVDwtymtbu!U=?;s=}*0ERz`n44@eo+4a}X&k7ac)if^A07npFVgSG zv*)=8-4@~Qu#@OeDF#h>eL2h6Hsjt89F`dy+D25@X&%V3e5TV%$jC2t+NbJWB}l{k2Ecn=ocwGCz0n{BnYwRLz5aqT6Cy#LVL5msGN8K%m@hOe8w?xXs9Jpm(CJxN1c9Vl$REh++Nb6pr&cGwxUQeWcjb z114=3$7r05_COqgb}6P53;t{1zh{MDbJ9@$K!Ab8k^Y~lX?Iie4b8PmLUJUZt0gET zx3n%E3ua{luRrRiPwp^DU*%M&$zAf)n2cLI1_K1-JF5)3d_SL))@jwETX(TtgN3VQD-eu;BYmYrNQJo#Z78V~CNC0^9xs5`u#)#=$b=77M4# zt?yUw-2Nw_Tt@AHJzpQ@W5LKr5!`2{MO4zK$zV~gcS#^$j-cJ=Jftu9tCE`6LHh;K zlRR7}mmi7tJmhCdjRp)0Q2+%*-`VmpCZa+fms$tGdt<9c-|y_(h2Kr{odoY`ryB8F z;=t1zoiBz0!RiE>Q@>LY7TGNef;pdUQ>(+i>oArZCWLGMHA0T37Z?|pEqH0pbN$VO z>`}zJ7sQ82!JH0;>Wo3a-bx+K;Q|Z#8Gus@JPxnPR#0MUhicfJ=Q`w%ai}+BlR7y| zoz35IHq*O9J21L*4+0SuJYb%iZ&-fDm+e~?>top;e44`yJdJ?^W+XdJXCy#y|D})4 zi5;h_sfQ(jI)&Y@y9je8@*x9b{VRCe;Ny!dQ{+BeM0B-ZzNHr+ourcM9a>LTuQ=v4 z?BklP+dunpwd_*c-{Nf5_)#kBqo-;{p~ih>^38A#s&@KaDoHAo$@&og(lff}f4$eA zsbq#ZvT+~K6Xw9j8aivr)~VQCSB>^kapx!=I>Kr{LGS(w6SM0? z#+)DuKald^pdU3%oNumFwOw=A$303PEpzaY2ahitMYytHLuk*5eeo?%RkcxKa;!u7 z{BHDa3c2qJh4W_Y0QjXT4PfbPMzSEYq?r}}}yuJVj zD-lQj_p*|QshRnC2Yi6}kR!<>kPnKbjjS8|I~o*S0%QQUlp>Jt6EQ{d)A`&uqUCxD z31^!VOez2h9)k*QGo?gl-ghg>Su)LZ<(68;DW6E5VJ)eGyEwZq(TPvm_)BpCl{|&J z+QS*>gSM@;jgj(-(^zpT`Vl!Ixh4Dc{{5*j%5_U{cS+It51DzJng823^&$ zy&o?#7J_g4;W;{=Hav7R_7!PY#0#iC+Zni*d=2hyo(Jqx{BL;&wIoQzbH*<%3Jh2N z`|M+&Q#*Ki*6XUHswcya-P|s2ezIkG|7g+7iIMFa+dH@!ikjIDwUBKn23+rWDHFN~ z^&k2l7;^lYpSy7|ESqY4M6s~`3&WH~N>@}+mW6dtl2<+vpv;8&*GVxh{4i$cc69U9 zhLBGQTTCw{c*Kd7;{ICit&Tlhhi~nyuvC#5pqMsy@`nIb#<|+b;dPvi<64L{Lp9lo z6EAfPGeqO*C^L*hJ4beKz)~5RHVlAWeOyFEef)h@sB}5+QdvfWNjY^AJI4o| zt%ftU=cOGZet<;Q;U7&r z*>m9~iH#n?8XQz#USY$5y|0;}D6!|rN}2DrTL;q|?|1RwWs54psSdO5;n0Z*U-01N z(C)(_iPmPZz@KBgMTVu$j=w*AN07BrMJiU~A?YK_Uh!|ryDGn&y0$5388JjMH$N;S zx5uj}zaY+88G141xDCjVH-8@ouuLPHL6O%VaO&}%0&)-qg5ocCnPP6P`qiRqvU}tlgwW3)F(_Ic@UJ_ka zUX-H_Zj0c?LXcK8q+;SoxE5|m9cFA^FmqRp*X!3 z`3A*IfGv#=j5OdHXIq>!IiCP|2-CTYy1V?ZHG5xd)i0D-pJjPyhy{)g@!-}Fo&Z`^ z>_!eY5q5lh3DuRbG6cdPEzYu-*}t>ntps$M{>B7<2ZJMLxbrj#X_VDsPaRo_C_DLd z*q_nbbb|E==eX26YMKNM*quo@xH*zr2-J(_B)vR7Zu%A`9`I!aucML^S<_Ao5&Bvi z8d}Z7%^M%-(BzK7_jWu?&1txsDn3%@mi3WEcv-%d%Iqo7jPdz3hyMAp8-<0w$^ zFy>en*&7`t*OcLe30}F`6CnCaHO(Yzxu+ktV5t~jzg3eR{)N4CXxjC7TYmZp{pX^o zu{yzArPaA%S4~3V%&4Ji4D1~1uZ7FgLC{7kaF=FKD(<=jLy*4Je@@YGD$$SslouDw zxFF@&JmqEVxHW^TOnF?w(wGSl#ey~t&auS)(QaPWdnxie4c)`TB*UB-PnCtFF$kTo zM4Ww)1jb}f2f^VcXO#sEn)-((inxY}iKhAa56j9@Rs+~A?l5>>A~o}kpn)P5(6otgIEU!W;@XAPKK{xyePM~Ml*;ZE|jNbOSA!L?hfWf+$(KV zR<+D&ie%lrd|ZI1x~&;tikrwfPVL;gT;jtEu7S{Rn3~b;rH3TL?u@YA)MRyzcF)49 z50$l3{|m3l1fGoi`Te^96e>$#sK34cw=Dn|EH7&mOWis*p2Jze!AI;v_S|o$>)v1^ zHT*i3$v&hAE$ok7L2z5yv(^AJcT=oqyo!Nfja;9JhNXw?E<(Q(4s3ab$8WMH8o=!Z zdv7GJu(7r&!Iz%m90Pst+fZ7-^?q@#*Vyf;bMAZZ-PC{L`tGy}{TzX}b2bt`ua}qN zar2ux1>fU}rfe2D#wYolc1*&Hhs);5GQS5@yXH@A-u8!9^Cp+)Oj);~M{m zU3>qBCE$r`ktncI{~fl=I1U%rpl04b+v@go!2jJ_67c;#S*W?8t7e^YnB=OPwc&}@ zKjvW~!{8%t3vLp$v1L?|&%#19;K5q*tRoPLQIfDjM}c4u41XxO?YDyLuyqME?Y^1} zEd_ZMZ%uPRF`oK|2E(KM1^FUYm3ZKUR3qflv&lJFmi3yOoU#dlPb!K*Mc>l#bv}wj z8GlQ&hm+Nf>k`8gF0O)6UQ@G&t%`@4O0(Sw)k2Hi2^RSh*YE@K?bovN!9&hvD=vQ3 zfH)<9q)pQ7aG<}!_Lj=TmnGvKRX^%oQz@9PPOA&G2xiW3uI-(_H&?OGPn^$BG^!z- z(Vq>)ID*gTLur*kGYvG#9Z?y>4!^#k%N0*6S@38uGm56w2?_APLs=8glh>k)&WJM+ znj9C#g4=?HkyKEy!7!M{_B_@EjAq66{or(wJ-!Bm~$QQPpl=@mM5ML?ds zbQpr9El{i5GNBO+Fu#-)pWGbA1J5t$hHl#VnEh+< zOBy!ud@D{T%;+y%H6^X^qVXG0pU4~i4KND{>W@0;3rx{-r*AQl@Xnb3H0SQgu8{|9TuO8$Y{B~Yj z7_O`;d{xj;ClBjLr-&@U8X&6F|2-k03A=~fDv}+)0eguF`*Ye_Gd>b?H&UfcMvv?N zQe_&md)R`gcn#R`2FaqaAY%j5R5Y=jcE=g)XafT%GG`hS$yuggfCG`28R00Z`)n(WQlf7cVXs_&x= zhjDSbN);k2mmm&66R+0RcPl=~ejr|xB5_i6VHpRC9;XU3B*OV%8;AB2x){gyYn=6y zW7y9}Qc`t_)5axwB+F-!-8AqzMv3kXym3+9sFBx@VRtZnrzYM=`kj1)DpKDxq_Beu(MCYp5UmMz)4o`)%w#^*{AyPW9)0(!iO;^%hRl9X=o z@_*3VG-zidHgL}tz67ee_ya10paBs6nsXP1>{mLU-t4dTF1ve$DbiuJ1ir$qed8J zv3*n{yx~%3#XPdwfIg$yR}mtb3j2_bp-V-sEo#tEeGtWQb_iKstsvyIvAeX255}K! zw|H^;Fh5rB?~|SsStLm$9Q|iZ3)M8fci8n;D&4wH+oG-4Z@=Hjf6$QT!NPQ)&sZOw zS*R~rJmb>E6O2Acwh+`{gH65KiK)D?x{SmVp2|sf*mXA9rybyb2*tX4KSCLMUqw!7 z0)j2dbHP`=_t+Pww(+m-jI;G}wba@TAW=;cT<$_(1S4nt!GL~fKtDL3V5ooYU>7MU z^>j^TT{kwe>#Wrv0Y;5}e3%f?M0=(6nJSVVv8>F|a?2jx6NOuy`Xu#nxC#y!{o7f{q|xvv6Cob^j3wUWaU-l7y8ev zD>XU}hr?V{Cw<7ahdQ*8ERN8tGnMw+)50gT`_sa!rs-IjE1KiMLelDK>ogL|V@?@> zWnO05BYdmXNjSs(YN0@oe%pN?POIk$c#xg`D>`C_9cVu}5RhPW8JpdM+NixZ!D)3p zsesyOz2AddiHK5#YO_X4H>8b)Ugp>$z%#B}!ZO1-P7N5L2tzjs8e;WEAH?~2 zHJA<$L-!^!VzmwkovH@oV*~XfAy%LDLY&uuP@^`;5Uw%X=?XTWsxgAKkonW~g5luP= z^7Fj_!ProQ^gcn9V~J{(KVs;xSZUvh6g8i#i8W8F!J-Lq40-w>7z{N7s1XsXC5^z- zs2RG2L!dQ1tHETDaSUyHAQ)&g0~R0=t91>*ao`xblLDYMp{l{`ND;S>YrrZuu*%uF zR_ia<-s@1-e*SfxiO5qJ^g0ef7paPV=$`l{k1cg9RuiIs*S{-uMh$9>i1p3w>)hge zgq&YS<()-snMG|I^y@bQoJs=@r2&`HfE{VT%8Q%4MJN29K`G9TSmex3?%7;yZ-&IIW_e`Xlz=6RNt=9_U&AfMY$!^0t@v zHJx1(^ZFE{1ly|_Iy536ZE6IPPr838(@-fJo2kkJKNBlh06;(33DmF#U}M8fl0%cR zgrR%^58QE)gocY@VEUu6Qh(Ud>Qc?iePVY`w-GDMD=d5h2VY1@m4bqnbm^zp%5`?F z7m8q3M+Tr26{IfeXC<-nfWqqip z4yYaImn=QVx!Uc{C$z6sZ!Mors8N8EXuv@<;368Z7Y$g$+Ja(yZ)g1c!~B`T{8`WZ zDXY>x#@_jV(i(f`KhqF<=l@Ad?4AEiQ|z7pCvCBJ{=d{^)ZYC3t##0G7RwL(=}_^t zuJS(G4H4)%0i9B1k6>?)aAk>bbsuVN)dkRbrCyu0IZ3y*8Ze?q(Q#ca>sushJgjz2 zD(|wC?(P*TPXElpzHymLW|!#-YWRJBbdiC|_yMi)>Z!A;`KqSzquQPELkv`eSK$1f zH_VjrA4&bJrT@Uzc>PQrIVqTZ-WuOolRxHOt(Z8p|W+i}07TWV#t z)=+!lVZ;22>COXmIE+WlSA@sa)9)RrUTdmQ~oCH;q<#;dpL$J6oAR$gwG0szRg@Y)(W z;2$60pBqpGaw({A&!{Iy0H=u$NQh7JkN2VbyWHt1e`x>^U+vr8Q+$bO{Y@_B$hV$6?_6M#-zWX+OV!CySDs3&C6F;SMixk%_{sY5{&asAet!p;o&rh&fS4cen6EFC zKYApAL#k{$bHMXzV5qb^=i@ zPZ~I$`e~r?3e-ITx)%Z(sL}l{E}pIdxPGzQ;;(i?tPe4u4Ni*_b4W%JO@n0(e*Fr&tiQYurvPts~!a#Zf^qOM~bie{YUi5ia zh6}xB%M=ymSFf0y99=0CBYU11ORG6OiQX%F5itdXu{>+bpNmQ!KZg|z=r z8i~#;vBs;O#*b5h&U<&gldG25s}nw9xcKEjxT3*SuT#1<+u{~_meCihmqt@vmj*_e#W!WZ+|p)u zz+Bhn6I5H&ML2AeB*~0?vEx0>N_ZhD19sfs`QB63ax~Fv{o+eum=KUoJKSWEx%=oB zk{Nn7ja3+bR*h4bc@|Y*)4ID*c)IRl(NcLaohZcxXzjV**h^mSZjrg&1c-x^ty8$d^gET9 z9;cNKwTeZYsmHtL2j8nJu5V`b!$|eYq>*~5%tCfZd5{TFpoRz?2cp3Vph2(!Tr`r- z^#18^5?=D!l69|ebipH=DbSq$qjLe{pg&97_ln;}knG0}OrVD#*MO?Lf1fVhdsm$6 zb@V%ixD)v(7)O2JI}CpvdLd`fOLC3lmbW4* z>7-uM2jTLdwZTX_fM~!k3f^QnbOEfwi1Dsi(X`_{o3!KcMDxh;E_c^e`n5Hip#6lG zr|X%6@Yj-f-Kb*%cR<_(sx9ct5p`aGD6Mc*Nj#BhT1oter4&|%|5~K(OdpemOVCkN zBb~;)1U2kQzF-uFMa(y5tHEPECX@T>F}#i*vzSJ`!DDc0lX~Y9cJZ#a43f_yHgOXV zy)y4siq;O=L;`85q`0ppe|nnE9C(j*gM+>K1Wg>OBx%qv2IoUUMxcayEs(%Y{7@%- zi3{5lBqb#2h{^L@LPBQ2X9dum{UuH=ac9vnr2nTqz-20}y`{j#9_OEpx+G0m?bP_! zonlb!0ixi{5Juh6LU`8{WGV=#R4syJ|9GCkGn757lg@PhsYxI*gUtQchJT8%c~%AC zGc9?~!a$$oOK5>Knc-#tEt;tL?_vuyUNc0mAHw-2#zJFXbR}zldrSKF1oEe8K5uID zg0yRJhc8WE83d9*d6M1dihkz}lgX}0sU3OM7pp4ls%>SBk7Q(PiFFF*!uy4gmt-XD zv<>%$=cnLkT1&EtA8StJihGk4QFHUV5^h+oT=>>ty})ONvD3X_nTCz`PC1%anBghw;HkRO#-|FE)2(_Vlkr^SH^J4RNq>|1=9iXXp|Kmvh z^C;sIAS>_-iVMP*pc5=G-)Qp7I#1%vYr~~)Ce@%MC`sh z8s5jA&3BYx>XsM1-~z+(?EDY|_a6;CB6Zn$3HR{$1a}8Kb>I@@0WK)+=a_^6F6ghBDR3X3xIDsL2e@9(26%a} zRqgR4d;X3TE?wEa7EXL`@-^8Ym7N5stOZDA>)^KC9v>6V7)D(G>7&6jz%-?t^w9rd zZo5Niz|{G|mj6=p(@-JRz{88!2=}59CS1Y?G{ntT{7ZRTX~7n?nzNJa^!V)+{$qaR zd6_ZLQWSw4b1|q73U7X^in(a##ULr{lS+Ju!WH-lF~yevWu17l(dXb3EP2V%UP+~$d?o+I{L?K-rwz1!URo;2!<)rye8J~l3Gg=R^=(MW|J&&mXlPZh7Ksm1 zHzlBI!T`*gfeR??~ej4ddSr59^ z4@{(fD|F##Nd7i-l5j9`H}t)Reowp|U&{Mz8p(-S3KGg>LOvZHUERbV!+poi`}l-) zJ&w@Ma~HtL@vv3{?snaYJX*K6o*a^6i+y`C(VgZ{vo9FPFKZh_s;$2>tnGcAOrz7+ zA^YU%VSJO8vT?4cv_fzjpb8vQ=@hk7pCjN(MC$XF-6ji|XAD zAm+u02j`IN6^~5Mjx(vI91KWsK!R(jHv!{M&6>1xLUJ3xL(7i=M~`zr`rU)e4*sV{ zDeN%Ov~nLkksh3CG?fhPP#O)+nHp{wX@Y;mmFXXL3ls>7>|Kp<`&n;~QHnSR+9RKH z@nrfX*PR%oG{HRb7CCwi*(3MQig{X-rA?kx1ZwgYm!n^jGf6MP$uL-l6grO*?7aRO zC)hc4&e5V|s3}KJoBU!OLa)STJ>L}o!Za64)^s2zx~g2Y3XXIaEw^jMuiUi?6pFTp zpTCTfX82PVCX9C->EV<*X#II=W0)=0!#RQS58g&hkv-kpfJ{(0-J?ACR_M|s^JU{w zS^B9tWj2!1H{OuB4wVx)cnJ-C<<3m^JU;L`AM)bPc#t#RMVQ|N|L6#2vw6b%#1_2N zwm<(@m+7?bL>DXDdQNFcnKx=O)_~pvlDep*M%M0Bg`dkzMd{nc{TFGa2PJt)g$G{W zpxscJSK*$clp*OH4LfZu%Q0=eU$_W@uY3aU%(D8>TZkR6)amW3CJM+AE|WR_9^GLz zAt5J3!o4miI~F?~F?cO~k(%e|$o{ZCSgASvtfs)f=MSaw7rWS+UHe4K*Np7ujT z!qUZwyT_?pIb21*P%qQdpB_`+X25>xVKp{|H2aueDZ8M1eD(Yzk>UA9G+3~khbP>d zM-3G|;g*&#JqxcS*gccy?Pw0Etz0W(!DpU68Fh|9ZsZo3Ad{&Fkt6MPQnNqIf9Pr> z5teWrM=snuiGkskhBmP0a;(qKHa%j7bleyVv0vFT3|2au!(Pxv|x^p{;(_oUux^q7X)Mq+@>rs0c4I0Tz zdhwo4Wln~l4{P7ji|PB_%Ow1-O=t@XuZ(%w_**ss>Ct;S%DDm;*?1>b0qOpGI>fmG zEZHmN0|SzG36LbmyHc1BGQ&xh?wHMs-Ff_duu`&^f-D4DO3ZsJZY47{Q8;s5&)C6J_;ofmPa-+_G z1QvGj2#jL=m_%^%4VCX#7eBo{s)hy7Fv38ucdP4=*Q&L`S2C+RZz04O`RgmfA(ZWP z&;+WtfU7HRm@8ZpAxUl+5FsmAj`Zgd3lB`VF%q28U;vy1H_Y^QbjHcet0#r0$Q0&o z7>Q6mp%)sQ{qc9Q_B>ed_B`5b$=hE346H*bawM`u7PydJGBkwX?wbuUP5wz0r5m%9 z;#1dQm52B%Ca;-0)sJ-BoHGBcVy~M^rZT;`H(IvXe;zV&TNHEcZcdj5Vhi7DLc0D7;|rQN4< z(w$C9+Iv+@Uk^&Z77=7?!ob+IdvJ`PxEsJjF3#u0i0m29ZI*=xFuf>mQ^@uEDm&1su2nX>SQrZ-YHWhV0*HdK>8Q2x-l$)3pO!($Bg_n zo1zE^dru7&g_gn-t8_}Q;I_gO@N|k-V;Zsf=+3XAax44Okl%Pfa<%=4P9#2UnFpbq zAoRjFov?M{AZ*j&##yA}xI;ob;>u8qeD23qQ78^h*J_hz9%u>Rw5@;I{b?>Tj|7-v zmwArb3!`~U{U+!n(u4X?ay6Go6Wy$+-6I#2*w?vYhBa#yW{$El77@1VqrMxsqYyxoG6_X=Sjg{(`zR`#KRrp*hZcBOSNuvQ$usRHBx2B3vr(9>t_VSs2Ud{EK)1QchTae*T;O+vqu|l8;D5IzwODf%(yqn^Jl|_TsZ_@cQB!K z4ng-Sv}*60FjA zdvv;b;3U`Hf^PV2L3rxt52~=BWe2a4{c0$7i?g)-^P^8QVPLQsZQ@b49^rPi1UCd} z^L&jjKmL-bnGaX+OTMa4RXm(B?rM-KsnP56oJ6tq`6;D3X)#T~+|7s|>L+5sT(3ki zB6H%gYH*uqbS{6>@?kPXBRN%!EgZZFp-}5kXs+=UM-4?r8i0D!N*Kv(1e1}gCuE+hCW(N2P358&8%8MsCJ9*xCNC+Dy28e|2KS+Qs59=S8uKP{!8V90K zPDUaLBQ}JyNIC{*THmXDF{2K7CA_q_g6cgw*~s2%7kmjLP2O<2@*_KA1GjXVD^Rv~ z2bGSX?2x$J@h*nw-ePzz$As6m0c|n{D*B*J+<)|F#v8=7_k|jbF(I|-A@+GmpanlX z6WgG9Jur!{KS26^eovihNjQULC&yJo%4b?rC-VG`i01ilYcB$4T$zJo|FE8k5l1t5 zs(0;;!sJBN;9VEP7COI63oGAzDs=e5WWU!9hhqvbmI&YJDCP!|oLP z#P8uM^v^gmTn0S684XoRMuMWbu<(Bnm_Od#PZ@v4bJ737k^i4PNRm$hS9MYu0D9AZ z9Rfn|6ZQhGZV{gK9f__%41@Jy+fGj3h=c3|HFIwl%$lKJcZ@*$G_?iTuR!~_HwRqF z<6dtJKz6R_b-TwSKBs|-CdB7USMV+3_f)T@7DNa88r*Fh9RHFl;V$O3=__QWd++UI z;VwbJh#2?Z%KfHQy&ET$y&Y2RiuOh~;cvK3V4wT``EhEG`<@%6q459X>n)(-Xx4RM+}+)SyITnEHn?kW zcX#&yL4pOBfx+F~g1fsrAy|OqAHKc!y8E1a*S}W1Qqpf%Raeh+J!RDK5-%ez^l3(F zF4liJ<0ZCD_Elp|>?wS+ktMfJpk`YlYo?K)XCsEl&pTQy`^;?!Vp*C0cyA9Cs&p({ zzDaJw72%*p%+h}pjM}A_`p8Qb5ogCs7SNC&W7@F;HP=F7D7xl0Ez!LQTjb>42L_}F z0^FYX4}1m-o1r<$nuzK-7=(Y>n5!X-J18RgHgPb}i(sdUEBRyN)it;!K9k(bQ*14| zDAkK7MI$Ye~!wACiXsE{|#?ZF;jnGbZ# ztu+0flI&>qmoI#jqe8wEx5U_0Dg~26Ytl?8O_!5%w!|T->j)IfO#D<`XHS!2O2}-f z(Z8U!Nfv~9_~6?z>ubWx13rGeBx)w>5ulHaPP%ck4xsNUcS1WeJ_5M@jV?O)BOo)T z(@qa?HI7MHFGU&ZiBM78Tk8~EQ0gPiiH0GW=S32 zx%kYwOxJ3wPWN6}l63I2(q85t%4EUrgEAQx=bH2N0MAYHRKIcaR3~k+Z2z*KD+lH= zUN67s1+M@*8$}3hGLRlgg$HD?Yae63`cWwc1`hWh-0lyo8TWs@#cB($?8}fNS@)}> zl15cF7P^~VYAG&ap{TP)E}&ndqKR)tKrCVr_iprXz15%Z3>oyM#<``XIr!(1Fnv4{ zbn(Znwb`}6ax+{&P(aR=j9xq^Q)a-_9~qTHZfB**IwQL?a4rrj!Kdu*oBKfzW|+f# z1VuSk{$V9M^uoxZgP9pi9|8Qc669?Dg|lt{zyS+3f?1ef})xN!FkyF^opqcC^Hi^tO?J^{y@j5yjVSH zTviPMeIhO4@DBJIzPnw<_}8}M2CGkOIn%>`qpM5(?+08*{?-STbiwu5d%gyu|II!9 zDEwuGjI6*K9sK_l1^)jUz^3}%^K6^N_l3YIoN6mP{GW=XVq5js{$F9PB_pgOY{j1v z4HM1r^?kpMu)h5e_Vj5pB=gyeHqSVp;_*D)SI5qv@ju;fAZA-eC{5~W!RIhK+{-*? zwIsPi`;hpJ!DV7UgFf+jYI>}rUp`1%=hs%RWN!+BlTi-;@U?W0P!};Q)_MXJ&ZRUW zqE}IPcn3h7wTF+}o5f#cyK1KZPq4GH&l*A5&WP!;L9%Vs$&P(Zvh-BD!uWggM$TJT zU}rw|M^+bj07&EHl+BmmbmZh^E**)+vI0RWU0&9@B0M}@KH6V<@bQ2DYH@14h5WCk zBsmN;VL{xomgR7&2YvA}aY*N*q;vv5KApv$ft#m?{tTHa-&;qvuTDG{-hQT3qnAW8 zWZOmrL*p`FFS$J1*<}P<%Trs>;4K#zJqa9+79#xg{rWN@z@O|mqoNVJTj_Rmi`ZrU zIbyMG9p!}}y{|MyzP6?q%bum;GxcS*&}GD-VN^ipK=tLYgxS4NH4H!?je@-lYw##Q z!|kVtq~Em3dRO!UndIpM%!_4=jNnGv$_L9R`mUt6ha}roh%M=2(Q((`HTGUw7;fKW(w_bl45G$rAcp!fiq)!oh`yZoe z7DWGi^Y?6M`!8rae2&T3?JP|wTgZx63!R);SR{w}@%j?omxF(%w*Z=tgkMXAjk{J$ znYZE(4za{(k*s@A2mB)<&S1{$q?Y z4A7n%_SQ8pX|^HmBovfYM%?%FS7C(F(W%rTIG`sY$n=2R>SSKnCxtHQ?f{S{Jdt`X z+@|E>fgG?RPJVc5k%nJ0ks2rLQ)_@5AAh%R^4Fw0pta89M#r#4yJD^K>!oJw10#4h z^k!|sCT&7Ce1BCfvTf3eay2jTtoo2xydT4u?CwEZrUXD6zce|&G#9@#&r6LY0OE-R@koPshCw{EARavrz2>t<`^Ab?Ai}b@ zZ}TSbLj#uWF{*n8P-kcSXzFaBT3`rj9|^=l-#}5Fp9yydA1kPfDwVrY*0^MyApsDn zEXbt)A2fSaVY=0#!LY7fTOR<+^e+*HIImUGCByEq4s5J3*@<2QHeRFm;x=j8EVJ@2 zH8WnWMYKvKJRjlQC9Iro9f}TS6dXw%R+i}Gi;27k(KV%my%5|&BjszsvCLG-YIMm&LHsDLtb^xhhirXgdRAtSCK zqX(A%O37Tg!iNva9itqEm5LaPP1;%R72H>96>)hK?RGT_LwP{f2N(_`zY^954UbuV zN#Dz~c59Ntt_S(&1sq8~0oNa^&x3e_J_4>Hbub<4Bm&=cLN`4UbEY3b2dO0(tB0r0 zpFc=?`1pz(!($re(-U-i#cs~GQhM>V| z?OGr7#w(JB=5Yvc3R*K4UXTyWfP&~69%SWb!m5it`IUE~+Q%W)Q_zOF@U^rw_Ml6AiBm!SIAW* zqn)BhkHeu{)kvdS5G;`As8O4!#JQvLkWSv?kd@<+y*K}|#{oeWi_8!l5vz^ZskkE| zN3<`vZ5n#b-shFMBcymkO8c~g*zFPOl)Ix(_pWM&I;HKzX%+7Y8aJxL$_!|^4_ZJZn$xeJd#6txHjT3^mwCPTkBAfH~+M5bK(DM_8#-3jm z1(df=d8O>c9ld9nn--kYLIwtYy_DEon^Brp!8K z_jJ?=9bR_x)!ujuXs&eVT#F*?C0VeBIss#Evg8R;nEO}Ezmf?o=>C(KOPI;XQ2ZkX3PL zt~KB~JClTbMCpCsw<#O?dFIb1f%tfs5egU}9PQuWVNc3Bq%ww->pwn^y?6 z%X_)Mo0K^QoAh!|yE=I{`EI1->I4c~VCaAQ|*5?Ljkuov^9iMaA4 z$-(%t8hUj~GC?!jWnF+?6tDFRkG4Goht*5sE`jff6Tor;LbLQOCKu7pXIOpXByVLlY!jY7<8TI_tZpwsY}pYE-5FP%d?1`6Q+c zv)dq}OqHADWEgj3fsddSH(}P%DbasOPg!|#o~LnwzWR?`)5F{}_+*4q)fBm~U zp@-Ub|2lf@Nyy9+$K^Gmc9MAs4p?9Vy*SetVr#u7%F~#`4CdTHkNC{uVicwMF5!gX zrbt9G)nXWU`C=%g`5Y}2Q0g<8`WQj5)?aeowJ#630OZ%t(PLYZ|7MS0SjlXGdS(LIwgk*n|X10vTA%=JSvj<>arM9w(it+!kJ>?N>BPtAQwV zmpBZhkHO2z$#X8~PZ#eVQH*a?CHrJ6WM#7Bqphq=)ce-sqx@N%oQb9J@kP%TigR;< zh-6d{DJrIM*j0$u0~=qynmWpkv-8ndWD=`Mqm(G1$`Kw#7NfXHs71C>G7Ma_SD`c% zfu;30yHFPAL}Dq}TjBxLv5mv<(N<5bkg`NZNkN{wI6nLo5aw{5Df`8O@0L}K7#WV| zc+?`#;Bd?%PdNAynP%*1E`|ozSSPu7?+0rN7zhf0@T~=B!?UWlhY50wIu?mlDg-i1bn(>MtdsU^ z0lB%^^6M{w*_O9&T>)nKinar>z)+dlpbhQT_WKP~_5~`!k^F zW7h8{qFAMhn6WsNR~dAQ*iSSeN!U;HAtTUNw>s!#D&L%|j`Phs2bP?BuTR3oLLvNU zaw*)Sn*Dp@SN98@@bCi~T2Hcv%(L%WGpE8|juEK63kS?e$xd%nqaxrb`5zF`5AbB*I!9}JyHK{%#U~MEHEFqVcDahwuZ(gGsb8t&W?xU`$DyeyJtEISl6NFb z?}A$Mo14vr8-ghKCEuX?D9_aUDbL6e&;Z|kkO1HLz+?`lB&6Ap`2s1cY<(fWmqy|` zOfAW}BeTm%o*_?ZGI+yhAN>75tIxOIR-gN)WE1seK&9tkP)+d6T%N1g<>A+U_#}6KcwN zy9?L;?UCTq(3s_%fS39K!K4SLGt1c_|lBkn+aa?_Z6*VH%ETz}+>B zN48?>A0fcEwfp^HVF@FA&ECX*SwSdz)|b#;gCxe&d_&O^ST``C3K&|kvc3qB+O4)I zb=X4G5~mHuPKXAH^qEzv)UKS>tbzi$2(;{bLgDnP3fIfA%bu9K_$`LLqQ|dj3s+EO zb83=pl1A~cV3M0|k4pDkYIkq>S8lvX?7a<&8wP*Hx+LS)w~kWrkSgpzSMw5fK69kn zBKxNaj*C0=6GB~q0E6;)_;qPRQp}bv0pq<9?5In0A?X$nI^Rw7;GlQHY2| zRinTQn$b9Ki{IuwSLHd=)mMm3{}q(Sjn~#y63jyZzn4<(B61hB!ZU$$tDBsAt~IdK z@}@nw_&rhfOIV9ur^19PMWmzK)zfgWI679A2!QE94Qyd5^pTW0NGc!2qBvvo8IQ@V zUAN2vdY&ISCzh`{NN8L(QvyBLF&gIHxn|N9xUZB?`I{IJY~6@do{KhrGMG8+PeMJs zWwG&Ge%ndvUKkQNaIrYP8=LT>!-`l6Q21`x3o)IJq)6v#Sv>-l_ znWF{TbzI{HMDsko3=?M~r$i-Zqo4$_rS%^<2)R2IDA}waEYUd)w%K2X_gJWae-p<; z9jZ%^`CCB(7y79%3*fLVMW}%ixNi-TApJXBVqtyuq@N}DC%rVWr=()v$>!f)+Bq{j z;toqore#`Zv>#Oh{hW4*wG&y?%ne5f?_RU0(ArL3$ntkud7)(VB#n+GjlCBpV)PW5 zD2gaw0Z;<1SKBpFwHiq}E)c++4IZ<~`kNLJtY)LIdei@L&@2rTj8_|0Ps_O&DX*47 zqKBm-RO4<7N&%7@)5+Ptqfhwm@e+CO$?md!lb)5jMh3;lc<(70@l2X4&;ebBb(5yq zzcFyvb1c=TtIM1&vV8Ilvs%EvD=J`ZzuiytvG}o0Jv0mC zs==e@rop3sSZ+&lQqI$JEqV8l9{wY&exu*Fv@kGFe?cZLg+2Aw;_#!yIFK)Q+(BUm zWZ83qDoN=(uNJ*Uk6XB1BwsiUe!OQsgL!~CjcMwK@|03vG6nUINhK9|WvBj;M|^LT zO5w;zfRE~ML`Z;7sa5*qe$U?`cS5(JnnM%jNl=6SlIoR(_ zsk7l1vu=H!WxWUO1>%yVJ(4ksE0zDEiM0SGl6*M zP%t*LDI@mOyAfs>#kgsL9elUxvTbj&Uw^y8UkKCw(wBa{+%f{K3PIix?W(Gjma@ z47SsQHJE4I$w!qBxxfnab@Q||7aAwWGKCi5tIBt=Y!KU@unZgi zHVK!&l^xC)X61D(9)pK3-_lAz6Bj4eUs8nnKf#TK4H|w<1SauZq3!3a4?lD) zX+LfTOd|q#VIv&Pw^s1HIE3W=6&mry;z2`Ffhm%9dEE;tSOP(VX~fuC{Xe8AiE7#% zSB9jJ!iOxNB`4KLI+> zKNUys+D_RHzBP65^a>KHuw$6q_-eX=+G{u!bSC_OO9G@NqJcSXrzgMJj}RgemobPX zdjADZ+pu*NhNDUXyQ})vgAdmMV-EG;ueTewNKb*V2=4*=anJqSkX5IZ4~jMH4tDwW zmF(7FY&DwQrXWfGqzLbKZG=(F%=!U7m+OqH_trjuE3n`+1p-^kqgh{ z0B@b4C?i~Ng50>Ofq@f)&m?5^h?8&jLoM=8N;e1?4m*~>7(J`?{>%R5$Rg~g;e!5a zq4Fh+VSE5AN8U!BWJDoIJbO%0|70W1S@4l6M`RBz*Fim;?0H7Rtm`=YNxqRXT~ws= zgX3P9MVNN^lVIrg*96<0vfPG%W3rU_^{ID0)IaS0BjW(;v0ALAZBPEyw$ZjhE^H6C zRm??@k6!s0Zs@eaf}zA+`B?tgy&5b;vgXw*RV9uqRk_MR%9I9AcerXs1Ddiv3E)|V#>aL(QWrL3YG(opynw-w3WZL-Yu z!yJzAmmyqM!NgO4vC6h>kQ6E=Pl3YEO8TUMCvn3#9Jr)rCbFvqd19AOsuw$%enVM) z4_RztJm`eB1qNhei^6cARs#P7AjdLh9wih#+4uqmD=%T!5w?nu9dVM`L+_e#G*_}S zxyAhVY9iyu9sM)RU@(-+40&TgYiZE`cu3fr>1=`Hj}Yt3hXkP&ooKEv!BDiXQyyA& z%b1+HKNg!+3H1qcFgTORlUvY|4xv<-M`LG4`eEV#?eQMM`K8*s)i@#<3SxIEFVWo4 zb<^#f&3i9;IzztLHsV3*WV*@th8HPNo)Qbizn3vDhRJ6Sr1n$Ah4_P0(Vi|~7g^F$ zcI8t`T#D5-V+kB|Yd<4L1^;@i%3;cRE7npZ!)h%6ZNbcmh2}7?8pri!12A+C_&Js4 z&$>tVn<`jA&()8?+esmhiLp+aeW?~kFGJ9nHPZa=j%4lY0ICX-9?-g^uqpOzmFOMuCgI7_o`kW+G7yi7VmiE_(ASETP@8{ev82AD8(xPQm*jN*@Z)f2!=15K3Q4k%$Nc6tLCd97!~SK(>o)F^l;vY4#Q3ubQR)H??4d|tpvlcH;8(umds&E zGm*|Wxc{ivGq&vJr#+`DFxm)yiAZMy-5B?##?ilP*(ATQaL?zy1Hk&$CYFJyByK3B zQK4rZ=BKuXg772%#({tT2jT^zv;P3K)k~zgV4!Mq77SA^M0Nd_Pch!2fA-W^$djE* z`^|0y&GHBsbh!dXto}6Z|Nnu$jQ_IrZ?mwp<@p=~;$WzE1cj93v2KQC<{iUbcrSRy znz*s;dxjK1;4B2h;{3*O0mEe~m|$@1Hx9!uhIf$lI~5pjy=PE+V(8Cn2wCU8phHC2 zr*Q$FN!TY}l<&LgZ90!H7Ldm9D`K)w(foJ+kx6MCj6#6vJ37r~|9))*Xa=A6-6S{; z48g8!ELbsnw5Xk>@bjk+_SMm#C4OM=kbAEUw0~gh9r?|^_u3%Md9RqhwDz!nkZyjk ziS{ri5BPtOZfCG%Y&2zKAJPeCt#`h*q;2$mlkxNSoA^Mh!o(oU;no=UIG6GcL}3C`QJq#i(51ei^uP#wh{rriJ(s zc_rpbn0X@#Ni3>sJyB?1HNJO;HG1Gx%l{0GBhB_Ss!;x3eq)gQTPPZF@?AmeKi%k) zM?oYGzWgWpF3!*1(11Bd7U6R9r&3HlU-Cp9 zd9HTdPu?%?gz0iz)ff)8_AFuU3mol`%u9OU@k)C90n-uRV3jDa#U>i~9VzmIl16~J z3Bp`KIPKR0;M4|SF`^ex$;?w$YU0Z{xY2qV*g^@!5?IbE0|t0#XsRRvJy#Y5*jk4l)%z$49!C!mV;;kCdCUg5=~M*8O@PNz@m$rhQ|gGP|y(- zK-vQC%IHh{Vk1H7Vy@0RO?}-A7Dd_x4iR2M4slLgs}IZ-m~k ziiZ74d)E&B;R~9v7bf$k1MiDN z-?86{_Yn;h#sy!sK5&!I({Kj2NIG2Gk9KF^@ae4gH$aJP6rI7^9;Hu|(c0cOkf3Rk zqatqar+?;pbF+?$Q?0cFvN^NMS$)s#WeHK57O!`7|3+NO+IGtDv6eck=DA-U4}Ga=96b)Y z%2!UgiWHn{vg{0rtBdN)zY@zH;%6v_4NB?xxf}|&qF_%NJk}uLq}Op5wgt@W*FPn` zDj00F$^jKydwZ}Qc=&7wO|3z_48!LzMjviCL+}y(F#-Z6cFNFs`JUm4ms&g@$yM}b z`Q->dFbvQP$*`u2le=a(T`C7`f4t-ICZCb4MGvCV$qapo?@Ej-sBa};jQh8E*1HA|eXe#7#|1%GS(BPbor96ekv}K3)gG6J6XEB2%SKZuVZcPPJ$a$% zvNeD-x{Jjx3~gz2XNzADTGHqy|1a@UG%$Vwq5-EhJg=CYHv%cOg3VPjfDt+E?yOL- zZG^^4BF?gFuH4)X9!WZ!WOE)E*D9raYccyxrue459=w=8f)|~AolY$m2E?xsGu^IL zVo4)JkKV%KWJxj$`xR)<`~nxOu!+#m3QKA|9n)*2~%Ve5snIS>Lt z-cJigmHXH6<_D@{o)4Z24|h$O3+K%$oliWGlBgKMk6>^1)#*Fek{{`OU0{C+P>FvD zP>UZ?pRG%HL+EdXjhC6)?+0EsYgD4Q){w^)>GYz|Rzxy33rVbNs($~80{Bjb3fTRz zV-5#@wrqgHydlunpNsvE2%A{$M?4l4sUZ-Po!V9+U&f#B-wUiE-S3ZNX0@McqdJnd zuYroYaWl5RiP#-(UD=6?!Q3~4#c}&MJcMNJQU|4H@K_VO+4oBgFq9CSFx-I*&(w`z zGL!&O#ZMZHiw|2nmqF+aK-Cn!QoNsPm`R-;Q?*CFa3gJ)1m$)G@DNa84Jpg3t8d{* zzCA3tT*Y((%9LY1VeG2huJ?ZNVt=7pIp+_3ijJ`Fg8AC9uVaPSW zwC{H}h^`Q%Oo~$~I6Dw#O?O+2@fG%LC&RaGXjRppXDeuqq*$QAxoipn)=)w<8M45@dLdc0bWWdr0?_ z>PB7e(d{2?2v$$XAwN+JJq6|=(~KZhPsJc`zacyoZ?9e%OD9d4pxkh^{Q*`q7_*`fmD)<*97lZesn)4?cl$vD|>L<*b0e)+7&d`P9WqCzL9q7~HoNtdI@Cz%@3&d~3pTJ33dsiQ7Y zMe5`N%xu1J_Y}i$+YM}me_-LWa;-n}&e}+zG z3(qPWHvtsXz4E6F5$*K`Nj;vlnQHNQ4dk2h!>nT7P<+bMcy0#EN1YHIT{?};-b5}=WDz>`9f4B8>SAh zu_$c;8}Ni+GKc@Sa2Ch1xPZ_aMl<=B=qQRO-D_`d)W1j29!7JqxWLfRw_MhE zBS`anBPeR&O$r`C0d)SDuG5z}BHt27%&q2Q8tw_V6^98ezN?;C# z5DC~)xmIjQcJDKTvG{Ll5dM$$f8@^B{3&P|@Jy*9;9W1k%@5n)f^#7!FSKk9r;xEO zJDX8kMzc*1IMqZ6Y+k_7)VGZWvhYYQrW2WI>4ABntpX~bsU)&|Ek!-lRv|Hq_}ie! zGC)(`RYOxLfh8s_Xq&&eDfeUDPkn=`S3G>Co}r;}Cl{ByyrH4VKsg=Ov=n1Z@-}9Up5ghtItz;rJB7wAgF2^T<1DB?kC1A$BI6)IN912 zDlF#aXqY$_sy^2^YZs70a7L`4iqq7Ty}gVbCMIw5K2NpS{~%Zl6=lkOa+*Tyjth+PDlJ$_AQXIoK}OY3 zslmZe6c5$QHbKK$ND!0OBwoMPGv4&#E43RuO4lycw}XU76b=-Gci~1Y5!uT&*ymja z3bWfGn%yvq`IszWHF8-pkjcvC7W@`+hmE4MF!m=q$W(+N%CPB|RGi@|IlFz@9Pi-5?$>1i7e)+u{3T|n5$9k|Yw zhPWo_#ub8^5jLY}7Hf_Rz0Y79BSw{vm?^Bt~|J+R|7a7hKVMlw0pz8=VD>3xjzHzP4ry5p+Jqp-q!KCKXQJoyhf{49ZMD=7OfQX$Np=G+QWK7KoP|G$Ow)M@{y z6s+SxArzl0AcVNB{EM2|_^3cGu|QcFoPfna&K9k)?H6@$x(YF6!uP<{Q#zi}YH`~y zh7k)C9Y|EPu`PnHnb*BHLMtw?b=pbOIFJRNMgrpRo?O;$bg@%B?nf~ zUBcU!%LW%&*Y&p@cbF6t!Pg6R)j6T)wv!1pM-r;e5)}ffH@r90_p=Pb?t@N2GCbbG zvE+*AJfrK(Gq0gY1~)|axSA%yZ7z!jLbypwOnFn~lCCm?;=+fTx3z8k@fsVEwXiNo zb~vfZl)dT@xYG>_E0Iblyx+gBLY{)cJXY3*i|TmxPozdf?#c3o%r~<9cpGm|_!EDt z4xaGig|BN)a$(#=l*uCdml9D}ava7=QUH5@jVk41Df-0L9q-lugVUW2)R^xli2A5d#&I1qIFY^ z)5!$OhjvvW&uZQ0VHEAMUs0F>o+50+@%l?+fxhK?%5-B&S(SXA$!?0azpCog`|B}s z#De!;vE<#-xr-)c^v&3nH9LkQ%T*21aTY6eA75uEgMSRW?(8v>kX_YGD}gQpphMTW zR?d#(y>FbIYhf3WoZXMC9h|_MOps0o9CE!Rg|y$GPP==zc5!V7S$78#rEd~?1N<{1A3QIg)t1b? zTpjE@%hl`JI-Ba6g^83*-jGyz2|2PeC!AG7E*61?s?Hz8Z*=P`lR+r+9y`&!hgEc;EfdXKoV zC7(@*kLM$2c%RbAy*kyIm3To`EX`B;_PH%OttekU9Mg;lAvYXsl;b-`P%5$Bsm~6I zu)LQ@praAxr?Pc0w21sHrFvFTI^h}4Fq2$FCBMxXjz&tFpw+PGv=VgwaVh4E+dRgJ zfd1flqps^z)=lCBvw+o@cbHXH{I^SHfe~WtLtWSEZSM@L^c;2D5Z+|!itz1N>bxCI2z$XsmxZW&w!rDQp0R-cNO zn%kIpQJfp39GKs7|4Ha)YSja(0H0@M4zZ8K)T$EXBb>!pTNhqcuI9ouD1j&ao<}A# zCC9y5V9FWBW#68;aXuwM6Bun@r4?mm-XthYT)9{wxNurM3OLRhnBwVhs}WqD@ZsuY zU{aW3t$hYT8l6q2G|+C>^Nhkv!@^0+X+w~nSD#aHVVYR2*5%gUWu|$g@8`P-<+9W; z3oSDXE?)>sj9&>}Ty&4yEN5w21rR0S8ylqZgvHs_d5AAIU9Rq4P5F~wQ`mTX-;DY^ zv!xUGOutTivUB~MCR&2jgH^7vs|}ULn5t;yoHnH@MEt>EUa5H=i{ZG)y_1kZVM=iL z6|HgfS~Ttz4acc_JjG3jnO~?wqUa0LroTvWe@8pGB`)0(X{zzcZXJ2nh)!Pxo{pQQ z=h=~;2s{VpZIaHXZ5ur5YkY44zJ6#IH!9qpihs18CrJ z8~qWykShDyz7OA~o&^WQg7Qs9M}IT3<{ETOY*;1I*}XI;;#D92=fvKvs3ay{huMPSN;#oV~$qp%xbO9Qu=S=YoojPRg z>HA0@d+~5^stj>*qMH$XbJ8OGMvQq)v@P2(|16!A?BhH#DlEI}`y=(a9PV?NxLHB` zMd2z!0@jmGU!25~JaDk!4^4=Q2T5}=qY*v-4GXq6@Q>)~I3dY)51pz1-@NeKH$SZ5 z$7?x)_W*};z1}6wh^AbIUouhHdKyhot))`E*hNT2>O)aTXlk@o5S=Ztc3N=Wz}#@2 zG{ld+zU6yhPV^7&mfp#S2N?(3`9cUUDx;z|!90y`F$#xu_cWDZ0teoj5@1a4O}#-i zG#4KmU3`r{S)m(A5?nqpn+BRB?x05Mg%LdWLz|>D^1?GT;wgDOq92{#c#0`AqoaE! zgy}DTW|qVLN#ng2r<}4OKdpqMt4FD6)b9G@tLqPGd?JR5N6wPRxpPCTID;~zbp{5R z+3517lNC8iWGpp1_SL%3U&UCW4X!xn6En*da;jy+70L7x9Z}~(e)ihiswj;S9ig%*!9XWMlij%-@Jtr$NL+_;S7KEh z8;{ntIipH_eM_#nlBHI45THzNK)LBmxe2J;G_KqftK8H$IVZZ^hVm<5N^~0+)dU^a zO2-VbKWd6g$qmB#MoNp5LJdr>E0H4m~uled}gL7TT7 zMRBMlphG{&@uG_4ioIsO_l78eyTY>Avi^E%nOwJ;Dur>fQK3XGLw=t%P1=wVwL=34 zcI_IB9U6@78haHAS`4QFiy-TJkCv3lGUlQRF-W>YxfI=rtjp0Ub*I?O@J zM%^a|hr89eXib7XKCNNJ65t@R`g>AakDous>Xcx}ZEYcLB%Prdf~~Q|`P&>VwxQO$ zNteFfYq1M0yeZo1+0*cTAdXQQi_FS^$dSoQkc@f29$Z@8S{6a4ynKr(6iVp9F< z+sE`f8+v%ZyQbVrk9-*hYUypc?`VJMyaZ>|%RY7fc{)4#jRI$A>}XjFi6>7$($5#= zVW2Lu^Se7jM4Q!g6Su!CpZcwOjyK0%scgdYzVsK%Ok}s|+DwZ!aXa<)43CDoSgva@T~+ad0fe=(8)UE> z{>%a&i`uY2LDWC>OHi1gEAnN>BWBd z;`#>cf;6(L#4JYjLT8 zhz~{3R*=r>hepsM;`zd_bn5~7+vA_0pqmIa@%-t6?P0&80e}OS(O~RNRz(}wx+b^* zTivgBezPV9^5|_^Qt$?qp6mXy_0xsPTnhNlS$6Dp4V!Op7V3=oAUg z`BFbUZ1D3T@{Pe6$(2JG83QZeoRxSq#g+XH!Se+Y<_BIGt2rZ3X)j_?=D?cGT0lL})-5KP^)5$6o z!Bf(|T&+>Y`*C zoN+;F@*+fA9{$n&Gvw^%LM^-1*z4A}=$xSLv@P)z>XsZstI;8DHX;%0@6>nez69U4 zmj*@$P}=N$DwO`jBsMR*A8fa%@BN5PIWS9EUWnTj2J|`Sc>?FPoEB zGL`IB*z{>67aR0O_%lcCM|xD;Pqsr_AII-Th^f?8i*vsPv#RuNVpOh}1_G>pFQh+C zAAXl~@Eel)Gxm-1?}1`j#`@u?KtxMt59n>vMPT-3(e+kp?tUKL@7((bWxAs2f}Z(` zUf4D}lidxmr3GqWpZ3xYzW=|J;5bDG%_lqgG{)CtMBNg@+t&glUGp_o*(kC*E6J~n zuX5NWNI@O+Tkf&)mLACI`(mP_carPpef4 zNWNog$1x4OW^^C^v7!;Yg0y;7yx|A-F7-U(+}g0G?ZWYS1CQrstxXF%Elw%y+KH5)`z5J21jYw;Ft8owRD>0e;Jl_tNwG7 zC^Tlhjy7oRlP-3t%ShHM2xcs6@1E&2YqQPk8eqlJXMd&B&`=Tb{q!FJf1%^nY0vE7 zX5n_{Jg?|GzBp5`e)s>;^$yULbWPiEtch(+Y}>YN=fs)VwylY6XJSokCllM6*vWV9 z`+45?U*Eshw^r4)t7`YD?$fC1-o1NorP{E-lrv*ua!2g)U)-T9@6N~!fQiZ$DB#S0 z)MM0bsJrr$&QB z9ExZd)V7o}-1o~i;7SJ)z{PWaFDHz^c=8~(=)}!wM{NlGJ_Dr)zaFcoZ=OTHH^6db zfI-A!?Q>NfhZKIZOC=C9q!sy7ClaS-(4=}WM#DscmbnO{^e$u0dJ@2H(TvNg6N^(b z9HX%ktjrW{p@~88bBPPTY1Bu!a;H2duJWWjE-q|tj*3SIY4H1xpaCshrYIv8@%aF0 z2+2gYzdeCFR7n=}K-#P4j8}t>4GV8rD_H%@q`}LEk1sNji=+i`M1O#=<>iX<0{exB zFU}7-@gQl`)~`GWI?2Hm#T76IB`KU|1de}?jfmrlPo%InP{GN|#iqBnA4HIT(PlEj z&P&+1kHSmXv=7T`aohijPQdzNByWulX;=~;2S%P%B-H7JGA}-U1Jh}Jaxam=LxcA`p$BXtC&GJ{&m?rZ} zWx+bmOuYMWA>lI~B)$5*hou~JJpOfzXhWR)@F$anOBd^-;J(oOU%;kLTXCH9r1&1!QZ;+w+^VjmPtB9t^|9O)=$ z9ECuxLD8uyUuzY)#A_>(Fx18I4a9S{b~{l6U&;!FO2gH%GO7t=h=T2pgz6xsg2?F& z$Q_VKs)mdI_3q|Av8L>SZ|{HejMAwd5}caQct%~in!l{0WPmX9SJ#TqB>UX}EuQpD zqaM^=f|Vz1%^<5KA^aBKk)m?>Ue>;%wkau=-u1qsh~Vv#9p%CPYx}=cdpqvp<)!(lHRVW0 zfbTp}BRtmRixV2fO*K+^x{0-|LHx9YHIkBeQ@K=!vP&nmBGkfzmk0^pG$ zgcJG$bFCMp6$O8)@I|5uuq$&5wwUk1i2jZ0aF|$>ID99w?xa`6OT9}@D5V$2<5i_D zPHo1#r>j2K*4k>SAGb7am`I*AwppL~eU&Hv(*yJJupQ@%zl=~zfTnqH{`7{JNH!yl z@cO_MmSBEgNhJKiQ)!0WMJrGCq+TF>*4US!VCq#*$dj+e&ml9rdRz1rIF z$opb>b8P&JbSbSdgCP4-XGlA$4UKny7GHYVk?(}+_laAwJ6k8K{U7#sQ*Ud;ys51a zt5seH`&vXROdYj)?nq1vhAG{&Ov~yd(A0O|>U-$Y5 z^!U*=Jaw(#)JDnGBrfkUpX4%N;epx0*N+W7_P_lvA@;xhhdc~Rg9(D4fOMlj#PBO@ zi`MP+m@?;~Gwd#ji+C?0f@uZ^WyR3|6)B<(Xz~b;I0wkV_Qq|Xy9O+xs8CAfkg#7W zPc3Tfcf~Faz3UXqYFQyE58LN$6Zw-&k|1U9ncRkqu~J+!zXXg)%JmE!xb%gRAe{

>aH@CdrFcPxz`C>XgtPX9TjO#tbYuSV^&u&Ye!1Q!IAS>J zjduulVcIgiH1e?{3x%eY_;Q@BFZ&+%b9}5X?u4rU0yG=!fXxFI8w6H@G4Pw5f&$^k zR>3y63j=FD5kW`UBKVxu)V@sb66r;H;vxeWw7@WS(2AjffkO(9MMBzl?5)i~=c!Hk zi>NfTP6vh1;Xjiq?OG|veUzZ2)#zaw;9%K}5Bd6(nu>+YROH6F&8DdwgZzn-n5)5j zR%JpuzkwLnjPq2S<6}i>xW=83#-zA5TrIlcea+y(XuRd^p7Ug}u`oZqG9T9xLNH2G zi-c%^W)BgE!zYg*ZHDD+Zjcj<)WO0z#b@DYu28c%q?fr;dKFwdDQ_B&qnD}b?9Qxt z)YAW!PwTvJU<##qw0NRFnd)ixD8SVxWSkyv1J&18Heekv>3vM9kkv0QT9#{K=CTlD zpEV}W+HPgRIdxIG+G+Ke4MoLP0Rqk?t^xw(7^UU|zk^=!7rT#IWsW&O6~7A|nsI1O z_Q$=-h9~t^7y6Syl(z!tTVdy#m#EKi^G@d?qQ331iS=!Z1yU~zJncDAf)3@??Ghb4(bM9@3M?O ze6W!O3wt)y8mRw9Ykyb@!JU>Gfy;zuB}@=KLbCxyIf%r+4fubM5aKHGsVo|?4tRm0SX$U~ zb^lx$@dv8F2_CYYmBec$WCXDy<~*u!E?p^k5Z`K(7U?LOXBEl|y8se4vT*KbRosPt zYFr|?88yPxGEx#Vfz*~udKXQwR~Tehw&bcfEt4E|CjfGsK_`$UKVip_D9|0nBc@Lj zVw_kaFfmLGxu{P{lqNzsA0C8^Di}L*j99{iB_c5c-h_ubC7Zc|?dariZs|0`rDM?cz8L~J?-(oB z>9tGW&?E4mwM3R8=65PcN#@fGkprTPX5@?2DK0A~eeCG_(|ih=mjlfVYCfp#lzZfz zPYQ+Bm0pTOld$KNK>7iL*Sp>;`%mX2k4OZNUp=m%C|N4gdEQSsY{oIODNbM9o$Z7I z@x+^1=co`b)bV+K)ahDVQ>mr$3DxJk*MgG01^`@W>E_bzH~e5PZXZNp?fASR!`wGT zGS%N#0w3=4(9mBwY~s;${&`9^FD~E`V8WrC`Sh}o{jxt(G=dQTQ%#^XHEj8I3J3t3 zE>OGg6pk|azZxlW)O{cWAvyA0H4p%ob)Yq9wnFEEc(Yl+!I*pX)Q#}HLSv>hMl)JP{4luR4%1I{+BaSYZnZt0A8js*g68IixZ#+_4Y^pimKzo`&W+!pz`srxwp zo8F&Sj*!W7(2Vk0&>gy{(;Ru;GF*V$rL4HuA)M?(^xIRHuVq&t!ff}4`IZ2S!!iCf zBY3nAv^!F6t5v-FXaR&C8-$1X4!Uq3*_LfMiwQkD5yKhS_^u5SteKWBCu+M|fxHXnyO=NWZdC%(VF1%ys{iB7Cu3uZvvCOwap7%((Kk_JPH8ZY1nq zzwW-tzhjciHWe3&{Dhv!A?uMqdD+1_FvMr|bCVbt$bWJa{%blP8tQU6NQ9nYwq0!x z&kTU%AP;2bXr1ENnuY+2gr#W_|8<(tz&R`#(IvQ$FxSBoA*-oL_$d zwYRsJkM6NgKMQ}P<~nfyI#)|sPN<7{;jL=$2)ESyR?yK}zQLbSv)1liBoOp^_Z*o4 zSOo|OhP;z>z{ttL_RKqJX5>i28{Xpv_@um?y-)cSZT$mlX^)|DNM9}bUYi^2L+5>F zwc>cC@ROksj>zcO(kDsh8TyS)Ybr2iD#!EW^DBa{-HF-oBcmL=ytz^O*wr=;=4c~* zmD$#UiP7$F8+DqWJ9=<~a_3lf+DMr=C5H`;2EXOsf54@5hk=><7YVJZQY$_FfJ?y> zMW<>_p;EG!P&O(qV4k1Fwvw`|Q~e(q5W`l?u}Q`Bj~x)j#s>N(@o6da4~1)tkpN@& zOCIP8k&a+^#PZksmYr7CqxTck%ap)xvx~wro<346GE=(9u|IMqETzeR=2Bj+o9oW6 z{VMzO>XkP*a+P>IEc@}I5+SdtM?Gn;2uE%=@ z8kQs(J5iK{KP{ZkPdsqFdcy0+>E|{*ab0=CyYq*c#uw0v_F_Ayn{FR+xo`tVu4{Cj zKWw}Hp(KY~wLfe6=Ls8lWiFbYtZgmUG(%oF#`v1MVhFg=+FfY8|6$K3{r=|`KQL@G+JgD&_HQ=05Tub6nLe7FfAU(;N+Ot9X-jw(mr}Z zYHq(t%&W;CjnSEq7e%Ur)Nxl(ghb#2xE5_fk{4imO{hb-)j<^dPKI+O>$mwvhQK!^LeoS4(M7H%J3H$^Fk zkMspN=?vHMhF{&pBX(C~YTh??=*<;`Ve%}_iiG3poG6KYBe0Gbhh!HEuu)P(Iapgu zwy{yHt>JI~_4tnh>k7B`h^=TJe{=Ak0>Tc!%oC4jXHy`$u5&W`3zMh0CbS1Y7W>jv ze4%3C?}MIB*e?nn&&)UU7k_|Y42jt%X15c&2Wgji8CU!V3cih9Op64wCB%qM5f^8W zX^pZiL4U(CRc^e|!i)aI^BKEc1*esBt0z%`nl31A7@wLZsI}Lt4Gx2wSgAGqEYoL^ z3=pr1Y2cNrNA<*+qnxLy(rAj>#BhezMyZy~n5&S7mVPSR;^H7oMOAYP{ZJ*2Xmo$K z4r~agVtPb|940L6`y+~u?HvJTill6GLBewJyaZ<2tFxnJ6K6346vm&}MFi z-Wn6LmzJo!!NL-yDNvQ}$V9lz(-gQ&=?u8cil1;VItQS6(Yp+3OqDz!0_;Y!JlW+% zeGQVhrs=H13?r~IaQL0sag@Inl9woaJBxM$p5mNX@V`_8# z-;ut%8RQdNKs6GZ#S^kc>{22XFtE9hESdDlGkf(NfHpy2@bBz^9LO^5M9K<$12Y51 zZo32FZnk%AaZc>7kzzezh;YEPnAJ!AN5XNz8ient>TZj$G0opEPgqp^mU$W#)F$7j zpou=Xl$}#c6;Z{_tFMf#>Fm}~NL<>wLuibk`l0aox+jNW$p%A&$p*s@WL+S80y!PX zEkIrX@=Yz{G&XBdLG7@TrfE5?C3fcAzrvX-INM?>YmA=qnG^WpLuM`|C1Wt?O!Bs# z;#Irh*(=jdyCMJG7AXb14Mu%*AJZXrphaaNKbpA=Qvsl7pD*N{a}mBeby&cXNHqJmuaMWXKGvYS|isw0r6 z3ZBr%-RQ+a9jdinG^vHWUPU|K=J~k~pB_beN6cR6dlM#L^^)|a&yxLViu5MTB58hFaca4m+yTInvRgVus=H@qIIqhoL*f}P z3MKl^khX>T=5Su4Qle#01)@F+Z}l-O^KIXo?qite{^$Gbij9LW3&M97oS*Un2sN#K z84|Soaa%k`=dun2tOzY@n{ZvmVeYie6a5n$SxE}?yU_5W@da;D6(dYf*pK{SqVcY(l9`?UV9E2xSe8e7#)vXBhw&&q*!Qpo;oI?Pkmz(48} zT$zB=jyWpVh&AZ*y=pbHz$0+--COv7`28tE*h_dapfl={^k_odH@@zAOi|oa6X|wI z=DQgZ3sD`dnEoAWME0Bl?VF&hbO#WfQ56Cy#G@3gb< z2_>RTSrb{Yua-EsLthwZ3i9aifV>gAgWFRi(Ei38B^Y9c?hi8t(Bpg-m6Es#Pl(w= zBEcCV5@}@l3si8+Zlv;%bAPK(4=-U1wv7a3C}u%2*OU=IR{4eMwL6qDQbptqb1em7 zOX?x-cYWKlzB;m=_CsX^UdyjEAxq%PqG~Yyo7$HoE-2u7Ln4MAIG25<@QTcQBYy?L z{x@_RTl@bvZg1-S6Sr&GfpI&wVL2N^6*8_xqF)jsoPdCuUPu({+UI;4aIz=^j`u;J0ZsLP z4D4raC-y52nmibTmpi+Zi|ntCC;(z2t2#U=BLd&QZah-quJ^kRE5ZLDbjUx*8R9Ai z=?qMQYGuK>vPQ8g3;TeXA-Qr@W;9~;QTRz3GbjrT7VsvxOk?ZNNv0MNiwqqSRyn)z z3(>ai$)4d;jc*s0K6guUKU$z)Lfc-%%VyVteb~3($J<}jU$1pQwrhWI8g=pzx+s)* ziJ-d=;|vjaO8h`hP~K3tM0a1p8Pa!^_@SBeU$vyGp@cU$6>Pu0vybb7feEowR(yA~ zt?x%aRZNT#elr$!_&em46k+;0PBl8$^2?u!1}*w&;+j6-p#U`r1jjpect*i(2KjWV z+n90Ijiz&kc^QLvwZ_Md$BMGd>buIE^C>> z6M&s?4{`aBuoj~ARMzzjS#+60cx=r@943oJG2IRSngQtmcG;H(`9Byjkjw=x!gA00 z$Y{XJCFBM2$K}$r1?Hd~mK*Q`5Q>9pa&{E8P(6C3KZnGAxSG!B&MgzOimZdd0h;^83V*iatl z#98Uy3xHjd{>2UqhqoFq%Kq3Avk=#KKJbXQaPZA72KkRkG z)Y?NpRlddZnnQ?m5&gZb#OxqN!dcAIMO~mr!4NXSOQl5b%}XUm^!}&%%^i7x5o7S) z9FqCNP{I~n3HgbiV~uK5K~D1q7u#9{os*PDJSKsm?3hMhVMe_pJGaV(n_u(r$8DJh z(%e^T)hkwd*r`)i-F&xCHv8lBtFL8CuO?NQ3V;~uG%iU}GD{G^3F<=`x|MK6CGf`r z)bRYG(XmakS!p%lz{{aiA_kg8KMkRMl)XPeycRZ$&PkrhZ1q$mh<&|zjoScEvLV(0 zd;_0md-ej?%3&q6)YBV@ZA!PI&g!btL)r4VJ%nQF;y$l@_c(3ic zq3c?yY5P5Hi$)eM#9KmER>GUkX&us}V5o{et%t5?!x2o|Y)8ax&fwP^DyIh&j=B?% z`?WY@(~sb^*ABwBT)+mgZ12TB)HY2kjBuJ?EP`7)_?l+0TVTFC8z4NSHHY#+eugN1 zTQbW~2U^2nrq@rP`_qYk?qG;le;x$1!xS9MB@-IrzF%@8hnL zLkE>MwX$Q)nRVZjn_X_qQS<-O7cY8Y!3}ZMmzLuNff&}nq)v0BK~Nq%_36iY}Api`}zg%!Rr!8{gXKNRn1vU}K@*>?uPWr({PKA|l5VfFiQZpZ7hgRLVY;nOk+P z16zzH&7`wC5lt2eoEVkX5bXX(JO2W?P&7-fpg^x-FK~DI@?N;{U29O)h}>r0AZ8rB z;xkP>a2sWvJNI#eJ)vY!s1Y#95Ahgf4Z8N-lZ=B}krCww#y!&*BAJ=q_Y>=OywQMw zFD(dB0Wm)DY@{ta@N5J)RBKNLR>6ykZVUqGQ5FA?qQJ=t>~=FwfL{(gn-PLguL9<5 zT{7hTxf$pOk2X-1g7tGIUR>eh)y9gRe__vI1iqWVH+lo<{8oQo0%KZ?OLSjjNmOy! zwuKqnP!v74=_&whd%tSDV>aAm6W%xN>9`KM-3&dvt2$*UFYPSPx+=^vy52ES<}oa~ zz}1^vq2h(Yx?>-RU8nZ3UKikML&(!>G4#9Q)tju_rIzA^JoTtPH|fcC`6`Wnc@R@A z6F6E~z+e2AmKN}rAmTyv=wyyUGRxZ8K%_AsmF-urDBC~o!qa46YcXSu4;^QK+d8h5RCBB<7b zrd{cW`ysWkig3Dn`ttCx{}x|HX~1cnFmbm&;=tGPI2pZ&_UPy3;dxj?iY>L^4uZ{R zG-9}#wNi$)z@{&1#_d|*rXkE*m7k+NJFT%XeP(M(YyTgrcS6u$(0M5aZd3m_yH-0C z?TXPMZjD}1s!g*~tVZqJIJ-{!32hBU$#>E`JXxcsVyi_O1UryslvyP|t252{#{f4F zHe%Km1yS%@m~& z2*xcc0JT#>V_{1mVkZ>^e@Q&W!yS!}*`5{hTa?8Cim%i_tFoXCfLL(WW_z8&#{us( z#}(TB*N?4L3Lne=C>piAv@1EO=YT^Vo%T45+M@pz8LVle#N=g?tM$TB<It?or%S9aGAn8n|8!Ly3f zi~v%}5?f6O`s{M3n>pClJ+rBmIN7Rh zSRExTcjBfG;^3#2movl2!k`X{0ybv@e2BTYf_HY{gMwLM5U2nDis}UbKumw~`o|9* zAqBz3*D{J20U^Z>|5_5HB>7`FWpL0;j>cHt!t)xmB!wH z)76sXaN-DiorSwg@J8ht&ZLj5E2|5%b)W{+C=LtjjL!rFmi2b$vzZ5tFc!W{tpeR;xxqtrr^Ta=YUWz-I_)xB) zpaArRY94s9Q6*h=Lc+7iO`Kj%V3~V4b9172C8L!8S(GJjc~aD&AN?&8u56y2L3X zPO(zHUC(K?(4k=ic0dc7saG<#BsRS96OgnNn3Ep3NiA=NlU2in48ESJdBw>-78Quw zmczF{t)6&s&k~-QWoBqa+00F`NcJ;5C-!hhFK5}MAhObDk^+F~PS8M3b)FsD+-tiB~7W@^Qe zm>@zd^Am%**vL2-0Ft8DY!3on(xO+rQSaFx4`=**6NAOG_K<+6HQE}t`obF?XemM= z!fGC&p{`NyhAj|`3Ofr;%TctNLlIBn?uKvv@66o+-=k~n!zq) zu-?8x;%h%WbNES%c=D{6S;&f1rn^uO5)*5tn-Rg25{q^=n*(%$W>dWi=@%r$__Rpr zr9s4s<*yDwF}P{2_DOJ-2(O_))zpa4>b{!864U%dcqYSIhlm>oVq=W<^c5n2_sNB> zIprDUC^v4_$wLvQ1t^^FD4mfg-lHgQ3yB|`q(#=mpvvsX1fl4rIyjK0<$e-6KHy_Y zQaT(!1np9TN-S`?Lm3N;vCaI6lV5lwxc6y4$ow+OPfa?&vL^)?{IL*GRE;uX_MH$a zh7VqM_&Y1a!7|-6b>!AL_ z=tl?n-AM_`;bTiWZQ$o^dtJ944!qh|OZvGD<4Pq&FSpO-VU*CeSI?tUyOx?jZx&E^ z$*9W&F6YPZdwF=vY{+Wp-43*pGtFMD7%)KfK01kG-v8rH_}ly1#8N^ZUX7lcc>jt) ztxTf`&>bq7?hoKk;Ks%uTGA)x`ry{=6Rk@1o}uT6-PRe|#|f_E+e z>k!6{%q>lUPSt@Y`5fsq&?J=v1P}TP;6{s!(|oK=kh4fk+5hIncz)|5_Xj#Z0M>`a zq`bDIS1BbH0sTUbmG1&8oKDL9fa?ckq5FPS40LJCLq`!O_0`s`H+I>>|MhAosrgp2 zou}wxsu^_hTUKZC!I^q#ztt1Rs1}kz>~=ZNA$|QR2PswY6%&qyF8W0)qA}NY*N4o# zd-)rf^qAi~({^JVLZCS$KG?(>H$>Wl7v@0@I^my-CSjunH!#DB?f1MHZ^HY3)!TG=$(o5nZxL#6oIl9`Z7(?ylbc)QFh4)T| zy7st*o=9|-14Qnz3*AX@GxVyf>R;Gw(owM4@+`X9z=DqkSdj@w*YWuh+{vDe5|X_7 zK)Uv;s5BEMlV5qnSMkRCmEyuooO{YL-;R$L?ry!@;q{e1-lR92b#zTu$s$r7RT z*)YQ(FQ&U+)qv!Drw}@x0R#vbb1G&(3Ah}KN)qur&u)cV5W$6n+gnSBog9ukmXoLL zD#?a0d~E%ZISpBnIv`0DG^ndv**Jg{Tdf8(x&<=$j=@#x)%n#zu(wlGtdebg-mIVQ zKitokZ$t0>PW{EbC{*@XRM(VUzIAJD-I+nqL6Xl31 z*YE;E*^jz=$LBuBomTtMpBA{ z&`S|Hfr(8Sy3K6E$_QO6cj0v+4D>Y8RzmQ5FZ3oh(x5(gaB~n zWbR*C==_NYxhNc`o|X%@iPBYKSFjjQQd9LlpgPFS z_?0d^V?6s*ozd@#tqq|mO*A9;87@93u4Op8FsBcF-EOz0M#Orr=6FJVv!kJEabi~A zd(C|Rn(M2G@Ky`2Z<-xUwfna39f$f`Za6pFw6556#>ne||G{guLUUVn#-y|szT3d< zY-xD0YNn#>^gm=ka+F(o{J&J2z%M`ZAM%U$dFXtu+8#0thM(vP4{J-EPk>*sf_q?8 zG_aiZAdnz!Mig#QLpr{jLLkMCUFf(rZ#`m0Rf>UYR^&57=L1?o4-X{$cH3 zj1GBbnBIz&N8<~d_Us>Mv+9p0c%SWmBIqj0ZP4s#2zFnTH9eK;&bioccn<<9O|T?a zZy$N-EH|5w5Gsu4Scrt5uNK zCD-caBlDvxp&lbh8^>odm2y=ewT$1;e0e53MgRJIuxm<3Gm;Ga79!emHVRah+48IK zEl<;j_9uC9iqB5*O~v;x;FAWw)sRO% z$(%@VoN3;YgGa@>3t6G=uw=@2tqje^2vBStngAq-&}0h%%`9RITGC+_Xa0o)Iqb15 zRsatADy=!Kk*MKyd5qYngcAx#DT%QSDmH04MV>jooSXvqc3!QVM!k7gzZ)MnZTm+% znPX%lD1*L>2e` zsqF#+;Ps~T5kCnfOcuZ^L|i26&grxU`&emFz-Ok!;D=fLmn}C#J0D+ztN35 z5*3=0g64OZI3%k1Ao>PKsNAeRf#Oc+WnqXF=|pG>Jf!i;A~SNwW*G}1&`kIjA11l6vpXSXFj71a-sTGihR%hFD^`@LK zH#sDrxYA1-Y9@0>xQGx?S!WDqNHRY;&^+o6mzD&uQ^KHG2}e!gh~PJ*oKum(f(zMJ zut=C3=cdeMVc=6{^+bkLi4l{>lxy)5`hL z?UTZoX77;r=UD}|+|5YR07j#3k)uT%$;QE>8=DH*k`;AQT(_j7Zri$oyt9{DEA!R+ z4CZeove~-TVX{as6q_BILM~}LpafuRoV614eizFzI7>xzO@ImZ`2D?Kx{LoRh4N*pMg} zIBm!RX6cV_3x3}gjK3|^hn}{DR)nNRQR4+5o$-+WeIMP%HC(}-p`drp5uWGT-$PIz z)}|nGkx`}ag=}jJepSd(2{4wSuK{U^L)B93K-n?@#WG%rMo$UTxY$qMb$dC~_zfPB z_7|j({5co^n@Y@61?n$u=-<83BiQ{pdCp=EnQcI1f1Umtty=^yPON2Ds#(kld2?~x z-Km3D_vqFx%arUwEgV?76zO3Oozn@{A?P1e$odh^|Wz5HG8P7OI2=9_LBVY|iag;$Ep_}RIY!Y!#% zYPykP!i&T)3(&4eBtpm)FcT0)k!o`CNdpV|vgy!TdAp#?mL35QID|&NVP){+kns-2 zuoqexY{au_3r5HpzBpoMi7dv~OXO#KidIy%z7t@7MYr(E?)3k17}BHmYm@z*R*UP4 z6V6!6Czi8QLN4V1WQK;EY%S}#@+sCKb30u@SO9eT0TnL2?({pxgD2q-iJgi0$Jz(8ZxM)p%rx1o*ezz4<~?%pQHq{a z8FQA)ps6CbvnX)NmZr1|4{adDS~$}HTqQzjP_x4tLhGaUxFwS>XSY%=K`$n63DlN< zSSoLx^J_gpDHdRFKwpCUfJ{Nt>1mD0K12t)1sl7;_lzv(hOM zBX092Z)$qGvqy`J=`PuY>3K3UG&-AmVHQamKq$+XD1z>PN2KWKMuE5TS{O<0-M;l{jU zqx0t6K+@;7jFoL88>nP$)R6{5L!uiJHpi1G84yI6FYJ0vqP%%0h3@eJ1?ejrY+W~3 z7_DAQyRVs$6=n7AMmpy))JZP~keO#H7?U3eDV0V*%W`Cw1Dq{06-XNa4ao=#42zu1 ziB0Uj=uNYOyzy(Zi`GGRbWo^b;c-lOC;?ee5?i)*M#$EjvT)_i7Fgg0`9>X2GMHVZ z;AlJ;@Tx(Yx!pV5#_W2m{72f8?Mg*+iDHN45*vrGmhP3X?w-F_ORf9#3HciwJhGE) z_F038hD^_Ebl}Qw;DT`L^5YUAu(c^qg1D|iF<}2!1zoD{$vqn$=Ag|u zRu(FWXvbZo$O5f-gr&6x+k#t_j)+}E9rM@cr*A2UqBKXi_6^!>aW zpdrdwI+02ksDT~Z2Ey2}m@&axyosV2nWM~bq_u13{c_9pNYeVR{745#V7{^PJJEes zIYpe#O=Vky4;3FYVB)m=v6(CS!tN#DyYh@-A!wV@lRIqHZjW=DA`>V{Qk%B7`UeZ1 zvTD$ys2@HaJ|7Q-f=J(U6$Ikb%U1God@{;3mm7kCp8>C8>120wWiOY;39x~bYs5iA zUN=%A=PzLNjbWj2)r8FWG1;DgK>s8#v@CCGvfb&5h0ShdzPX_Zy9NM!HcS0#qiZf57@JYVvWWt$p7koK}^!?ps=m|t!vfS(QHfghiID zKHZXUhFNU!k}iNRjbimus|RN7j4zYzCm9=*QX~imgz*J2SRZ&#BTS`OLrj}MD3~AA z%FP9YEfAbU4ZHW^Wu@Y1jYrVIamfidjLc8+KHCy}AnwdU%Qba?y&#O9k{rVv;3cXG zPeTuOfJa0wT&_kzW$%OVEP%RnfS+VTAHaGT{=&9c@Hk&nAX-)Z^BCsDg#JUJKQi8I z@iL4*lHxS`&mHx+fjs+7!t;CGQ|R|(LfdH_>mASb^X1}(uV0eM4>?n1RVTuiTSic? z`G4zy9ipiG?mdX?#Ixp|oL9*9!CwpiRD3-~$qPVYw6 z@ieDCK8TNx0Bd9)h4Jv9rI_fNF=e}?e^Z}s$fnm9(6Wm>^;_2< zJQ(>E!5}>{QB5DBa-KE^LJIc@_V85qO&7f+>r=V?BGRaZBGNKFBP0$l2{bj+FooIX zm+;Rj-K*@>wghkb%5Fnp0vj)rHw&UwLeEW-y3<|Pg_ZrNy;h(lS{^M4{jio~eWLeC zdWLS0aaDbM4-7qf^g|@hPPX`PD~jB~0cu~p*MBCZv~LQs(1j!b#nqW9>OC(XkDgw> zFKyidr#)ShOPYvr;L}s^yIS;una} zDW8SC`G9h+eRjX+o5%M1otf5!!#fuoQl*hhGpzI7ahm5sN6T(g+g+JskmwoTLC3wH zYj9h`+Gc>fGMm|VwipdFBB;{IFkovDc2hCKq>|fTgL_bw z-Gbn!2pC3YLDD@?l&1);?|UH;-$|p|_|V*6ir(axZMG=C+H-@NTUYJto}}ObBSDxe?b_3yBzMlZNy)%6$tQ+9qLwfmXRVAU~WPgANY*)JOVt-zVgs zb9Wcybc;L+?J0c0f=WN2#69lXz})9yc4=fH6NH<98%&nOAFUcAoN>#^m(%n}v1&Lf zP^z>mom&_`HYj-Pp(upzD@PF}P%3rZdf<{~AzwI4f{#Jx$V7u}Rmdm;uDHfu%8HE< zv-=~<{|F^dxD!E^cSGFk{R27x3XpI9NsLhtnD+8rJp@XJ@R`bYhU}E~FY-X(5aI@` z_X)b2jp|~}&1seZwu^#KL@g3j&cZd{%HqOrY-{6~W+n-plWZ?sKwu9nq!DmPXIBGj zBkEJOB@l!)GXKix*?jrir!N?wQfC@5J5RBK{i()-?80Ixaz2)AqQ6HSyEprz6xQ0w zE_|A71y5h^{{H>*M<-ve?>hzX<3|mp?lfMvgdc>B5ytTXLr0U)$8xt)3(oxIik4E} zvzZ3aEV*c?akNs6IFHFj=VyX)dj-4Z)WGV5L+|?wfSpw{X3&0)zNSX}7U3~%$v_f| z-MW48NZFe6zvHX? zQH;Q2)410Kp%woDe_)#hY*q9XLhp4;t$3D$IJ05`^qo&)ni3NGR)FiLiuu-808`0+?EF9*4T0_U(w1`4s{V)ShbuOAcg@kb-jpRaLkk0 z$BrOS{FVWgs-omTkkXKKF{B|;^=XyF;k#3ODu?jRgnVEC^-{I+{@ z$N7#ZG!gIfV!vfCQNfHW1LnQ_{g2t9d$$U+;3>ob7Y5;UOId+kZdm_TRc_$4P~(_t zL$vz267&p;MVRT(ekUHhB?iVHBCGoWja@h8C;#6o=0z{m86Kx`LfL^ICY7n%`CrE$ z`Tl3pWk%rqf(HVGUC#T$qkUQkNK6_7;4u&Py}ZSL2Kh;qV3jr?D*Hxt z*WM=!5NT`nQ4@WuqVgJgO z7EL`mCV8*~pJo}e{jkI3iE!{}DuKo#G)!_*kYTVDeM}@6*roC`LS;(W6qe3C{_;Yg z4^*a*cPUaJUerbIM=x}zu^LJofQ4rT|ECG)R{>lI;V@_*OU8dnB{;K+v6$yyoz(T5 z^ew+=KW+3)OcW3}9+k{WUC3kQ{CPCb#;^_vgJl;nal#V=k zPz%S#n!-CL zG!b?M_+*EawiFKQA)Lp^73yVst%_tN;;B||E?08#Z5oNt3o zLzzKW=f#Mi;IEAQY9K+`GhgB7a?PYOdt`DSVXt2HbswA|0;YC+!&1|?UGns#nf+^c zpkIoSUucT^bR|yH<#plir>N;r-Z1q=z~@qmgz_Hr@^-Tr@SNe};1u%8#X7yzggrn) zJw7cQ>T9El@GGovjyjW-REGCw#+a9TLXq$8OFTF0I&=e=li`u+Ki>f|8M#6Z7sS8nb}6_WMKqHFYAp6{Cq&M|wii3a_v-;2=xG@GbsW)HDt zah-03RONH?=y#!?zrM|uUV@>o$qWA^iJfDyQ+@0&1#c$4AY#GpY5GxxX}eJgiPvh~ zKU|~3#I~?p1BR|4FKoPo{!cMLAs-zE#%4P&`lmR&UK;7Yy|$}LHUoS|&ZVbHWnF?7 zp_-jb5u`4a;RSJRyAR+g*pCfF8Lq)thqJ#;Dohn;BAv~u1n^K{%}(Dt(+aPkA!0 zOwho{pY0gMm=2_Np$lkPKS{!zJshOO6Rl~^$|abHm$DBxOC{K8RxixcdOX-QAtw7Ti6!yEC}EyF+ky_uvrRHQ(gjd*5^Jz2~`qe9zOhR;^Xj zRbAcHGu1u6s>*=KpDOmV=yj+4z(wSs!z;1DB5$#9R+8}Ft=yw+$;{&5S8(X;yZ)Vi zn4N*GB?bRkCgOL)vXm57Ng}Ik>Hjm7%i2=8V11j88_bX|7w;Z5n-_ip3$F7PGHldW z{3=a-%!fCQl@w43LuBM0wR^aZ8E}Mi=z2joJW@>)pyf!s1dr@HlcMq>row)9PcgUN zOyG&tF!;iChFlgv_RV8%_ZUNgAjKg+QGU=LPj+^AY>p)4)OjOZ!APDm$8w@r>X1Oq zUAmD=0+UEMdg_r7Y%-JNcjjf}6u9^iRKepIda=4z6wu5J07%Sp;_*YBX|w6YSZV9( zrT$*b#()t$Eu`39a()$JVigu!vP`bhbae=AOzAA?j}1aq~0=sgnQ>!o?Gs)FXugw!25B$;^8 z9M6D6&7EG9hpUu%<`k8mb>=SXaq=r39JLA{X=Ok(6)dFAk_$8|w6I;37Uai4M6pqN z>Qu|3XvOJk>lluJWEA&vd$Uhu2UIX}(@4NihMs}tUvD}yH<5rd&Lh|6v%}()pLjgk zd&@yc9IyW@kV!hO z6Op4gOT6WJ=}g^JiZud%&cYBQ%-CvLh!(xC8pNw15F0&C2MJ|J+*M<7V5uxF-5#Qe zvWqv12+9=BqcLTqq<9STi@tFdssgh@w<%_g6T{6~{Gx2Y&=#nCp8Ka(7nUC_2#)(j zXh%f-u=`fO!(!W&;YuK@5k>(7F?!_Tkd|_+Az(~&^|U)mfo2VOP|MDK*F!;xYhz#u zUpcwci&+99-o9;+nan17hOsrWeR{ za(bh0!!2K`%3|4~nlCuE5F%_99c{(yAp+ddw{kz(#yi?(uwJ@cpt3!4Jtp9G71D_! z=A3k%h|oLivplC z_xXz`i8Oc{{O)};)&wqM+4D^yMv13{zsi87_KnZedj3kQJSzpq&M;e;ef%~Q!t%}P z?%HMxDwJ(V!Vl@ka-{s221{7VsB74gsU+Bio+%n;TI{~-H}4&6345(l@SSb9JxTKW z99LqgN8bINt{)HK!}{zq;lqTj-pAlqF)GX1T4j-^eV@tp+G497dhP~HGzi@aV&l|4XU1w^*-)eZx$*jB)iENv8Um-*m?w;BQ>uvSaHKcO%FYf9{;NY+CH;tOex?aGt4$5EzUl9TJ~ z*CWF74Dd*B%20l8P1<1YjtD(X}0*VhMmtN+}n# z#D+_9&HG1DR`=FVVfc4+s&e|nU%&3<7sW4idb&RS=;@DywBbDY<`k+iv-1;Qaeic5 zW=zDZj+Ir9;EBf0#$CJRcw>&A0bm4vI{HBCxgts`Ay5X&feiO*_N(^`EL(%i^*+ zR;Fvpbo0e?Hf70{GF3A~3;gpb+N-2Tc>iJFVbXCvS z*JtdG-8O>0O<8~0FyWI@Hm&Sh_(Cs|Lt)LsWwm8+y@!R(W@{Pzk8)u7w=ym*o@gW~ zFpP}EZEj67jNBt`UKpz_QV(HhVzn+yHcZU^mp$fT2ClG580dcEaOJPlHhTaazkNI; z+WDt*8vP|FxnvscMQ|+<%fgtCf@pOx-pKLdE?i<9;abzbkZAp`aFCO$)0bLiKaXx zA$yW1coNdfUzOX3;^&&kJP2s?ygbiz+>cYz$Qn@&VG4rwkuF~8O$#cf(PD|x4`$3^ z3raxCHE`r;79(~iiSKl2B;N}AVB!66^Jwqi3_fc0Kbkqp+iDtb$kScecJmm!ZULXh zO6N?{W zpjwM#4X`4UkXwl#75 zh&grpd$bSb5_B>dC@en6@goM$zN6IJNPT!@=^*uq8)X5py_)HEQR~AkDlR1VWoUCj zIF}}N=nm-bj3xJR;9@i-G=7{yZG*!fNx=tugTd0MqXUSO)GX;q6LI`Yr*pYJ_np&X zqY3H{G)CIo86lI4kqZ?gE`zpu<~osX2pW;^#{2VmFic zX?m=#ni1}PFq+*w*=(hH!^OXL3`TS-@M9Fx7Bwr@au?p-b82#>AbV$ujp1{PQZpQR=)6qtu73XTK7*|I&PEMgvnI*AJaLr}gEh9^c) zCMs}qT?)oHkd{csmOCx7G0h7zQ;4Ku_hNAzt;UuXZmiVww^&7om|Y_-YUSRfc?wU7 zO*(^S1CCKCnkz}SXDy7XF-mK#Pu||1tbVc**oxZvjV9hPG0Pa-I8Je%AYJz@jRwQT z5>3A%vW%=yK8{iUz;=tf<9iniq(C?ql&l zLM2Qx60X=f!LQmG9#qYQZoN-h=z`U?9(?^1C7l$JB_3J^EzgToE(M^YJfk*~MhX_F z@32z{j(2yvS%oAdATaZVL^!Y;$RR8$9GWNNrXp2He?bU|VY{%}Jt-a&r%pP7Zi^m( zAF;DU)+#0SDJGp7Vwm&|NjdljgX-=#c(tcxVa$&v#q$p|mH@K|n}LG7m1vXv9HR&y z4U^)|3{HMULDW{A;b8a?*otV_@}=U5Q6YTh}q{bM1xWk;q!$s zU0MpD)fP6P@l}=949?UblBsE#k=1wsTdV7vm;@N%RP|(lQiE)IH+b3kS*7^n6@M7S z)0|kUu$fZH>l8<#g-;d+Zku{HN)-35M-mjLS#T(7%D_Sg^jms4zndXCBK^4O$@OaY zah$?fq|I@2iFLZL4=cAYLxVfNKFD6d!i9yzFtPC^q(s31pK$X0eo@+-(+umjnY)wU z$;hHe*Y%~dedB1bf4^txXYH?y;PHNV3G{R)@k9cE8XQGL+69(siGkO`3fOdejDC~g zOY)(%<8OVeX$?WBm4EWc8(06FweOBP_RDc@B)Hujgf5RVG2PL^1k!DnNvBeu zm`6`Q7JS=Z(GAMS!0CtxK7%*` zIaA|e!@+nPe2Oyk6~^zpP$m>%^6;}Yhh7Ys8gg~|ZbuM#xWaY{`3tluFn^RtS4fbq ziyc2lq)N(f+~iQ#*HCp|x7biMNq#^bU{AL+;K>FsMmxT#ht(AjKf6Fg%=F-|Tf;=m z#N?kna-1In8>Pn8=b&0*weQ%%9oxBsvuw+mr%P(`)31rc!ac0A#?f;_*o`TuG@N+1 z?!QuWg?z%{(fw(~DftnP<^3Kw9z4q;8j2}Lc=e**AO;1Sy!`r_rjq8O;U62WCZe?y zYd6e|ANO>UFmZb}oq8WnTQ__~&9tG4pev&nBjE`fE{9wwHY+llDH7{XB~J>S?HP^} z!4MZQf&B_|&R{?d=@^y*&LukTN04v213$Cn*d$4N+D{5dhk$s!Y<4HTuinnq|~j0U3L|YAEWL#A;JFR+TBTSy*H1RYn;)mxf})M&=o^-%`ex3)up&DRbz zfiQYMB;YD9%crc%Wl<^o2_g?Hy6_kKu|E8+={~5)@(xV_YMV9kovxHru~!2{JfaEr zFR?V<%=To~W&Ai8!RHH~A+aY@4g>wsj?H?(5DL$&pAid-R-3`1fKYZ(^4Z4G`R>hs zj;g}9MZH^o?hmsv1~3o765UXRVQKRG(2j`yLN_Kt57!q@jZ8l_6)`8PXQ_0Pl!|iT z-~sjcwZcwKsKT$S@F4oDrDp{SKx`$)g4h;zUBemC8*Oa@j>Hi*k+qG0-hZTn{%Y^+ z^RzeLpC{r|_ZlYRg|;&6eRKwtwx?0$5CVjJxe?e&MOHhdr*@CkWV_VqEnW2Pxs4g+ z+GS!UVt~@U{m1=oS>|UvmgHMOvSLRfiviUs>gcpF%Mw%-E-io&ZD@tKEJdZN>?)YI z;xdi@k;DhWbNi4xhh_RSLc$O(wp}Uf6zg-Y3ed4Q5)9i-al1?fj#;*>2s3v{31ak| zkC$IBsYSC_Y~^vjf1HlN4+BrTF7{i<`a!A=Ebz;Pw?8>Y|uz2oc0 z-3uF2NGhhir%!9wH#mhmIGe9%iy>sQXrn^TDs)Vtp#Hr~v`a`6jHjc8<;*{syqyxqYRwZ?{Xeu64;fkFlkrYL0+V?kXSsin8P zMR~RN4Dh|k9`IFDZhhdjwBb__kU?C zLE`@(v=w{l|3F*0(;gXo=DKP}sVKN>RExs(rq*S35czznt@($@-NWP4HwKM34g#?G zUoHOan#cRH)l8{Ms5_?FV_ zRwVKS;oM8vkuO!j5?Gxwbh{sh)0N|S(zr}2C^Rt(82wshJB7yZjqXc%SDk1-4OBhA zkE0o4P26>!+H^`IRU#jK0((7Fma9KSDSG&`%OpH=x_lV&47RSmvK@G*asbiPpUdG_ ziPc~`jPxob_SH3NrHsTF_Z%h?4K3a5uV2OjS%+Ib9vsW5?7q|6ED8Q^*wuK^=h6iX zAx}e5>1qZsKkge0gXg%Q-Wymr|1ZC+tT|;pi7ELEUM+uSeW_!#j#MVk@6&eUS(n%G z*Za-b8w2hN!_2G7;Bs!%yWIG2WF+EuUdi-zIRzqbq1TxKRaLkd2C=|}H5LqtPcZOw zOC-PjD4zQ21`+Nh1$t4xKn%M=7rVLU3p?ig)46E=b;LnTXBe!U6dom@%`$BUP-ZBM zUL67}w+V8`$t200?xI+m=YbXv&LPS-f-}OO3`q=jg z66-S0e8xujx9Ml4{!PKf*f;}o;+8sBc|aG@3VqT~{WS=a9o#PXH@WEGZ!jLia}&r~ zF(TO$aOl|rTuA>tr1>0{(l0n`nFpfCa|Uc$&=KoH*@7yjQDF&7hR9Y;RvVwJ>2~}o|kW9$#<3OQm zoZlNzes2jaWPH#5eDm4Adx-K%Y^QN*HluA-#qQV3f-k0jescEE#94K2$DIFFF}BFh z@C$M?dpvcX*JInf0~o17TaIVOo0Cq8a!8YKUw+>156{`0P*eq~oZ<2LvuSz$iFvxS zl|HYN``_>k@_PF6-&G`t@xWB+h64lKRvVyZ+F*CmB4G&(ewJ|Ka5w`3Cu5jm7FM-5 z>|2LEAuXpp4Pf@0Grnb9ocqJb zeZl4S(0QC481TJ~frUX{QE2_a-rGA%7 zd`NMwC07XwZ?*z9$W72$>coMro(@?P*2%* zQbn7C|4L^L&ER}?E#(~+Pz+wNM}|s2SqxQWbn9T4%YHK?BExoY5GYJPIR?QS=Cpw0 z`8i>5e>el+qCHdj1fsIoEG1^jVsmeag{FBO=?yIoyU4tJSLuZ|dKttMWzj$DkyuPY zo<0lB6Ry1C0ITY~biB9z8+8ACeDt}i3mHO-t!fq7w0aPr>d1-6{Or2tY#1KA2n4_4*jp#w)h zx|j&k?+l!llp&|b^;#&S-&^>Am6z81dSb{{kI-y0pMyiQA`!%|3x;1U=;o4AGCC-6 ziAR>Bd6;G!ID$H9o#xuoJ;7#K5Y>6Okk9K-TR`(y+Uc@CJ_crH6j&zSMe?(LO4C-< zWyubS>{Xdcrcze-AEytA#gqacO8jc4pkN@0m%t$0R z5{*`nl0@(=eJ{kScFwMOdJspT>K0(!yU9QUKdKjdp65G@b03LrHz&+KlRq$VgT9^O zmZgq6YZPMq1$)2^f-EKy>GF!nPy=EAjbV)yW>11JEXOMlhNTq*!m#Z4{tpbxo)0}T zQ2wEN=*pzi8A9G67$rDbHwq=U0EOV4H@85Mj9>?GB{eIrKoV3dazL_zv|~&tLI49% z^RvNBV3AI2SE09YJ#q>ZAq@Rl42mWeG)XP}l(2zAB@9A<9|ntu6qmtGUvBP?U>F?@Q~2+TwZJZWiRxzL5;MBye1I~Zih#czny`;}Q@ z57_naG^*~tCC9?7*V9}J=qO^?3E}zhG;^;ETv@qViPX+8!w~QUQBdN*t;m_)L|5b^ zgdqrH_prkpk6QB?+x|Huw|Jr-f9i6;@hs8>M8y7rSAf6Z)n|UeZ8oWw2c7|YgE~mJ zpG4fjn`;;@-@S{AL97)zan@O&(9s=_0^5BfbBHz$8ADV&7Pom8w016&5IBy-u3J_D zo~AUn2)ke)$0+eJDZnD}ZBalNWK>|2Hiox*=t`)(dJGu0#E`=ffc2YCMRD|b$mMRw zAHN}GEvMfCRe>s}-LtS|u=)JRge1Tj&!Ez=M2s6x^IHMo>^3r(u0 z;+B6B?1e&ssa>-Hy=8ErQz*9RS^9Tf(oC+YhrxY!INK8#UJ1hma{g}fjAxpb!kc(+ zCgQn@WXAZ(6Q>e;4WK0T;j-@elNA05xHf@+s7wj??A)$kqq8~+)axI7)f~cFtQMe= zABPL9VijVzd^uAYTSu+sLZF*mxwj}#-bH%dQke$yywd{apIY|CVxZ)3hp*-O(p%E) z3K8%VG5(yyzI~i~{FcUM`@NNjKb21!62ocvoxqUzTqtU-Ssl8b!G;fQafwU`q@Zld zgoJdg6lYTK?iBAe--orK_PZYl|M?dM1Xj)TjrSt#5w=FHGjFVX2`ItIHg+fO{||um zx=HKvQ>+llh*end?1Vl4TA6S@Mr04K;O_o&`=WFzx9#*oCV!Y#U+o~FAqx71 zH(DCRUllPTzgge9N)ryfBsUF%n|kbpz5Ew@WpW;F9^KwB2Oyv-#(PJ6fbHzNb0f^M zLO3BuI`0$z?(R2>M`X|#@)_s+;wwe@eM9~Wg14T3 ziMLs0buZ>l8#rM$%ELt{6_v+8RdOVslm;Wg&&;`@J&FwbJqJR-UHUJ+n?>`qzya-xA` z&ZRQ<4xyML^W$O}=8mv@>pj}N(O74_jt%$)HKq7Ibz8!KZs9I8mnklgnj9~aKk*AI zJA@t?!A%LXA-Aj9FjUMRQP4>^l7LA@p&RZ6NA-B;BQ;okD z#v8Tal`r$In?&e!oCmMWkRoJxqdhax{}e*FElEnv^c*rvRV5|zKhA+BjX%%786V9- zm#*cZN;3F*@%|UM+O^_WmO4|Bl5F~w%FH{xTESv`6YqNjw*Faj!^SUUE>~g&3GQRPWtB5kq^D|hr_?l*dbb1HUmGZJj4Gt&XpR8z)W{S4O`SiEOk2`+i%n(-F z4g|dxDu-VfrMdP5nR+eq8hpt2rz3OGb}x_F{SnTZUZ5qbL~BtBq4_MJ+CHC0wou@K z4+3}v^+HP0`)v0uWoH*`CXK3e=3%GJly>t~3Zmdi1m_(;SoPT-!ih{>U4HFGp zWoYnZ#`h)3BM_`a$hgd5%KWHwl7rz{qUxYYlG>Gzy~HbkH_J&Vm{btH{LAqT%f|vuRW$&1Z3UyxCpc#UU{RkltL~)@YXQldz z!SEpw8lMB$p*4)#i@(ofb(3${2l^wTr*8koI*&jU9?AEZru6anf0T4AM*tf z#UmKhyI?L~|6o@t$X4eIcFhH1R}V$yQ{SNnkG1dKZ~TYKEbWETg+CWEX_QH_Mm8Fe z3T9BkDq3(t*$|}8l2t)m^%bi$7|auDGkcrw(KD=km4WA&nhS_-XfyzsINFwH^x$xy z(YLi`aCptfOIje}YF1<=KeSd1*)WnwST8!SIt3c)0lubRZ9chbw~|nsGna6h^9%b| zmL$vC`zhA61p;Hlw$9fP9H$F19(EOb{!$Ss@Y64S`pS>~+gEx6q8aF!4A7`irJn+RQL(zccnJ zj{;Y2^KrC`2F^E{q&@~WJ%pXa?_%3mKA8bR$VwU^t`X?r`erHyzs%N9$_6{2+=J&6 zQ$OgTN5q>O+*DMceVWuU%9`?Hw4orC&$RTNB19h-r40&~8h*5a(5oiAK>ixy(>PUM ziKc+o0&SrcrK+@LCDe_}(y;NfQXD7layFbi9TXQ;DAE1_6`m8qT#GbKCBFBz{Mku- zs41tkk&=^3QD*#S#2Nd{dkx`R(n0@k&c!DO;`%x}Kl`A>ht|%{$s_02aVZ!6pFyp7 zV||tRYr37b2vIJxGZQt!D?D5%0#vZSkI?08Yjf^Lz@JaZEWAUt9r*%%P6!PmS4c)C z3K)I#ZOE5NXKzBUa3{;rOneS3?-b02&0ap!i4ketz4<%<{iUDacy_sCK@hYYy2>~{Tn3tWpXm?oR=CYamH>Rp~R?l z5_3yAw9JM}-C`p-?62C+ePf5;(ZgkGd%)_nfU0>+UqVP6JJ78-9ujvrMj+)Iok-jExsPk?gA5uj{m5Z04 zk}3FnL`$H8bp%ILP*bIG)$6q`=*Q9>(2_QS4VLCrxJU<^{VcqFxU~R|;+6n}i{ojul;=#-!3 zVPqPL!9D$9Ke3$ft0rSbMLxYr%doNXq&91%_fgm%cdPTC&EKRqLL!GGC2xV#$+=CG z@3yukhSMY|GS?N+j9E}~e{XgXYg5_Y_w6j>DYy#}?6tZ zNo7()VXVOTqFuZ|qD}eozlZK>p~i_p$h+Rtu$}rFh@bKNPqLR_e4m)Mh8OQ%t4YHL z*6fwSsQ`o|1k{N;g6W%N$&hUyzeN%^NzYpc7A>h=;){Kl%m2J8ChyOY-acLOsDRCbpMsUKUTK!qH)x0danNu8@m0$?Zstmtu8pN? zat;;JRjZS)(;b!<3LU8o4+<~quo>M^E$QTYHk9GiVN#DF0T(*oO=wWz2Sw}@T)y)| zxOw<1Lty`U94^AyyDv(PR**=ckR(?M5JjUfNT3E+`Cz-SLD4T&492Ea-3?|> z6xf|w!o$q}ZEN*OHz|5rtgXjwmhk)sc+M%+zhJ7%Zn=?stf}#lsO40L=hx!~#PBNh z&ql8wBf4_NmAVy@`6T$N0F%IomC;<&U7)DKhUZUL4Rs!}+R8+611-bo-g*vve}9!< ztt+?YH1rP%4@{}oH-!D51w*O+cx~cjnG-w1{;3*%?Ks_Ohtj{a^Uy>5a`6%U=svg? z0dU(feOunR!E8*pf@3DijBJWM3FuSsK>Ru1o^h1&(5O^%vsaaCBV11Fd@n4VRe?Q+ zh;o|6pz5TDZKW&)nuUfAGSzX?w90BgRlN&ZcK#i!7&PG1G#G?aEtP{Pszne^wKNTi zP=Ii%r8^Kn1>m=teQFik+rx^E1sS&gw_)5?3C7A-0#EnyOwb(WvqJh{7`YP45K_Nw zME&}ItNiPCtmw-Y3-2DWy<4p4X;7wivrnD>-QYcZmk2v~YmZVf_CDR|dK*8a`OFk~ z&!V65Vyx-SRCmP^G8mK?JQ&m#Jg)o$AvGET2g{)*c$^XnA=LI}AT{LoE4@>W>1jg} zLnZ(Cj5!UJ1{XcAWn#xRP z`nYq|SpUGM{%3C zQKrr5({*Nz!<7RfL;5zeE0-|-F442L{FW&FXp}|n=D~?8TV&^^t=TRp0OT;&~WWwyi|tl`~I%u(^ob%u~lO2o+5&`OW*_@pFc?E(qMp2)2J?!11_& z;FTU1Zp2ct7DpL*!*#+sQRg1dt^uSeU11CUOUt8aZI=c_^hx^uui2KHeS-DIm1 zw;Sb|&#BAg9rbvqd#IVo*2o6v>gXrwO+o8|%q@$dS!F>K8xwhe-m+Q-Wu2r%y9~Ac ztZ9_UG0z?kvHWyX66Dwn^K0k#tlRYBpVzcMd^tY8%>BN;vv7_aV7Lc2j{ItD>#2+- z3}WT=px1KRcCy5y2%|7z4?Wi{T<>rnr}^P;bz<-^9Eo4?TcfRJiZ5tRWLWd%csgT5 zsSac7{4A7Tbz0Sa;fAp_)Kg5ZCRyKuSy7xLsWQng8sXT4G+;ujV=!IU$OQs{8)#m? z4hAWA!5)sTtE=BOOB-iBksFN^wnk@U(Q}atAjdejpGgmn7}O%_Zxj17$IrRJ#@x=_ zAc-^xUr3C?_{4+mcR82QE-{o(Dx+kh2~K)PJ+KQj#3LJV<1?K+I}s{Q_DX zjEoXF4Iy%Dy_}g4E4f7jG$YulFz6GeXK^vxGBS%SJ~S7-ccJriKW?yN-EOX_iIHy{ zn261vzm5(o@zb)n{&I*@MpP~rl4h4IgQi*hQiNBix)9hLt4w>knuzp#tzXJ>KCu`=w1I9oHxClX{*j;)tHbTk@kanE#n^>1Z#l_>b z`yyEfD?9?p&Ake9l+c#w zfsNs7VDkka>Hd$r0?CE7oI(j2we!fif~4{X;}a!mwb$M|>Z0;@bI&B@#coc%t%B2i zHZK%hAY9IOzmb`~n^BmQiH<&0T(e(;#ojnjMxh70(X%PPp*A{M@#hR{#3SdG9sn1C zCe#SgwBW0w8OY$GCQs55I^OxXr2QH<9-qSyzKtGnYO)fu`j1>Cdpi$~?31Kl3-MuJ zcD}w{JL=a+rqH{cdRW4!yvo{=p)2P)&jfW*P5_xLf0n`qQvNR2m2K6Sj^23lR-KNf zee$TuHoxaBI}&e_`f}g-nnG)$G(ShRv^~55oe~-v8#{h}aP9rvnkikQd7Mtau#Le} z_Koih&u-xGs95t&_tdQA27A(6c-EUT3GN&0K0d{+JbDaJ(V85+kzl`rB5y^K(n6}t zF76Ya;UbVtNJhJg3%#*W#CS4%Mzf)Rwh`t&Mb<()IRSv~tVNBJ_(|_8 z+#$ITc1(bf)Xbt_Um^LiRjz?5nlrm1Gaan9GF%9Skc@PnGsiu95EG(xUGMlMw#O<5hnVXT-caDd?rnS z6aX@{KQ>!B==<_Mc$aeA?vH$uT`hPGb@C~0UpmS%^8T}lHNSMsPRw+=S#R+)1Fg4Y zYXhy4AK<`1O%qnK`{qV1ZV!>j#P<$*9(D%3>O zrfyfR87(gTHmb$Kz65a@t5Zj-Q!gue1Ce;eHp!P z8vs9Z3P)c$LX)VRE<)DMk%7s9Ql1`kP@8AcFHeYIswgZrsJzy5&7hM>@5j`gJX7HV zwQ+A>+lb+5x$EaPC1e54)4e0{uD6Xev4j#>Ds&zgIHO($mPLR4jtjj}T$!FaId0YtP57ToB-Tw$^O^jwN6B_B|8 zKO6Z1YTGW(@vz!++`^+l#^-SvG?Bk12WC77EwLSOElcR#XvUX((ef;*Wi5EY1UMcnAjXcHOgk9E?y%e&qp1jK|AI?%Q@z0B= zU-hyxw(ag8=LN~TYj~1 z#T{r!i1jXVSHDrJ`I4RN4L}3AyI)|leo{ZMof}^ZpVY-<5&`4qMdq)00c0hiX8U(D zQUCI=IeJ=%%Oe+&#jrQ$J<$gt# zp$}-uR!|Dvv(@!`3Jd1Hr9Cv~micbb`!o|y;6RhxJ}XD+Q+*n?KtNf6$v zu@pscUN-kvk>=E35@F?bDumNyEuiITgUS*C3r;4Km<=YNw@Uvd*@RVyGV*^>ewB)i z)-fm{C8m1Tq^c%5omdjdTJ^NR`FEt0O$WIt+iF9&$7!Sf`>zzeXr0pbvuU79xQ;7m zwC!T6sm9)4=f8|#{aH<=Jqar?`5v9|%8yd$ox6^g8WpxP7b~+a`N_)Vvh;gy_6GeD zv!%0z3C}FNZ67P|$Fl3qk|DR8e;bylCB;RVKrCk~?WHnHhmvB7Y#^4W)%e!&{=)I; z!tvF@@xwwpJ}TP0ad~45;P!T{0;>@0v#!ShKBg6`G<-w1-p}_R3PORma`SO?emp zam&&7-LDS7y={QP+n-ghhTr0zSu)PF+b9@OwOPK-WIRnV^Goqbn<`U3urOCl;=a@h z9c-&@>KuR`>sG9VP(Goe2GwK6sW(H+jj0Q2ufj=I3`RDtIgwXcLrKx<2qlO=Ej&<2 znbJurufip$z9y5e<912qz1b2aP&VD@WN8$(at|Bh_|)4bb7^Hvjjq*YsvZ^EDsow_ zsU49^(ee;)DjuN}E^>XcbU_l*&Ju}J|1Yzh@FoX%n;Wsb5M+y{RB1|bTh1h@qk9i! zxrkk;_AO4`oV0K;y|l9Kl%ejdUioybDyhAju82#BXg#fBEe*(;4va_#{z?aO1Az%Z z;3*I&oFO{Nj%$sSSd4kll45#rqZF&2(f6gtC7bef@+xjy?m_IRWqXomX}@vb#m}Z^O*DqemT`rl?M75KYy~1Zjq<#voX+L zaj;|3PHj*{WkyA1T}9spS{Ouzi~Sv)YCV4%St@yO`W&0qxMbRsf4#<(mipz zT<>7jMm+aT{k&Y`2atsZRMhEUhFOw4v-&t30~K5o=a;Gnq{79mfW|eiICU@dIjc)y z?QDaDDn}h+y=@{^02b!j4trfBtCsPn{M{v1=!HqPCRs2ULPhT zZ_7DxrdGb$0=wHx4qB>JT8av#&zUDF=s;)Hs>F&>>sjvzjre*GJ&IA!6x`DL%X-n- zMym5S;_l${IUk>=_8OVT`(3YY#?9rH#Fu(%89ZW-V-r0#E-ejJ`K9}mM7?Fl-wTYJ zE)2sSYI=Q~gnI47aNWTtbMJ~*rqR-SkeQ%8OZcOxPrCWN&GP1+@CyEBxE=#?hcbz& z;t?k~|4quCD|&s|AIHxc+He8c6Z$eonr(V(zx2FD?*xAEFMv{I|J-8p>$TTR-x22= zK7u~fuy6(2EuT>oqHyu*{4Gbz>Zwt`WKwyYrKIB@lWWN#_2O*UEfTa7@i$u6zva}I z&ONEu{XK-Ufxm|kTc)~JIc>6J&U(g{exE+^B5USD*}$Kul{5N}JLdD|0&4x4Ny)LS zStV4~Zwx~90C`tLrjXf4!#g7!294P?P+5PYT{zx?qD#Fop-DFd z$qo0a8kGO?Xf_0QF+*S8`0*{DD5vUEiqoI?{cv{v87T)o|O+^WotZzSjj(I)ufjL4*!anWhJ3C zRcR;4gkohNeq22;AgU~>8tSGt&Ls`N5MnKF%@d^9pmmdZ60jULjQb>e=) zW|WbUb3JWUW+mpHHrJ$msdDg1c)*P!C_O@?=*7_!)SoJSaE9{=kiI`~hF>AJlCHxk zBbq;05G5(n(Lj$%suqKV29-jg_+1(n|8JyF@R9Ene96vK6F*;u zGwX@G#BMcv76&(xTg5%7kreDXv;gJt2?cNoV>=dKK-YKnx>ck~;qm@|azsWruMyk3 zt_3N!b5Wg1PmOXfgKiE-`3E`NTSzw-P=;qiZ@pQ%+%^-)8-0rP)l6UZe^DRreSzd9EppXcQSm{*SkQlGaJ* z=5!?Jk)%eT)#Bzhe?t1`9D47)lbS6Nok}57HuS|qsaSq#*oRB&aU`?S%S2v%zSy_7 zEYan*irDYeWV_-4OE8(*b_v)iG5&BhJp$3iNjTFxq&%X*P*yXxk* z`0`geWtSh5lWsJO*zuXrGN1xwvnuoB6V(8Y^%3Io>a!!Gw0M&0vx4Oj(8_9nxbl2| zRZPUfa*Ho&VvuFDcx}&&YS;=N&`adsL4($i=%~(eo$Bk!sp$G0>+9L5=o*~rtLHK+ zzvD=GM2b_r>W}gO{vOs=?(Ar{#?42R{FY5kJ*e8Sj>(v{C1VWAeCnq33I?g=_2H_? zI)&x3WNHV5W$jpTr=~{#Z21hmnZHf*8MgkBZ<)U-n$D@XRv*jH#r~1T|44t3JO$N7 z;b`x8u*HKF|3(EN4os=1*(bQ8Y@Kl_p>RnJ(0&NBonE==y9-NjJMf zpVD!9X-^Kz!L<#NL|Z#cAN?fI?q(#`iN%FnBYEmUmZoH-YNnp;sJ}G_aL!;|?a%Xp z(FUKF89P>CT=CX|x$yhs`;WxZ*I7(6YP_QqfdKS1otzwOQ(w^Kg>kX8{?xGzih?pN zezV=a{`lsobXfM563MFYK_tj7et&=ecW1lB>UP#0P#t7SDP2hx62+vJeL4%z__gL1 zk*H6ssFIwU$fVmZgedgno~lGB^)NNi-^+{e%1-OWl_4RA-9Y832Vfd7d`~xk(##=b z?R!wo5n%0Gdj}=p1)N**4NzZXu?+Nq2}YZj<-#_Qcg>30a=xb-D7|)9Q#F*pG3UBXb*4N$ zS`On_Uml)WDNRn5%D-y%2-*j9LmV7|PRjiwNMxuj?fiAL7!^A%g3e?5x`lw-#n||& zQbK`jO#Uh8g3lK&W|LX|yLSipS<=k(Pp5B8J+5%AhJ1DE7<3Mr8@WTjR85gcRye`T zfoxlW|Bt=50E(k))OB%}!6De-?g{R0!8J&565N6W8wQua;1C=_Ac3F>790i%7D5sr zgF9q!fSlE_y}DT@17z6 zM}Ibqhs#Ln3$Qiy?-ZHo&pOr}rau7t_b?Rb)afta(>FW)8+accF9NGPn4dla=5_py z@7m9P0>>sf^B?OOBx(Z9t>wV+M!Eopp|SjV=hmaU?|}0IV6E?Kz)Sp@^XIY}`CXVO zTyaq}JyI*D`#SIgKQ<7^`&jZZqC6{?`N3HxCbT)_M&x# zC?i|(XML%Jm-!uftwu2>%jt4^W7wa@F}J`(@jX5$Bou6)JI;E%DrLJ;punpL?wN}WfXCdybQc5`P;FOV{eFZBh}k6U>+zK zA2ep^s|G@JEg{NEFTT<3EfB(rn?kxgAs9&(sSp_+(mH1qw9}#SdvzR-HR-?+Cu@l* z=&Ux1cmWaQ`*bZZ3HcRa1u38-C$bLPp+jGS>-_9sUK!|y7_V@0SZv}Uc{nXEARlqg zNl_zua}^7hM1zSiq2Ly}=_J@_g-e$G5fBb>3UBa|hAdeikT16vpt z1@k});?NbsyOeL>Sn!DAu9-r!@Z-Jy1RLKKdBND3m*SvNCQ+KZ-ca@dJ(#f(2OY33 zST6xXwx4mNNvl(lFdLPnlqLD@z(5vpkRU@R4iQFDEC&?W(ozY~oQ^EAQSl11L%F5| zI!lU3SVmQ$tNd6D;!Q@0cWXJ&OJ}LXPEpxxF1m;Jd5(Bdc7ZrMWeQs{*=)am zeR_MjA^bLQ@prn1bd%h^01Ycgaa@5jK1-Pa`VhwU6z4 z@$3A?k$z(RDri$5Uh*`~K-Acep>#y=Imz|`CZQs?l%PKd@^gG zpY;EJKW2)$)2=^t_Vd9Q_3NzhtHJeyu%N&Y#QuOFy;3TkSW^)X&Odc4 zzN?m+en(;g+P@2AQrY-1< zcKl*nVNZ+0!dhWS)ExBXaJPp;(&MT*qC8yxgM)wo&aD3Ti}AN`QU8lyZQxE-c6v-mYKq3_bnb(6G6?8bV{NHM1aJdUa2I z?fCpx0K@Ce9?95>;}qvN896L?RxzBjBovzdhS6!c`kZ;W2@5Imc3eea@49qikGtaF z7D9Ghrb%Wq6o`w9g}P^tFHgM!FuOXSipx~2!)cskA07a#a_>N(qG#}AeX z0)NwGhF=GU$GE$!KaVcb4JyA#OX(80QW!z2%6f;vJr7%}IbaBtr7x0;`*ksQYHt_H z?T5E>P5OBs?b2Po3wO(bqRKk;*;~Da0Sd{Q+%3xJ1)|9($d3?)Lw}+z?QbS*ipg&Y z+vy|3SYYm46!~gPbBKPI$+Aldj*n3$l#b=Pg! zAmxUe+|RD0U#wx|qS`SzK=KVlV9YiND80$Xi1wSG+p8xh{2}aHgJN{^XH=e~Yh>Yg zp{QJbs%$F|1&c)nRX!3L#W%-&H1H>9;j-7Ra&I%}(ECe+f~v6|>cQ$HSU%!_v7Vw1 zA+t@GAvsUVYhXVOr44Pf`;ti%#! z%Hp*G2I7M2?fN^+>RVE{6gP@xg=8Gcmdllwy&Q!o)cKImu{>b^=26nhL zap?N(f4#G*2*S1VyIW9-Vln73C>JCX>&xSHf|^RV&x4 z368{td4(Cw5C!vF!s_TxEnC3L>a)Jf(5PdUiRg~D%d^9Sz(Dc+#g5!(vkW0-`$Ks7 zr@D)}n<-PvH?fNh6gr~1(c?<@3H5!9^f_lgV}?qv-p)|7#Aw3(^T|>+v%itftj?y& zXw{rQ57Db`7$hjLrRh5c?)OMdsB52d{Bza)gOos5u5zec5o>9ruN7`X161OjK-YNJ zgloy@2CAkGGtcGm!R@E#@v-e*WkK84!;5G8x<}P)Slewg-HsS(eNWKe=+{SlrJI$P z3=4u~i+4G)8yGnyKnZcUz zb)muH?asCz>HEa&bNJJ-rX0W6v}xbd&en(wa2t(1tF0pH5abLQd>Z+hx>T#7!*w0* z{6V@aJN}UDBQ*Qwq9Ht-AYo%L7WMpa^@P_VYX2u**iB%tlVX#i!2t0}i>8Lh=+8{! zUfKRS_VP}T4siK_4{qMaRa2S^^Zd{xKH#Ql*Gmu|k*@ZK-MI$xO;O1c2=a~Kyy4_S zX>VZ)9Ph}Dy{jHaM(2e?EKcJAit_*}nOhV+Y3v|I=qW;Qg|eF3d({}}0ZDa+ zQzSA9uj=fh4zV{w-F$e4UcG~A|KL_{X>8pha(Kh#tQm^1~thW9O;%56O@?yKMl zOgMbTWqHccmFIqbvAc{N`p_r%d9RLd#p8(yY}AnY&70M;>D9Alk#{E-j=v8sGsJ=x zSCc%X8aFo*8V&K0yKJV&SUj()?7l%y$Dd^f>&rPl>rLygU1xko!35YFVT{3-@Qu_c&-7y4B7LIbTT@V$k|qQsXR43|Vp^z%z5?YcMj<0F z2ze#NcGs)j@QA!inrO|BzhBr}Zz(lLU@}=W= ziWwRTacJB)=Jzp~5^BI=Vb5yR!_?*lkkcbUGEc(BenguY(d%f31Wj;wv1P139IyiQ zRvD*AFMp5<@S<83}_gq7S11;|ZfHD>y+ohX_EJxu~UJ5qWY4tT^asx6C?@^plKn=gT2C^v@UC zjE<&tRjlD=CntsFQ;F^ajYWL@aV@4v+Jv35E6&|3=bI?Gw*;R>$33xMr|8W4=A&vF z4ZM+&ZrCvf-}XOMQs)@qNY_$ry}GH$NM$;*7K9!A^N`d*sqAs&%H% z__Ls1r9kE(L}cif7pICS?~-REj`UAOoxU3dopt*fbiJ4Vv6Id7^jUCfZ>W8!T>zQe zqUPZl4!P#&hxF&u_Ihc;o2zuSJxA^){E|=(QT0!myq&0ny;RGu@`B(O28@g;L0b|( z1`;#~NLsn3KzqE)-$^}gbDJ)sVUbm*=9K9wdk^zOg)lLjVW+uk0|r_e$##;wXX7si zoV9W;H7Q$%KO3!Bh}x&Qy18c!C*e{}pXP$t;Ck8tPK%N&H968vL9OxA2FOt`cOpad zoUJR4|69<<@`)ANqxs{=A2fSQ$=_tt=LTK4obnUrY@S})SM^lhkJgUL*1$<9nekTe z_ge+67233MoL$mDb20i0zf`9lyno%LQ6uqzyzeDzcEzKF+$vVnhDQm@%E?6ToH1k) z(3+AQnyktee`=o(@5*O%MxtA@?4(|R4P$^>{!eXV_%cmNgf@Y~N%{BAvxHoF<~bjl zmhzmE37>Bj*XVvG127F4|r zJGiRYt?*oyxO)k?Zn$2qfz2($)^iR5Ic`ez_T6r@38}Mm@4xCH1@mERmF+QhY7z65 z&L2~GE2Jq~o#zeq2>aTj8-_Y|v+Nv3+>1Pj{av`R+jkphY^ACOxEkOzPKk@!9Se#! zDoPqLWY(?gIc>nc@Yjy6wj!NG6h@D5&uVd_99=+~e*;VVOM{YpPlyRtMj4?*unr8TyDX=Oi2_5rO*0^i! z8HznX=y#8PHDB}b&x30cn^;VzA&A#XkCP#p3uH+TIeI7wdQJ!viaBzOlb?N?XkrrY zql_`vZ4s*e)hMTwaA{CuEkf7Iw_svt8q-MYJL98NKNnzONM!B7=@FCk{?m%LD;x)1 zF~L0HskB<|19Fyk5?GIlhUt|_EXNFz{1v>!wQ{xXt=X9C3d&~&@->xbWVD2)L?lK` zUIvhl5G%jh7Lm}RH2rFkunTL{@HXr!pLto(5rID!jFWAoOOiql==m*bB^)qR!$;zEXjI1G{S|prP4beY}2M& zYd;s=cKGs1HpBU6Q>2+00N=qmz$g_FllGNVYf?ZTL(+D4Fshq%g&Fs_r^Sd9&1!vslLz4KhAGbA1T=G2%P~ATkJ9~S%2+&aw!7m zDsaqe;P*uQC!54iHYQ4a;Ej5lCS}Cttk3E!$J)Hl(Y4PJpscY+ou2Ow`$w^%^`k$k zXkR0W9WJm=7a>afw-U?`P8{|BL4!T~r{E4KsCnP(nzqZeyB}5o7w&m-t&`{oojjje z3Wm<2)xiTq)8u|IWxOsoqaBt(mlxO7s;8}#8et-MQ$EA@tzez~i~v0Na92cwTuQ6H zz`uqD<2mq-KHYq&P-p(9L8RY$pEEAjjZ1c-fW&d%{-Ia@OFI+of-B0ybUt_u-3pWCOdSy-#`yy=x(wppqMmF3Y&EgRMopB=|B>s z1MU6(j7$;N-A?ha7HrhjC~T78UYj@K^dD(z1B$D}=pVjOFeEWmqQFs#V!?5y0Z+md zKg6edMBNd}hxtt`toOLSvO7Mlrdw8u12$h@s-(Awg6k4l&&Y(j>Qx;FgUE>I>;1u# z*n@>QxUaAW-{9cppk(VsDQnAn?V-evVC*gh(lC)|k(A^$+$WlR5jaYxcRS9YS48%! zn+{bAqjDntxqPV3SM(j<(m$>8#a>=0r7Hc#q$sGm{quLVm+*>wH(7on00=QEnD6MK zGqVYX+TC1FL>zzvz3A>%po^hKDHw_<=H?9o5M}gscLby(NWf90zk?nHH5mSs0jO=+ z?hvJ$GVr4j6hi=ZoW*ibsU!0SZ`F!7qJg&rPC1A$ZpJ>6@j%Do(R=9ZT>rpIhr3N% z5CAmPCUO}dkAcAvx=?flmIL)V)dnRfMT8tcC0CbU+`-Co<~vwf2*66|h}b(=*$@t; z=(&hTJ+SZs7^@pk3^sWXPCGtc&k7D~CQ&~)j|(GbJ%~lg z*^A~5fs-8_sW&GlT9BLV`@OGGv#@5QyR%UJMY=s`7LUf3*?K+9PLe}KAIWvE>qC#% z_em#Tenoh&r6f#F^!6bp#nd(2-hqT*6)i~y*)Co_;n4>6So&`#z?xR~l(`;9M#OZl0WbREl1_^rp?(N5Bfm*rz4H%j)CxfD ziJosLb_zI>#1K>Js2K{m@yBDGGWa<)j7?z zQ^AXKzf*hh8}8te4n-%PUq=UllG63hj`zgqT15mJS>^vm8*@4TjW+67a8e*<96#1= zzJK(gtD{rTd+tr=bZ|7iV=JBP87J1Td{bgeXgGpxS;EH0lFv36J$}y>oI0^Oy@)7} z%fe>!i!#z2C5h%&%0R~9v3-1_I6TUkF{Z7uuLiEqSXaH;I_gUx(Q38p@2!~`pE!$I zZYcT4bG}P)y@A(h*|W(L*ap=Ft{C7$wa>gau8OPgxMF^-Z7G${uz>P02=VpPFAY1| zwag7I+1pMeHXnZVqbAZyKc%CynNRLW#{SC?k1L^iSqe$aXJNQo0jM{k#funz4GG4z zLz2dv=Bs%0)7pNCik`7g?w?x8rvyr2`@MFlB4twhhP9B~okud%lz}(S;x%K@BxD3r ze>F$&TYu5>s50zon7?E<>rhz#woc!}IJ<1`xs92x;OXi;`;Uv8PgyyD>ppxY^tFta z5#*?b7$B#DN7BB%)l>f2yCNT*<%-S?xA4_VM7T+?34SONl+}@^GG$20*V&YB$*~Z4$}OsXmiWvQjaGBBYKl@6`346t!QO=UKF+b4h^~&;N)M*F*!XSx=nm z>%L&(=GiX8wP<`=IERLwtb8dDTCoNoiGT_3o_zucH+g)AY)VP=p0y@9k(ycH2^51x zRSUHOg6YIn*TN`7ddzGYOzIEHI7$6ABQM}MDA!irvTfcNSuuxEUUw`EFr5Os+ zA&>H^oja!gJ`ggA8Slz++{}D+)4XabM+LP!)&1GD3sA)m!8P_UGvGn0O={>tx~8z$ z7~|$|X?DWH?Y-EyA+N#SxiC0@5fyshI7~Qe*7kMQ^L2t98oAUtw~pvIfuSpJDO-29 zpsXLghj9t`02R!U#&h;+_=cb zxq_`NK1DV1Iq5>8j?;VnXYK&vZrx@tCa&72LVPOLe8wPtJz!T2<%M1MR0ga8Xtcs z6&Ic{qD@j~?_2javgj%{oW6^(W09q6%vU0`y^EnpE-Y=Z&?4uIo~+4}IQER!t=azE ziQr#&;kNM8gX9+%2e;3@l~7?t?~A*CCRg&)XbO1OeqNomc_u6rW~X*Y1yMbuLQ(CJ zK{=wPgUm!L_zhhYRrj5DRKE=WFT4J+Ef(Nh0y(kFW@xuf>mH{H&0oSiGfR*9)( zmgxWMeDDfaEEf*m*Fz18#S;%+4!SxQmz9;S&nc!=Cf~-YpPhMK1Yp5>ni@Ni?iqj- zrZ*9?c=RNYh*`t;u&9GfEbGsie3MD7N|Ah|nRTl3OTGMmVok0&yLLT*mV>gj|$0*xs+;%Qko9btTO_fWTPVCJw+fl3By4QZx zZ%mEO#G_Z&@`X~+ny!_d2re`Oj8T$0i~>CCG6~q`+t$*&;%;~Olp{6zm*~&?zi}1s zbJC}~Z|+LeqOQ>L;n=DYq3Zqx1@G9P76#0Dg$6v)F9Pz<4q1M)Oz}K7Ju#S^#BVup6m62fC2%ZvNPvJc%$ok#$HQd_y*2s@w z#(ja<>HUh>k>yBnrb26LUtOoF+3yXTeOEsbvOg;`L%_jDFMnPgUMwqGO07q;h!f1| zJnn|{V0Ppa)z;b>{4jYeP>Xo>t!e9Lc^6Y~+*IqEW(S0<^Apz@s%m#tP<=hdBl9{B z{mBL<$qk>*?wqp!{XDRYv+~do_&~ntnO3_dFr)iT2c9ZI{V{N{+kGe}M-5RS^y(5H#$`BrhUSk&!#(g+! z9ma0UM)Ub%nT|Xyz<5}Bg+w-Q`8rGq1JpW-k~(tqQXWGPP6*-hK>3RXcFQa`aGH1% zOAB*FHZmiO`<1u7+VIGAbOz{UANSnxKPwfyiYoPGqfc)e2dF}?Z%Y@FXjd{G;OQdA zVk#QCwbakO{|^TIuQ8w%_#VdhZCv)bhg7}-WZHVEH2U?IyzJ1Sq|f?!InWh!=P`b- z??|Zm82=-lQmS7s@@%B|lcu2%fl#(iM-g@^F%4Clfi-l&F@DOj{=o<2i*1QV;#V71 zu-CJgPhVP{JjKY`!S#P@iQ`ID=;H5P;INi#XNNu}`(+BrEHlRcWoiX&T{!DyM0)&z z@U~+27(Yh-NvkIvbdF5>476X(k)t91US#a$TA3Uh)eTqcmhP}hxF-Llq0eMdTHYHKdhbZH>6)})1vO%n0Q}|<%?yl;V2Tc?0=ZzMCJO_ z|1igis`06RYM~Pqp^HCBnG=<Df zwah-JS&xz`&DT41asG7s)TZJ!W%G!5#|QC$C4W97%2d==^c?LzWEDV`A2#4sv;j>IQi3#AsrlndgXhH=KO-Ue~~{7 zsr@_h$A5c)_80i`MxAt~qBiEWL{OrYo&F8{S#EuWN1Iw%j!w2exynj18PMFv9eAze zw@_$viKX(HY?OPjZO0h_%D2VutLB)a{(d=I#H13+Wm-#|A=dUt=HGmBAz)j50EyrHFZ!N4sx|6xMjB_I4d5=m&ev|wo2 z?u23a9zb|;xh2#WDiC$8dR|{V0kt$VF|)V;iYwnPrV>WJ0+?V;>;`@!#C90lP zz7KKE*l*yk_O&m+zw*?6F0?)SDqVx;O0V!8g2>JmP|_o9G_&`laIyefJ#tC!ZdRm2 zE4`WTGW#c1Wu{X=yF75^U2m|JOq4Dq=^(q~j+KPO9T4@3UDW9m?wP^bdzC1NorQXH z<&K^qZC$(HY$&);EH2$ioLG>YL?`D|yQ0Pd)xz11NDSU!8qo->wlE51W$@&k_&sUJdVG z4b$tVNe$eg#($I@FNOF+%#8*90}4KW3e@|vem?NHF0{e>{PjS(5iPGk)e`Tf08$781mDfN#Yo*e5SF)>2~8fi*a;OF+q2D7u0E7 z^mU8#p}3WD+@)$iSSzlQc#EJ^woN3i?O+J(UAvD6rtp^r{IT8wfT&?$NdEzTL3jEg z=;XdU^C@`F4v-LsQK_CXDO>&leyw*j5cEvwZs8uVP+K0N@+peWHkDG5RV5*6d7?u6 zo;UR;y1jCo_#6;G0hM?ZORWcckRZBjVSXYXS*Fic5M^FM^fF!G5fWTPNepU-L@eQb zyVOi1t03H*298*?*U_LOG5OLG<|a=-5>)Yf9Miu%u}qZ!i^Ija(aMF{|87vMV`O2q zAr>9L!KIE}Wm4;n%br03Ep)tDIPt+CL7hNQJ$8vfbHU?|sH}a76l!uj7g>j6MO&nC z4kHQa8&Zr$0$3%YEFpdIe~SA9}u~ zz#!h@M#}2l40I1UgB>0d&O|EdMqzx3>@$iQ4SVwyf~vsP62l2Cvx@3>M9b|v6jo$@ z&8#Tq=t5UYdzd3pd|e;|2sz0RHngvs$}+{W96PALB=m{zP#{=OLL6n1d4(Gn0^wNk zxU0o!?u5i~l{+EP@XlCV6CvS&vixxu<^Q;gM1PD$B?5@an!FxGHNzeKJN!fc#Qtmg zNB@WZ;Q{oI>Ywz_pYx9XT>FA0(_?P@Q_$TrQ{-ysr{8Rc*;9vVc;NP{zJIn_Q z3~rp-vaPH7UatB6|G`BR^qH%k5$^WDn&Dfu=#*$zo*_(JsGm^%iQOWt>D75{#r!D! zIpW=#zR;z4V4&0`7FYZ`3TCV$^6WeLP-m}J&t(*>`HM#RjaDS*x+|;>oA-;FLWaTp zID>U8eeUmIO+H%IHPl2wBNz;uw^vU=k)aMp3G-q_rK-m4KXSi253v~?IbQWjx~+lz z;|PiWVex+JWx<0N%lBhysl6RU?}v!QyeU7v^_FOV z%)qd?CY(m{Psi|Y_^(sAPR|wDe)C$fMcKzIzGVZpPu5E+tGe> zqdLtusX7H5L+)g$Z)eYI>&keu`!*TiV45L+lIDHSkF3(z+R0UHZl2)DP(s$DpzF)v zkK~g_#Zk#H&3K8@&yI0v^!g&YZ?g1 zq*>8~yBz!X3dM@zaRJmD{^CWflRSuyN&OCmc?BMut7XaR%Xkm{tzFQIflmA_63#S7{U-(yzZge?3HzLU+xyZlY{zR4R(@(xr>Mb5`v-MXl5a)i zhcz5j!WkAGn+qJms66fq%=27a-QH*ve&`2VQPH*}$@@^dgCB5 zK1}h+*x1(!o_5oca=PlZ2;H#Un z1zVmW5*_qW?{{e_T3|taTDfQDV-NxnjMyNj#dF`ay2nYK7Bs6z2paa8v14 zS0Nv$>rx%7eiI?9OIt+Z=G!3-`QAfdFrWk&45$PKm4=+Ab{t0Efd457E-)R2$t|-t zQm@f--vK~p0clT0#=*f@4oWh=$T;SCuqZ9!q>*MpP_)n34GVAt3GKf$+4l3939SrN z&CH{)OeFA7$~lbdE84>zdSK43nZPtGFmvA0^;z=%wAn)!=^Z;J&BxqwV97 z+vQ(eo=2U7H1qyW6Jr$Nm!h~%6_jRlH~2Pm=;}+W%?-cw&3a4MKe>-QoUU8XQJ~gD z9r!j7LtpyEoB5%tPLHINHRc+!=vuxq(={dubEs!t!(j zju7PniYU%>Cju`)26)QEVwUN9g!5Z7Bypb^Q3Y zl*J--7N{@^-0+Ii>3LHUkv9Le33#Uowp7qXV88eRXn?uyD3V3nWk@{#%r)Y~!i7e^ z=k;TOhP4OZ^I&hu7W;aU}J%cLHEi{wtm)0>Kr#x76O<#>jD9Hrd&c3D#;>bYPS!Qt_yU zJ6B!0*I6Wg(K8N(IhzLTV{T0rhw{1w^`8K+g~O5(&b=3*#_s54;_xvchm&5%G@^HS zxTSBC=kMgtkAf>-)BM@@^HM;1{hH^kl;5Db9N#f}U_=>+iz_!SXf@*XA^ze~%=)94 zJXaczTE`aqkRQU;3CTz1%Xc0ibEQ{rDb+1* zeAwQ32pF_@R_h`?pt}}aw+s=A@vZ&_`_Bn94K_mZS09*lj(t*5JLt#KXibBQEt#D& z=lhA&ia*|2T@@nkz*mm!mXzs<`nYYk=Lp5+7G~i#DS|75a!Djz$PD#Dma1nl$6s0r zR?Vuos+^Q|dXu>gMjt)`9hBGhH@gk2t*{T{jOK)(yq<;IWt_LftXKr-GdM4{Wp;&udH#R!guvo5bWUg(HHE1dU*?VT>1!=J5hb%!{$etW*6LRMzO-^hGT*)!Ib~z z{Us(cY@#{XuLHaBcj(6v?48~Lcz>448*mjt3(K{7I2>eQNpLqw7yQMaQZb`%BepZ^ z!3s#Za2155O^6@CG3BQfMIV0tqmKyLc?RUyuPgpE70r~+-^5j##QWS1^WIEb@I$xI z%BohQOg(z()apq$BNO=Dyno2H6_+OBbGNk=m;S5vms?N`$baVTLK_=K&>5)&iy4#Y zHub1RL*oS_v-X=fo6UJkP~s3=?y-&euY@li_xZt>NVKwqXjg=y&DTyRDZHFq$wd5u zww8mpiHQ;~soWRSCO?^N%k<3n#f>A#v=@tUoXr5-mSHCBaU|~=+l23{E=9nj>ey78H zH?c-kic{;vB^vies+u{&axup=9>>j@t4XMWl*E6+VDvDcnP$-zG(t;grSk zb<6!(4es*7tFD}r0*%F&CoePv*zfKN@YIp`6hS2dov4ejnOU^bb51rhO^10xut=bci69fO632>`~&xF)5AG`)8#iz z04Ol({5^RY0(AJ*04ZqWbT5HErcvotVlrU-@sTN~{4xG=!O-$M3TT0;fB%jGnhOk@ z3*gqrU25;?WzLmwOC&N&TrstV%3XxYwX$gX4Cbu4MgF5;Ac}v&Es~8=LijoxQ?Dn9 zN`RjHP~W3L=?!|6FfTuEP86Ze2lsfh$1B$Aj3VLVQlwEjW1g`4-ggZAwF=OLrKSmb z#~$4^6fm7WS5r`S&^ip-OX3V>bcM2fCvUXdnQM+KcH{DQZ{0-0!E-+jOz@oNXLYt_ z3j9z$!yp-n&y>TrzEG$AZpZ~P^r-d!b@+$+`49XX{Tuwl68Sgy=lgf~rv$*iCjk6= z0l+_1>LrwT>R9=1RMKH%B1~<3rnNuR&utON4<~GO67&ScF(2To0DLm)wp@FtKHQvX zCWVBw4x83K3g(Hrv%ZLGSWly--ffga4K(vdPYN1R+ZeZigg6PZ5*odtlnLQbygp9F z(ila|I1#5PWpq3M_qCFcj#*XS_x_#?d-6)}Wkz|uOB6N}kcS>{Az}(eXRRcB9TtU= z)K|i+h<3X4L~)ko$_3zm&zV_a40NxVS#8kffv9yN9mhkUX7_&?twotEM1-M58S4Zb4FyYa5CH~rPXxpqgoCvd zI1&+bfVzkc{djR~hVRlYGozOi;xkyb^j&=S)t4y&)YFP7oIEVEdp5@?7Fg60NhZjw zL%u~iYi4AO%KnUV*)McU1~&1>xBMjUG&*)ojw-2dc%|FvLnAZ#zvGdh2PKSfK6``3 zac0W-zzI}-Nl^N=Tp{D=f%*(O<#riZlk1-H8=pu{3Rh5h7U5r_pZX9wrEMjUK)y2o zL;f4FW8Qlv3W^(zl@^$|xf7*v6s+X(l&qED5)@5N@Rj7ezeYHDQfG)(X1>{&&hAy4uOQ z&T=tRwGC81cpRDxfY+($BeM|O59>>nIu_PKi*ifHWTIbWAS_#L3a2%@q%zhzJ%YmM z*WX7Q)W5K5!VlJ|GQL9m#HSc6*a_}1ozc%4S@un_gAq7r^`iJi&O$KDaIO}@@{V6R-t-gvx$-Hr(ubq-exu= zjOw!|`sG7;jw-WX3DrQp`9aNR?=j_O&J+JQRr^KElelJIdFQuH+$26m?n}Ek?KBZ? zT5p!5(PBFsX_YYJl|PLgF#h^Ln6i`IjU@fyK_fu6PE5OQ@=Ri<20P{fw!K`9P}5at zp{fsb6r~;{ET{%t8FQiGH0tmbO3XIsAgr1ukcBrPE4n2D;OOy6Wjetp_(Dm&2Ffvd zJJ(*SLO()VjRTChB(UOCj*rX;UlxOHeO2R%n9=*ed?>j$UolQa|Uxdo`(8-D0At1pbQ@F{`*_m994N?2|w)eQ`S5 zoD1!DRHSU&6v4!;NCR@j9Yj|HP3KV9*lTb}@rZ9RV1)PY zMt!JXH+R7wWZ~wQ@4c|ysRS=y$4Rc>7>;7%UJWEgB-O=A0sq_dPiHE?-csI+y$p2~ z4dvS6704iWK>cgVkpY6ox_AiNiD-P%roKDdkB;juP3#r6)>>z3`dgNPfta|ZaQ>xv z_HIw?ujK0Pr$tJoj0@rCyZXxx$3~nId0hX@56|0eL{SSFaW}2z2TFHUXLU17BqPmz z;M1#-c9}9xTLdH2g@b|;eNO`K_2oZahEHb;GNgdn;!=tj=x>}MY3V}=x6wn_(i>FBGv?d$h#Q1fgh-e!jij`?d-0JRv6cX4Ka{2bk#dc zo43o42lv(X&xSmYEp-?H+O(Ncv{t`Kxe+=TwTtLmmcd8tQUiZ9{BrOAOIWzw-d?sn z-0Im@w8FBK4()w7$SQ5uibp`UYW<=^JE>?!{~EIx_=4zr5ksW0u)+Q%w<;=_2hSZd z>xe1Ar(e*h>I?!~qsHh<+1BLElK3QZpp2F;dY5v)pvivrnU&Ga`g~{}`{iJgnRT## z*a+ND_=$zrjX{s0RiVU)#x#Sw>}cjoigax__W=!aLT#YWwA~uUbhoWWsT-~OS3h*B z3FA~i&RnHn$x?*JMeElGK~l$Fe;fWQ4lKN31QV)&wkxgNg!Mjj5*m^+k_WQEc7*3OTKUSFRcM0T>b%E;JOv`*D- zI!9stf)}0v)FNeZas2(4&Fq`LpN0!-p(!G5yQ779JhvzAq@y}~b>f#)-QrlV?mwa6 zx+s;AOhi^*jqQj~q+kYGQq>DWmR>U<<|GPWQV-YF?t#XYV9Lb9Hy^Ofu(z}6;d4I; zjx@#oZ{~p|lBEyJ$fM3%@fxCV4xK1iqld_u9T-PFZ6;fv3OG9&dc~1___i$NnO9Tr zBfZ6$=YN(5)|Hr(S`Jr8+b@;ol7np~7yqnnd2W*cAxHJa;8m!APCp@9YjvsFV(0Xt z{akjfAG-lz@P3B3C8as&fCvg6cZHm*;w$E)Ds`vhenQDDCV=67>Lx|KV}3&-hXhgc zY(>}(5b>lDlz2%V9DR07CcH|p!z3X8wL$03zqK-7&_C;{dn|Cn_Dv~2=lrNpcS)0T zBroYBILfq&A*k0iU~h?kXz*%e`PbF5em zH!j0M!dgJnIzh`gMCi>^RDw2M3IY{A`h%{**9sVUH4a>J} z%UX6JJN`g0@_|4M$p2c8cnzMvcQm7*UvKQ?Dx%O)(OZtf{`O76FSCYb0~wn5X~H6? zXqk5I(=YFei39)Z)6=8c<}D_nm*)|tNyy>X881S*dCoro&num+Ew47_Kv6@Q4Is^G z>W|s8o3HLKJ?<(R{IJ+>|45`7djZ0T66+XFSJto|X>g%g_)J?alRfdng#20v?fG}9 z-kcs<9QiUa5Q@jG44%h}=Uklo;6Q(fX?eb{Rp9}s5a;OBrpT|X10-L?;-4In(eWbp zf$@UOLH<_(K3LP`y5^&K>sMZjW<@je7>H(rYZnI-~bD9Tf^gI=l&stvw{NEeQQdf=hft@)!ww7Q)N2 zjST6CTZ%I0#es&WY2-6~cLi=wl zaIg*)fwZ^(o$mKt`DY!$C{Ce_+VaY@@z%s7zWmcI*#>WYNzv2kVA#J|mp=_}NEmv+%PI;@Y~I z&*hex{1|Jo0fymxEvpcZ!^>8bpjcG#W^raW%AqeR>`d=dmT>Ka96AUIMIeYn17P^S zQBTPCFX&I@YMu69&|lPOZ0u)H^N@LLEG4L!$PBJ%P5&5?tJD>BIs67L$)#(M$HszM zx^8xfwpmj9!#52LH$9vDTSew8D*6vOl^t0iKb&LioFky?d>XY)h6t00A9V)b)Gmez zB$&}aknxS?*BkuZBxDE)HQk&gWGtIz|B3a@f^+}iX>6800=>*f$0`u&Jn@=-R@b;E zqga;xXs`I`b&uT*X=|Y%NRAEc=&JvNU$SInD1HG&{D_Y_2MX^h_aF1XW9M=wAQW_W zUeh8ZbmeAS=%6V|tDEu-soTTKYog2Skifn`0D|GQ0HC}Gmh(OA`)~Ln8UwB@<Mg|Pnt`oXz>AlkjUqGn>wDaP2rYJ6%m6h;e%Qs*!Z;NM{1xBmqD8jq>lVQF~k z%FwrTaMa>p&%?=E=E^iw2l*wLds(>vCU}JImMq3F6yTE1TvOhJ2QTmSb-gq|p^it$ z_cdYjH6CFt@k>HDRSVh7g8pLwHpP@W^GI&bh#KR)A}g3n(gF_u6bi*t+reYNSp^e& zHWP-T^lcbGVvrZIo0~F3 z+Q&X^wZ60|fxctILhezo!fP$+-Aus9O7zKjNe$n}=!tAF82Xv_W>@l zshbHL!%(FE)82q2<|qTf3P(})tB@f;8Z}D z&EKM5rR#wIMEaKN{1f^cmh-RBUqPvZ%Y+cGq4f3hMPjup(OCr9Dh7-G-z|>VVo>#i z1($I|ES!byQ#iHRNDk62d19kbC*p=gD9LUB{$;9Xoa_~Ro7m1oVZyZ-nCyv#aR!;Y z9p49x2|nIlF(&TlP)|w-|% zuP-XA-hDF(IDGNgYKOdwD~^2dyG@8V>2(+KvYnb`48}qN@+E0V+$@|M55&^>(JtUF z+WsVPY!d3?MOSH30$SvKCE^`=^F792=ML1*Y{VBy8lAoH zLc1q~_oNuPi+6f4iJ-rp1m}5at&6;sT|B{Qi0}P>%f{|yps|#i6hL&JCQgbf7RRva z`MZ9aJxWCP6I{MB!wp(AHtvr&B-U35U?Ln93J`!OhXb_}hepzFQO%ZrwX=j}2J|=f zo+PkFo;YhFgyUc7ND^BmP}aoG))XXSma$%GNE9eco)K#yWcYF%e7w6jnO(X6PK)ad}o|0ywLsvNVpyYWd)Jc*MQ=?ECPC*m%{ej1#6 zSQ;p5k8s4GD=Z*XsG=iKgUm8_GYk;Fi&Q+?FVKCw`vBM{{2DeRmUed-msUMDqV}?# z48QCDsT||3sN%5?+%sD}D8+SSA73|MkLoWTl~AA>*A#vK;z0l2K_JWE0@>kt#9zEG zb~V=@BMrb zn87!DZ+zMeR<$!YsLQaA6%hqOT&~ok+~a{63RSU7B2nPuPNEpck)66rFFs+{8JH+n zM&}_g&@E^OFTxCFHZEjmaMbe2#SuT5F46(-Vgu12kyPesy+^g`p;>LB7QWa4{i(^{ zeN}t$KpXIc*QI(uf6}+0dN2?D>L}!Kg|Kv%^$X?mezz+;|G}fFikQC$NbKoTr9sQ> zWbOBPpGC0J(IF^|Z}pGEpz%Y?E!!^?gu{grmgPA*bxZr!0NVtKK&&6Zmk)J6B|9|H zXLm4-@JUk7{14*uh{!Ic-lJ>DNt|=WjT=;cx?0NC?2vnO_39j2dAc||`EdQAXKoTZ zEl>|*=d~M2VH-AM*~QB{K-BUZS8BXzoZ!rK6h&$$^5CScznD`KwG%&tjoN8+>2ws$ zQVVVPz_%mYV;r2)x>eHg z_VG_Et`vrMvTM$`jkc^?!P9POW#?M?5FKwpldV0!{}`=?Tk|ey`y-dyHCJvef(u)W zgXxuqCN<-Wm(Wf|iN9MgsqlSG+w@xQ6nS{66!r{E-Wf63Z0XFG_44EyzaaFUGTF>} zrW!vZ^mcfn8aFx7(;jXVE*!7jzKsQaacs%nVH;q*Uz`yRC(I5W}RTa{NL$smlZ`sx&g;Z0*XcIVO{r4{x8bAA4OuoICqg&{gN z3Y`oBqfQ?38d0=(vnG}5?T|2kD(xF-A@N8Y%9qy2yQ)+lD6U?-lMh1}Aph2TDj#(4 zQqWKYr^_b@KBYtj@@|q2PVPU(kH3cXP$l=K7RebSx_4ScyS`_R*6`&;2D10`sCtf$ z!t&9tf1uKpc<0FlsfQ7KGMzaLr$tfWE;y2^b3;es7iF?mV0B8_@s$tF>>&Uxkhs^= z{N^t~Z0#>kjXxe9qq;#;Kpybm-(K=tNX2Lly@FCqr*2FXyl;M*$*nk0Y|2TCeohWA zGAWg2$(Jkq==waayUc4D$TY-C3NX$B$X*X-AEm(H4-ICHFf zUj(zj#IC&pHez2N-v>(_+!fYmMO@Pq6xDo57%tR?o*rs^E~>%}LG+!eI0WlM}OB2DYW>IF?vcGE8@zBAoa4l)IQ7FF)?v(4zs?NW4h z7`>M$w53zvSu3|xUixF~dI1tAPL=7u?=&l*Mft>JV840y{Tm9Lpt{k!`7uy%sGSJR zY;wF?k8;0h9X&xS2^&Uc6G1njzbxK9qGoK#BDrdG zEg!$DuhjF{H)2kDex;*P$riCb{ZM!;M;CSUf#fQ`(pJ{oCa>bz!wfr{-P`IbMz!AP z-n0cW@XgBGh|H$Pf!W3fhXFgZJBYTEm3Z$3NaW6z6bkzh=tzA%LdDJgJn#X%^6b~M zuLA7)Nz69#Ii6vWDZjn>8M2Pfc;Gz+orS2rTNrvB%NHgG2z&|zP;0=`izYI|hH#G1 z8F*>}IPtM=c%K|ylDuM{|5OC&PXxz@9S1R_h?#AxI+nXlbhVb=`_yd+h{H>uA-)4) zFZ9CI!eSlIgSCXK;I!hiUL|jR(lec+gV=Mw>bCgjRlj>w1^&K|vzLLR15@oq-*GBK{`C;!&TXN}@A*N05IoiunC{fLC}EvT9@Mv{wd%ABLb_%utZVd~KTS$iuZV)(s0 z(srkayhhdc8|B2BlxEK8ESV_y`iyD z_{U{_D1XW>I({mlS5m-l(=7eB@=%)e+q;F+?leG80yab2f(NxUsQTgpgnoHZPToGW zG!Vo$xQV^#QzHzImOid~zo!NwWBOn@?m|GdYTwC;&)AfLco-lC^3Y1yp?eSsY(Ewn zPUVm@fBZ9F{wTjt{`dn{{+L^Jpf}ejS4nrJp&#{lfWKbjLnHr8bK?h)|6XnIyteT- z%9m;}{d4*8#R{_EgU2iwk3pXwA_|Mj_}VO5;vDR=@WekTzY*p_AWT z72QqxXO39XcH=&paDO(l1*cEMuGBT$BQJ+By>ZJ z6CCL3W|xESYs-?_JniKd!&dgAC^1#5&z6T5$upzf-+zfT{Em$uADw@0r5r`KbBJ^8 z%_F^iHhrB#^etW51>1bq0Bl_C_>q^|*ItZswc_O>sX@5hp`{I@1`GzfI}%=XUyg#f zc{{{T-yU3R>*P%g(LcTC8*q0lo`V)+_!KF+nVmn)DOpTqJZDmlSGNUhH$)HZJ+f9+ z&wLl)dl4D2IuUk3a2A*-;sES90_g$$?SVZBAiZ-aC06fq=FA(62fpl#0B?EDLLh+k z6KIs?cEz$DTPU5R={H&_()n{PG1xh~gQO*xL`|$un~h5Z?Ys{eC%B z@n`x3-(H^2i!VU~q_5!wpb6d2L03aVFAkHFUumWT$hBK88rZTy zSGOk)9}TVE>7Z6`*nD?4WNC2d3!jZ|o@m7;)F&Ox8Coki&Nwdfao&6Bs^wSv%4Cgr99>boRB;Rwv`(gUdo<^+eRcl{pi4VT5l!0g0aMBN@K_ z#>{ZJ1tUgXrjySHhh#5xj=_2jqvzVFA@R*jD^6^SLWp$2kdnP?cvN<3N z<$12}XD%V@{WPC9u#k+7M$Z^TPaGhe|5Rc;dUNXHb3=Pr zdcLh07&NizjPLxNKDYhxg4+lv%% zdcDSu?BGte-Bq2?OV&K&oVmfMa_(QxwmtuWwq03zU08bk zSfpCh;lMYgzJ0fi@yQ~v%#u1u6<&qr_hdYN4Mu<^a_7oxKJVu4AGSPap9hIi+V0R! z%-SM`rLX!|w~x3`_}Jh_nAkVlQuFzi*#D#GLVP7Q?c-i|0F^dz3G$sm z6}voUX2jrfew;3UKK!A+Dc7%eIF|2N1={}JkS#xAms{GCT9ei<2*a1=>-!=z#{P1Y zp%=f13rDctH3@ANM~%N&8vZ(k^6P!D$;Z_t6nOq^FCfjU3~c^H_#LJUo5&um`|OE( zj_YySkPW_5U%UFd?LM`4I2_>J!sUy^JgVo&+S-juO5MC?6TZ$v)q8`(2zFD=z#?+n z6h)&zg#ywa@MIg}Lt!S8Puy)1n3-3BeFqTj5t!Kd(wJDKW)-(r_>!3C){g(jXUE2`IQlsil&R=(=XP-dL%0817A!2)73s+wD|16#ojc# zJ-e`|pJQO_YHROMJyzY=(wMGqTk&7SCw+sNrGbt!4bId8^{R(dFj&R9@F6u~L}gF; zmLcV=qtkz}6WqRILWO#pF*N910In?%%Q&;e%pUsuL<2ZyW5@|?td|_={9pn%S5`{T znC6&o&)9}D0vr9lHR431Kee!RKD8^1w8W{N*GfL>$+{l}eoqu@%HJ~CWtq4_-EB$0 z>{KP_bm=f0c@*2h)fX*73xK!pf7=~O!-a3o%T%6D)xvm$PM2rjhO{9rRRvAfZRc%$ zP;&bmbflAnML}*$Eid@`I%b_vepM$u&7S1yu+FD87wmTpldMc@{&=%;$ggV0tT+VUdVYsWocT5PqR~vc}uw2aK-a% zbC!AEd3j=4&GsxAJ$2-Nn+7LhZ=Tv8{(AK4%XiKReDmg*y4L1#yI@Z1rQ5;gFNZoSp9IjMiPwF&LZwtx z;Ci@3W%*(lChAT1X{|=mK%G|V%xwEaYI(jNGYkJA$-4(LOYL*-;W&S-V@t5Ri?`Y$Yqs3k?Kmsm6CYV}0>3xLY~QhCXuL`>8BE?EX>Vm|ctD++^3w8dB{4C0UcNWk zRj9r-+#l&YV>E0v@dhD`xqj%J(0Bl!wQj-BDfIIQKRuHR`^_{~I3EIP1L<7gpCAd~ z(ckA%edtjzP#UQPf#1*XH;!akfA+#|Y!sg^4TRu$_~oEqG&==ov9|OTct$t3&v4%& zIr^u$1dNXMm4J8xhTJh-jXqFwxtP!)6o=Z=VOE3&j+LrlxPebqX zu=DOasEl)FiX*P^*!D=7HRyX=4V?)}u_)oyc>DZQuErjLw&9^Rxy8|if8(n@NmxK^ zyk7O|OM@Mg-;Q>1-toWdHpA5iIPu5Szj|ZHXLx||_S9QGd|(H*^LSSVjKoI4j@i7s zs;`ii2one2kSCIosr0j_K6`FG+uwGsouv5TifKI+fCD*XwmLIuy3%&OP4$s?W7f%@ zNF885VEH15b=Zj=jU)n?I-*KK-<$2NM(PUQ)XlWp@vs?;IVq$j(07KPS(N89jz^wF z(z6ZED&=0wT#1`UI=f5jc+WT+zdM_m3?#K%KuQ4x7cX256gJdZ)sQXgayy>a1F}HO z)9HxFs1Nha{Pu>qpn8qd3R&uKY zFD`6nzEnG_&!l!0dPI0<`5>)&eK~47-c3IV8MzGmmDkl|0tj8Su`cs;EtHM+aH)Ah z+;<(iY}D5uYvghL6EQ9^J`_0rda9jwjL-BDe-`-NPgE)0CTq;(OS@cuQ^O;8>csOY zZQK24eiZZTMvHBG8{-c&maw=4Kic}k5!_!gPsg)?Dra#h&(e=OW#YGi=ZbduF%VQr zo~rCGN<-Hh499YbTZ^4htnFjZPDBpA?E-<><{*K#?2X+q{f%tSGJDr9_ejT72APZp zN62#+Cg2N^l}@$5X^v^8Xr_6?`jC>dbc5SQ5*UGbY{8CSoX78{$ z_GxMgn#k$)K1e5mv}c@$X3^+*$;w+C^*dp(H*%(B@C^|NxPMj2oD;%X(6!=)eb%$2 zZ{G2GtH3G%Ep%JP#J1)Urd+~wz7Re0q5CAg&&T>xRYrPP66izUPa4W%mgrBmpEA=} zyxD-ItuNO!t>wPUOD$?Hq(7TYdHM^cDIw?yq6#O+`rsbB9O2@-=i^1sY__GK1nE3tRi5SQ8iTcU}E+Jyg^LDi$)Ae_+zwW zc`bUIWP&tTNQ3i20;{;#N1LMd>ReuU=T#MtcQO|{=i5toiLL&fv|nkmL$?vRvO_K5 zxf%5J*gpp_H^dBOSOi;aV}q(TC6rk<-K6xd%OcLkru2ExXJX`>u2WO&N+5lm0=4A&r%-yVX-!tFH=~^g z?VY3;&jf9Q-ZxFH&;c!j<+nVll@7Lr?P*ruKDK$?YlMDO>L^Ew&))a*eTe%=IssJ) zNEpOVC8a-y#uhH_8PrvATcMP2^e@c2>lq-~%B%Tk8S-wqrG49wRmqdIR57I;_=3-_><;%0(YXE_I|wZ6K24*IC%!9lWl zgheklQ24X|1z4r-3y{9EUy@#62Ip6tuqgMa6eTyR=wM;D12$LSGsoE0Tiab*q?f(J z0>(VDcYTO?bok^bMox+v1Q5s-G8H zN^ISwRYE-H;KwZUqR~3F?Rk1vyHo*QFj)?iOe55BB3RXdo&;^HI71AOCQ18!R8;Y4 z^YTST)?jyms(nN`$>+PW_$O=JfVZCCBGgs&7lTA8Xumt(B!{Jb8>CN$5)hQI3>7wP zImG@T{DS=)!px|jBxHEDxo)P&O=Y_jZWu1v zA2TvnE$ufUo0AF$7yE)miEH&}P7e%PO5MfID_~F)1I4Md=~d%EX#I_^A`=wkq@&lYo?3+z zCYjLDY?zN@Y~BdQ+B-P_ z>C?z87r_Q{F4h>1lq0Q+*D?x<1SJvUxQl3cJc0flsyf1XoR0NhiX=AD^*(AxeaDmM z@N3p|2^Vl-II7Prs@=Nqy)C&?ZKQBqqdrvg#965-5yKpQm}c7`-@2a5*v1RlR);zf zS&Hlf>46{_y)!!*__sV^5+QQK+$f4Xo$kHaH+{Hd5Aq@E6p2eBzk^Bm3SNZf?&1UV z3XDMX3i**i^a|vguwXx-BuC^k`|q7BLq*|Fjn27|h|2R>%<^YVPR9fbvyw1_B&FDT zDQKvJ;DkML>v0#aASmzP@Wun+tVMuof)^9ARg)^y9Wre}yiLV#)Zo;+sZ{dDP7IQ*IkV;1hbi9RRlF5SHwHxkJ@c4<@!TG*Db zpO!ct%k&j))D+#yUM2L~W%wP{WXOy7&4M3E3&jB%-IZ;!$W_m|F^;<{s7GGE3IG>) zd{ZABd+^UmBMhVLUvU&4eOi5K94)Cj`N9mXm zIr3y`rIYxDd>$tF4e32yN|O_A9%qo^p*+A7s~-9+1m<-KLvRkPB>KBfBJ@g?%E}UWc!fxE4+h3f6M=vEMj~Eme&WSpv9}m z02tA~yU^g`Hc!#B(Q&WP_~SdrR7)=m4P+D(uZ|GluEt&wvrS79w9glY^?ou(Y~npw zR1eD{+eD~vu1>X5Uvi5?CE6gSA<+8$ipv@w*-~Bf7aNtb~Bo zc7~HEW2|{}Kn9g{!x=h$=&JrUTvRJx+CuX)C1M`ReokRr3*Ts?X+0WiZ3ehLPGLXu z>g~CqE+b);zHv;UzIY7PLptmoJi*2Mmbq}iK1ienCw6KbHJ!&?&+g(b(zmjEK(`z^ z!grp#-PtdpgHFOTmPYl(QuJ9vxo@HJF%XU-ej+cFo?f(UQXmUO}U1vWVHbfosXBfXHC<~qp8*LZ}JV~Beok-VR9$K=B)7X94 zi(4gANVjqF`Ol zVku;*w+B*lYCKS5+1DJ=mS|NZSDM*j-f*Wnu`I$GLdcR8ysT64=XTQrvqeE79!_ZWlG7SE@Pa_73tYa7k$`X>xrtUfLu7J%2orJA-|B$B^J zBcr|C*uRY?_%o8B{QhG`ezsfOj)}C0e-}qdg(fem|8&ZA$cH3<2UgyYdJ)qn`t1JL zD$ZVP%vZzb3g4;bl|ncsx8A)(l+Ih}{%yejf!Oe|5cuH}!i);vQ;UI|ymMgug`T&B z@^Uweiy$B6ANkW_cEeA3->2qE1?xX84hGiRF;kf+=E=WQ>vW1S8a0Rs8h01+{^*_4 zK3qrfqlG(dSo+3AeP%#Qa!KgTeqOGBb|&&9-p+i+M?QXTr8g%G^3uP9dVklrXQ~|Q z{iJ;L#ZtL5olI$`{xPgXL2D{EZPSXTq*YoS^^y16M^iI_ojc9ajG+EL_BcvDlrAXNrKX#WN)$o~&aV&7!+I7#4AUtB_ zNrlYNp1XlayoI5VVySe?4XmSFOHcwhspu1-`4U$hgeABoUGDtEV=R`Z$|6rgrvg&H zn}A93hrce6p~}!co~0G9LU+$-Qx7~hYWYwz0egCeXbne_k-v^==%fdhGA5>rZofMjRL12tXrC1w!`mHxN}m_F#-WFP-}p zGT+kCS{?@UC3V_FfR<@P4RMsct%=GYsgyq};#w5ukEHpL!iUKRzVdTqwX<+lhE ziGJgZ~*oadSGFKQ~Kw{R?g7Gx)F7yPD9UaVgJjHZ3ACLu@!W@TsKypM4O!@t_o=y?i1*m`VRXh!9`EHBQF0zsW$B+uV3?*KhGsSGSG zp0@mdS>ZZ!Zsx{8p@A;7m@dF0x>F6{`o?4z$ux74pVuu+=MU}|Eb@P0>o%ajyUyUfm)9Ep)2tKe>)kvT=^IQz z;=AH{bdd7=3@Y4Z@h{nS$`LgYdm3+foV^xS#O-!Q*(uv&vMk6Ycjv$M6gKpS{MsIM z{xQ48-@osaOXAVYqm)l@tzP8iZl)2iBUdT9Rz&8`zLQ~4Co#2S}Wk{ zU|<0`eyvwDe(M6&tkG*Rf!+FBF+SSbxa=|dAOEm-z8}n4#30!11Hz##g)cJ+u2R^WJ`@{sp>!;--_$*rs!;Pk2KpF#yP{=xy^}{9Y62c2jVe( z(H#(Adr_48K;H5*m@op-TMP|$9`0v0B{`bMZ~a%a?jVd{f``D;N7((LTYv~=yQ}VcWa1zj z*dvYTo%6TseZ;(oLGC;U6cGo%3q#3w;bU(E)k1zSyQt>H=30!&+}N|l+UhM1t!oo_ z*561@x=*4dbi{JXuE?~6yC83ogKgDH=jsST@?YP<>x#TNEWQ4_;P9bd>e7}ZRKu@aQ$cbghJK^*lI`mBcFm(_7-u`tI0O- z6D$J1PkR-%2iH51x$5z!w=i!8K6G>%N5&=v_JzI938W*NH~g5tf`c-L<$=SocGF|` z{m;p=Ob>tkx(4E_H7=P~Ya&>|x>5oMe7Zk*YUrhES()ru!_w2QtO9@b{+Js$3(?f_ z)L&^)ldHrUv7Ng7b+5mi?KIMc>oA5C)|oo}6yiy{kZomNsQ)&dP~_|>{o&TBWcPLC zf;pD_n*C@;4KolOw z0R#Hj=w@GJ4Gd|NEW}tI3d(~Q70xbYr$I^CT8a1f7aV8A7?ZicGgzXl4#eMu7VUnZ zz7>SxV~Zn66%C%aKCi5gxG_U|vp4K4d`52AqimUyO7FR)%ir&XiA5Zp|0%(yA=g^T zriar(#5Ot7hKbA;4aVAa)^kqt+X>)hTWC|CuWSiiUyy!>eL+=R_Upb-?gvY3JTs`C zJ|qM;%S0~~H6EB*uhM@0Rxni|8JPLl9FnMc%fjSI;48+TP8)16ceuP`UlJu3`@5-CsI2)9 z|Fwyfo(q4LYGQ&Fc&qDwZK3PRKY>_Z|B=6dTs`y7UKZJbyA-B~Y{y-?K!#z(px9W_ zXcwg-&7f#hTLk1JnYJ$la*!BgaJcb%Rj|&H*0eSm3cjxgPafRg;$dp>P-zk*C%l}l za_BN{{xZHwmP<5ai`$`P#4HM~nD3TJspv9kZ!xa&0iVov=aH&*R*^al#*mF2lDpS4 zZRj2yn?Gsc8OP^JHN4fk2Y8(gSQQOb5HxEPWLvIRIzBe-4{n{=^LQQy2xGeaJT~am z=WFPP1kKMc`6U2b+VI}9{A5@LHdQpL*!$V+YX}wid>66Jq3U%JSf=*m|39Bvcz?&U zSt%T*&Hr?g-TP~v3Kn2Yzk5YLC?Dlg752ZW=8=Rn_8r|ft_f*XOzDHUu1ecBb8gIu z0)uCnuKzFPxM4u9mav7rrTf1s=ZtqurjRiNPt2Suh>s+n_OFQ(<3V$*(TSqnF6g$SH)OMu^rFRnShC6$#xH^34>|h!r@&zJo@xo%`=`=K5=7^nXqN@4o_9 zptRzfg`$IjChoVst_ktZ9lZyJ7W z$uClb(f@y?ED86Bz%>=Pg-{LhE6RmA#nN$*D$A8QMc{u`?yLGA+Jp+=>(UQWMgK=t z_ch8D8ZtTenjO{K()`bZh4TtA!?pTD7|ln7zSNO<3ssK%FRtW(N?N^U3Fl0k977T= zasW(=xwhXNir#%F8^i3&R zau4HQS^5@rL0z?14+NN;KrquWD1?!IrtIJpl3MBL2$AoA6&;|KX7#|2ahdmUgBH0x z#zxCK^0~kAiUPyDb|t!Q?znGWT`pE4vA#b$J)ay9+gLw=p1w)+`FxM%Dzn~kAazN) z)J~!w(Aqoyhh{*VjRPXS6>;BLucZ=K&D3EW?m|mlNOmosx?voBCbNb*dz#D~lby*J z?`bITJX*)SO{N1etj!Fs-_JJE*7p5G1nI^$6x=ezgu_iNd(i6I<4ATH&Bo% z?_TmwwPZQkbup&B4}@0+LQfzYKxRaFkxk}Pl&>y0$B z6@yfjvU}r zTbkttaz0P80S_H#(qU7(Cb_sn`RKYOHV)9pHabB@oqKndjKOL<2$VLGDx#TLk4&U- z_45ljUG04D=<7t{fdj`@CXt#)VwQ_y9Q|OQ8B7Fx#GwJo&8#mONo7HYph2flK2aCc zmvqCeQafw*txwcmIEiOHBv;fJ<}e|SDz?yjvLW4A82)*x2kvELkD`QMA}adNQ`S>v2O^`RJ%>C?UbjsL$w5x6DmTBq8WVA zH~~5QYM>XSoxO_CB{T4wFJAlt!Dz0^6ur5)L+m>UoTLB6O!x*<8L#C8?58roa5C!; zBNd~gHmddeIc1W?v_as zAn~sc>PJ_rNo$TJg?oy%&pm&1_k|{|7%W#37z`*Bp|4EA1SlGyu$96?% zx%Q*Mu7a|;_S6+=4;hL?*C06#2WohN<6OD$D0myGC{Z(^m!lqtXaIgZ-Ew%Jj38Gk!N5n2)q_Uy)q^Zyrz=Hre%X>yD0xtB=;6zG`{uaAmr->h zFE~KR6a!Z;`sT@lh+wa=%za;&*}?1!UD>4vQ~4U{u2)?^Py`5XP8ZYymmg;L$}&f3 z)eiV3*Pb`nRc0G0=*d*6^nh|GRm3T)K2k^n^z}2?gGCXF5zPRLhhK%mx^*~nEeMdt z|0z>e4dZI)q}V^=KZ38``-x=KJtA{}p5fo)ec$|3C=o0W%lsbG%M7 z5Xu14h(I>9>n+hifW|vA3f$#jF3mmvdYs@vyT35S@vNzwg zQp0mvFtPw2acX#EWYxEgrY-?W4_LVXo&wS%q5zfi?wZ3B3MZa9Q)J6vVA*~bblPu~ zOg8E2tr5hU&Q)0`%XMKmcUpPd=CGl+pbKiMu}Zemn`>U*2YsE%AVf>C;~>jd-ejya{*Ji${_8xmI zi{thYHZ!Oe)I|mbJQaB$_L{H_;#8=?)RO)IUc5>HNwe%PmGu$ zd5Bj8QA)-;w6Ud^0J?WbZBVwt)R5z%RTjK7JXK9AaRXd?Ma|1We4aVkiilWU3dV4W zRIyO{K%_Z>8?#_i)J6SOh&uOjltepisFxDbnC+S85A0kS`|suHL4|K$$Na&d&;BUx z{NMq(Ib1x=Atpon#T9^?fK*pX@Lhu4YPK(;3N@!e79jOL!{UK^S%r^8YD}G6r8B(% zz0CS)_)^f29N0AanBuj+niqPFk?&4%8XxNTE?123U8}#qyX62h*{9DX;y&SSZ>{lr z3R>{wIC|I)H#VH#*ObBDL;G0&FUGzByslttG)aRKH7B-hv$1WPjh(cyZL6`qsY${xlvUGAY+}` zUE{aC)nGysv=Ulq#~wpq2?n$yiZSqAf;IylYC})MhA!w3@oYz*261v*u{yTTtRSYd z1hS5I$;fk*~=PIbQ2ri}vQ?FHg^KkoV ze6nOVt)v?p_s=u8F6J?mpIR!qwx3oWRNDVXmPJ{cCn&W2mPO&GPNrA>6Hv0hFKzOe z)8RX*B)C_P^(dG4IbX1G^3P~3zLPIAY}jj9ODkO-A5IY}*qN|UqQccDu@F&llyK0p zPXr` zKfwS+@w@QyVB#`U6C#U{RNII#2ZD4H)Ns?(6m%JlcRG-Jg%Ui9h44(Iw09DeD&SCe zV$&2=`hs{!Ddq^6(E`aC$QR};J_LpXT(}wPl6$s{R|ZI-qh~n?n2#zNufzPW>Z2tP zUbBpLVIkibII7l*_D?^JE`Cj^zN9nQ)avM9y^Q%XM3ljd1U|ahv~V`B&1Y3f(4Zcx zT`o~MUx0BQFIaEiN8uY#GpgH3W@94^YsgD>NVBjjA`E|sAa?)T3$VdA3OYXZ z6ESpR_3dK@HoqDJQwT|csH_xp~!k>)F%5q=hT}200 z4P(j1XBR3(e64ma0K3l_TV@? z*>SQ@d{No8<1~ZrvHG&@6r3W+Oi!jLO9YFiA_vi5m?X&TO|B^G0uqfO#s_v!q6M)- z$)--?qeM_F?j;9^Ve1$2n@dR%FnxoxqWk(4!75|Q(P;uxn9Szl1j|3MSV`uRlVG#| z&4L5U5+=-!cn~dAyB{CJpXSr%c%}C1a3AEn$>7=!w_Jw>H$_lJ^)G(?i^2Zl(sx`g z!Gen+l>SpH*Y#^UvUKh|X}XzoE;)I6i*)X?JVR zX5NR)pK1{MaETAW2Vo7#0kDzTLg5%Ipe$)4__h0RThH=ipi3L{*3eDTV2@faMD4R% z4>XPv(94*S|1}XW4IBSsrh#PbD`jM^jFVtKLMx?)ddl!-ILlEsZwXbPZ2}U8*$=s! zgM5VZ0(T+MWpykzF;<#_f7$*gY;Vnnhms-Lr0w5myWuQrIr(BrAuqFQBqKzG8A_s{ zirQrABn zo$^gzV>>no7FW;tXS3uCTDo^Qu9M z?Cun+_)**TEs3-hcfGQd>y=&3Gw0_8(yf`@CQoGa2>L^qjG&VJpKHwOZAs3aIFe2I z)E93&{YhVZhNfM@QE+RmW0q+s+4ZKwSzC;+(fk{X7w6`7Je^2QvB!hIXS|D)b-pCU zA^{JC?JJ|-|VWEiAgkGgyNLBb_S*)@vRCP3{Mp zkqJWSx=PO%hCKti#oKn?^1uRZXkj%VzKg%06V7=R&A@#&11;_IS=#uyK3RAE#Pu>C zyUh%BsaM9?9^Jc(jOVr;IpVSVbkFg7Z8F7;-h2A`*Khu|wn3W$QPV@h@CV|LFEa{a z7haL7j-#UVyXUU}wHwVSwU30c58g*Q%|2C*Sd%49#EoT>kGxcatI<`u2$fFIbY870 zsgtZZXAuYJb)pp!$4*+DEh)?*nBpdLk(jiQ%o;c`yNEKGZlO_6lXuNba2)3YE*BcR_=coJD;OQ3jp&MV!d{OtwWh(ZNwCksSP+|9JDAGt z^F({r->(=Qx48SnQ=LwDCj-TaAn|6<=lHCdYUkCedr<;2KD96H4i~4{AR$|^LQ0{2 zj*MFVMfs0q`}*kTiC<33e=_V?i?)?|`(fV@HV0Q-K5Yz1j@m`9Ri@pu7;2AeI+*lU zB7WsqTfJHd;pAv<*v>*+{aKjl2L-|i{!oW*WZg!6dykSkJ%VnJwN4TJ8xEf6q;b6C zlPcT-J@`%%|fbbn!_p8SjJjQ{d~@u_ilOKR#>k^;cg zSq3t5m40hY2HZRy;HLJx)BBr^QNmrf4~x%_Ednb#<&RKJw@J*1N<%FscNgRIfT#}Y zxokb>bVInEtc!L2C)5VSm;(#=M#QR`cZg|(rPJ&?smIL&LvBYU3it{kdL`cl4>rdP z8`hQShXGzQMkGkb3g}8n7)%6fOlr@B{86;GOy);VZchPjL+#E4YbY~pEk%Tj^uZBF zd)Q@LULLwc58g~t;DYF_Iq+`x<4nOP$=C}bzSh9IBl!ADsFeqZb5H+YKLua(bG;d- zIxsCZ-=iB^gl(%7ztPSez%{hgl72JhNBM!jjCLFEcRo~{7AxJH77J-3!O`zUX86g6 z_J9<8^(WoG;X*!e_(o5uPmmZk4++2E>6R|Y&j~bttjny(Foif|r%SU<$_kTEWSAGD zjI*kQAEcLw+8!D=Evid1v%)T^OPkOZ)g&vA$wD+HD+e`zu<*xCP`h9lRf5{+pEyz2 z%_H@W#{bNnB+gp}vi2cD@RvR76_@^i0Ps-&kcs>l(}fFB4L|_wxynMu0PuiIjH9o2 zc(3Bb-1G5qnJ1D%GCpg(d`6u!ino>HQ|@~%^n4e8I5O=Pjan>9$9=Hl){=tu4k$o9 zfYc#B&>Nm?I@cfmvQjS?L7}187uqToP^FzR%1sw#pexzVb zBFPW^38b}6-?8h{ggIH*#zpS>pRdhV&&1>wB{DK??97-hc%ifIJ7+8BvE-K&rw-aQ zm355tmGiL=;JKHtL~z3Y@hUD%iHqnUp0nL0u%gMRXh1JuX<8d*`QJk~a{P$)w?(Au zjt3RoTALDZWS@{mm2A8*Ais#(3F;dhXMrn>}>tRnxS+Lh$fF z&POoy;*)u>iTw1~tYVke7`)>J{O+|zEyw?-wt3^u?MTDjRCUc$$Qh`V&mBfJjVGF9 zu`vGT^yhcvB=bIE&x2nABqT#Sc@OjU4stkjJO-%wPD15O3@U$`o^_( zjeeiy?i66)Ou>Z&zUEG00lo3>oM9qH$c48*5gmO)vf}41ecH=CNDRzS`1s!nY~RO0 zdPN#cpzBxZk00_csGkd8s<2WlH9XyE*3 zZ6Z)h$;vRiHySbwpfUXc8mznR)-F&qsn2a360nh_VdR(F=cWe(kdD%b0Og|%za~Kk z=4Z- z+*{ud{mQdN0{u>yvrZu)J;!hb{Yp=%1o}J`{HSbc1^QO?L3GlmbVAw-use2}pnDA{NVN;PZ-avSPtc!}`o3jOyNEmfhTZM6gMRUK zYr%_hyD<1aG6)`wxXM^7 zT1Vg}=R;^lEtk3cwsma<`)gKImA=rlRy%I-BP+*j)ec;5y{7!u{g^r2IDoV1t1Q!u z?)6Ll7q>dj?~L_BOM=_ZDv3jRmyq)>`>2CG<}e?Ue0U9=_~F#44-5Q;pQzsXw9Hrt zivL^ud>6P795y|oXe2HW0VzKa<%0&B7lr*sg(8d1`m97&!O}Rh`$tTq1(O>#RVRU& zG+3-0B^aBUPJ5ebOtOF4O3J9k9D5XHNHawhlvz>rJ5vE6+BVJD5scVqn38PeyO={; z!R6Aw^z!@019$Uy&J=G1obXTn*X0gA^2qdFOL-a-aRH0zGEn*TerjpcNZJUrV@DF# zH%*}0=ZdxVMEOhw7#O+d4Sg1bF+opAje(u+92sp1+9Nj*<}ho1+2z9b#oK)NimUg{ z!ahGMypduh?dUu2CEw)GB8(Wy?cT#Ff6}$JQ1GI`lkV@Uu!EaW3AR)L-u#x#?~9q5 z{$NcssTEKf*&HQq0%DM<+gyMC@Z)vnFb*C&{b}Ys0FsVYYWni|OmElkOmSzuOx#9% z*HRxnSDW9VcI0`9(x;JVf=@Epf*Ogxf!KHR@OPT~Dag%Xked|}Vbtt4X^h378PkR; z4(k&XrQMo(HwG^Z!gGJ|8a%?p+VfWr%Xz9gC~Qw^!tK~JFI))-=lpyyXXyek=NnMS zCvwT8GAH> zz9}G4Bv%6B06<*K1&pDNC;tv~xF%=`n>_uZO)M%ApTp5S;V)I&1TPTCP2igpc`L*p z(5SUI)FJ+by|yy`Ni;|(f(|auc}au#E4z~pDJOd^MV_mi@M%WS0y}J_HH!8k+1!5* z^JH`X26xJBXENutjPs)lkbjg>UuER37}>i!=O8=FVSO}EU#lqc^yGxwGJ?La!=78A ztjv?umrGe_Bu+I_r&>*PZI2AM=TKJ99m7r8SDxKfnsb>OTXT7)o4I{^Zi(RJc?H1uF($X*Fkd z0lFF9u5dOa2fdcidk@@LkrpIp)YWxaOi!k!#YHo2^r}~8eIdhJoXCYIuTSWr@F=c~ zl5;^*Z(Crx+E3CUT2t)eo4`g=+wT2RU;xu4DjoQo3!2P?}(m+SFcV)lH&bNHc7EzRFOSI7_97d?qVfe#(Lc_z z=ij2+smaH)e(mI=_;uudVs!V~tcN|RaxS=9Yw|i)!!^gldO66;ElGmUjGTBYOE({k z;_lOS@$`mW4?6ZVG{E#b$m5B}%c5J~iF1iSRj$A*2JkVVky%I!+TPfcFT+sg*&4jVR@4%WTz-)%PM`i);0_uDjCY#x+5BF+5Y*$U6} zje4xZ4*|P6gu%w!_YU<@0gJtIj~-kQh4P#Rnb{!tw^e8C(l_!HH6RLelz_Kg@C+daFrJ)(XU5ZWTPz24TL+HR=UQF9T{Xjyu zG?N;g_44 z{r$FVp#&!frL1ap_v#wZEJ=_4UxuMSii3~49DwjWLqAa`CP5NzETVWkgsJaq7KTS+ z#Gis~&})^3w27O|rOivobFH?jtgdap0ZhN5`=3og2;QbcI%p(k#zQ*%X>zbY?n=Rs zXNFjs>8TM1)6wQS1a+10FofVXmdsM3U^**?GLdCe5KGFnDBEIAVOS&FK?wVJJ;6>N zuZ6io{qhiqNnC}Kh(*bm!&riTkPX$!CSaHWjHER35s2w5bwCy?Q$~nN1=*|l4A>5B)@}`JipPH_ zAIsUdX#Z|1MXROxs`elvsi^qn_uDmx=Te7!B)yJYlWS|)?DDj{3(*U+E?dHD9w0)Ma&FjW&s;`(@zyhaJ&J^j?Tf_{}a5IR=`2+ zHTT{7_r3FxLH;|3_nd0+@roovfSk6X=)R(;p_07Ef*x6Yu`{78ygkdivGxK<^d*7Vn@^>{Tevh_a4_CEVM+*~bGTnn#4 z?f|aZs8}`$phU`b`~hHRh=qS96DM}T*t?KB&w_qIA_FzzU2v!4pP|ED(-AfV26qv` z`3s>z1X4jlCj%iOgaK4=#JN;Ke);dhQ5Ny6r$}*AsBDnqkSG>?ZBxitD~D-VeF$hH z22n*>6PGF0-=E$`+)MNk8+uwBOVrv3SQ#u-j{y>dcc-->GBnP?$rWs+Xt${XClW9M zKg%Uy1X9sMD`_L-*JFuVGKb9=1B?2Jq-og*iqIADbin&-{*7d@HuBipxc*v-V2Y^( z(3)wQlvhX7lh9jBajk%PqSU8s>C*vmhlsS{1DCZU4$AjTGy{g$=iuKL0D zVcJmBJXQT#B%t1jdh8zM5Gu&x&C$1`-~Y+GKBGI?pB;JuN7LN!r++1)jftW9%NJ;h zy{r|wy(~fEOGr3(O2wg1{=yruXgBh?abF>Vp~$CdiAH)Yre-+IOxY|fzd5nuuwB|M zGZtB~Su8V>+p>YmpW(!*_Ye)oLj;Zcl477M35F-vDM2Rs*EMg5|5-rxx!7p_3{mMw zR-G<*o3X%^kj>@)51e8i2jbOZ3n}G6*7oCY(2tSy*n!mMqnV(bA`|y)a9Yrt|Exz+ zsl~a?m3otaX}WXHcD`K&oI`dN1>qVlQ^vBfs7|q9yk3 zIfM46@{X4`YA7TjVd!ABM5%%`Sm?1{v2*%@8BVHJ@*s`OK|kpif|~oVXo(6w63RCJ zBi{V`umRE=D|_M|jt2h&blvcSdHwK%WTS8niVO)c|IgO6T{fvuY4putb(NTg1n5v{ zg9_kZo<8AkL(z>|-SseYRl+4ZUVVxO})AJ!H!&R8?ToxuBUzhP7Vy{cMLh;Ltd|UMxJNs^>{c)<` z3af1nIpM^v?h-e6e;N0F!rfT88UX#ruB|$lgG{3!nEXY) zX^VMfbXCppSCee;*lG-?prqK~emcc7($%-CsJLxx{7pWi{f7ciqd_NP+SyGFWJ|Ik z3T*yu23+h!*m+JB(*45{c4`3a_%^x)e})q@a9G+P>g=MG&y7Mqjn|N35#u0(_CVD* zLD0XjTClX@iH0n09sWaEygr3%=^~ZG8*qgZ8Y8oBA*}RdT9vOTYP5>bkFD6o5W$*D zHPJvi(Lg!TKtBN};3AFM(bWmGSHblX)edCb<|^cv)4}sX$Y8JWN7&gi0m2Xlay?@8 zbq^AKH+iszNh6QyP4@@BRp zV^jgwnn6IXqUBY#{lG_e?Dya#jy}UEW%TEpU$;25aKt%R&E8{q372)Z0k`rwF^JD< ziUoOim`EkZyZlH$jVyPgcqbN{MjakqHw6glJSMqozYXu6KYRGRU_HDhoS4RoP7Q^7 z=k3^FE^f0J&@xg%Ws=%}9|Cl`xO*@hVt)LGxP`={b!O&BABn5AHnJm+VUQw=fEoFr zHg8SvpPPT2SMy&oN0UIuZsk0+bvthc+F|x`b^5-}d&lIshy>L>(JAWpkpCSp?V0Hd z->@(eyQindwU5b2>K~BzS-6(u@oHmGQ95E!m3V+?5Qs)&NJixTY4?(5MxLP}i|q>< zx)~}!ge~kbZIdx8 zHzOA=#ZDjc?>(oVe_Fih*Y`<~Un?D_!iYN(3+GNE4D+*+y^O`3v5M6Ge?qmu^avo{ zn?h6@n|KDqsf&lzvj`U^Ba>7{0WHmfB*UHIi~6vI<+k5o;~ywRH*$dPzQT&TC%+N$ z29lFmgK#v|CxBMETHGR~j%ND^d6*)!=5>ky4`!E{AjxRd0F*&ke_#@q0-abvTQuUn z=n+Ib4%$Dh#0am;zY@oBh_8eo{gFrjt%5)of1)!8lPRcyT=*yoFAzA>$q#Uq5fXD($h%E{YI=~bGau{TR6pX{Qg@+Wv1V9L( z6pm>vLkL;GM^QU3Gn;>}fW8FnC&(oSsLYC=MOQ95c6rH6L&C}XWMwRJXdVU5aC{X1_f72OA zQknMHu;fF9L?H%{2?HgAh~fZ3M92Y(BvC@uSSkzU_hV@%$uq1%-!-KF7RHAPD(pQ{ zZA#6N`@+wq9buR%h!{JViL5O>C^GKsGYY+M?V;2X;Afat4i8xY@FHK>6b%I(GAv3s z-vcaONpcPoG+`$BvW1b~CqaK5Pp+>^BVXk=1l_lc0bB+%sWOw~@FOmB3Mm}HPM}ec zhafJy*&_kIvmyYrR2&;jIHBZSyFs}t(*v+V4F#a5!-~@uZ@!8G zB}DPuio^);mo4m5F^q{*6^5HfPLR$W(xCA-F$$I>2a+TMKllh1q8K+Lg{cZh{Q-}c zmYfz4R)$58B4;8??ut`|5P28ES;@#JfYQ34t1bgVOi8g4q76YM<8;SO1IoVCLY94L zL<}~hf!AXoRz44sY(OQMmk(3@^bY~_w69<%SeXOJtJ?8JsIIrpBRCX6^EjLbTwv3k zJpkx&-eO*`Zoomnn;C!<%ghMD2(o~VZeJeQ0}$8362a=Gf-lGw*TxFvhMS%yl*Xfv z0-Ctx8}zO^k4O_AEnfX%h6e*Yv$Ht}PgUpnqXziMVRMNbEhhQ12K{BJnvu~bfX@x@ zmf_o;uuYc3| z6{v{;*Aj@D$%Pzb0`{0p0QC|;i&&y50wE&&0KvR9Rd&OAVQiHT;<_5M2(n?!OvD2>bl5sFU%|*Gp?yB$@*cWt#^w$Oi5MbdJoOLE8YQT{XIpmLATH~`8zjmBh zbR}&f@u*`p!w$^p=CTjHGhK31f+}CC>!ssxRHa!V{S}BLqlx#^VH0j_mo@ySnV`jc z({MCaf>}S$tB{JeISdGLMwP{*t+^t{i;YtAd_#aCroJ}i07!*X76?hw5T_%6p1xs2 z;E2+ZBMzgZI(XsLC**j!ODdLlqu(@3_(V^_u$JgE)S?Dj3`Br!a8D-=!umZgCv(x0s_Iz zWB?!G$#p^;G^v(Zf_jCAQ;6{}j37yadUx_e`=Kz+*_0J`iVjc$P!=}_2<89;YEh6JiG z@C^l$6<>&H7Wth>!_zcx71Pikg~u=#1vAM}$yyT2qQR+X15|>0OgR%EkQ3e%PDCVQ zC|Y?Z5V{Tc^-Zk_AwG~H|`#o6{rgHibjGp#9pYk)RC7rub zY7FS~Dd_5;C8}=Tp@k$SM8_BzM(O0G=2t%A?+ap4{r(CF;%eH#H!hh-tdsK#zux z?`JL(K&}N|x|@pRcNuthl$1cy_`bH)v+#=%;J~vLqdxfs);9XEP&Ku}E?@~O(6Jk< zuQ#K|KPvTb=VS;F+hK+OA`T&s1%O(E6LgJ$5w%lIjPu} zoegdFV4E8ggOjU+caQD$=cpa-J=G_#bO$`0o;~)*^zri}DDKU*J@fAm!so{b@+SmpM%>Znp5(IZZ*|5@$#Ix({nD-3 zpU}s-#e zX#c03etqF%TP~Dwj{i4NX^^nslhqK9hz0(aIs5MQ82+ zBUZ0-qE&Wem3x)nL5s_`!x`IbnI=&Be+oG=P2LRazgk>6x1L@Ovo3NXt9+~ceAG>J zzoe_l_nQ5Q#CdI3eo2-d*Pt1X2xH{Fq4h43zYXR&$2@T{D4q4qn|OwIWXgW}JPP++pm3_V72q;@NHcj0TxJ^Wd$tff9T_{v_zq+i0F3CApE06up5G zQ?NZKuK$QC(@Q^mvBi#Dn`YtYwahVbf{=#unDXHObX#w6R!iKY&u1CcGEhj_d3?#% z3s04;b0jN$9JSv{T+)O(RhRXW*VUKhlEX$l3zNj@;*2*PUme*8&Q#9c0>agqZ zUVnUPMZR-IJvrr=S$tWakM1l-%Bo^$00HI4l5&~Ke}_t$%6|jfY~8~Jsp7FxZvu`< z8k-!oCBE{m<$CSlxcKsgEd|ChomEFtrR)`ZrYSs?w)!Koqf%7<-dKC+9 zG%DK2{AJgw#r6E&$_29{0=jmC0x3A<=I9yb=qu*vJRUMUs-VxQv?KL`yQK7Rjyn=l z!DF`+M9ycN>X&lIeQa+Y8pJYxaNcr5NjY^UHcDDv+rlOR_K>%-=ob^XKpMKqHHJ~8 zm_g+`btCQ($7TAT8>=Qy=w}4Wb3={qGlkXhr#LNT@$)_xR;GHbuH&i=(xc&UqmH?w z>bB7fDCj9B8nK8Q<3Aj-b?w_%?+PIFFte_$?PSLqUzpHH#m8<*rg6`9O9o*Rj zNG+9Md}I|2v{|6xwI+jVyN8>E6zl%-vq!~@PwjymTA!&?6!%+j zPD?a}lMgA>1o}}xg$TZZ&a1o8ZM{z<%^PussyAq!zCBn_hzX)G0Ip-AUFk;Oi*SN8 ziQfsq;MCmsj#Tr)`Y7EIPvYC5?}twK{>e$|2YT$u1Q&+&Qo1K!#IwUHC2c3vL*EV0 z-w3hEb*MJ$fwpyn_IB%NGCM~g#|LvQTkLHkWiHUSCGxAkP1s_PX4e`|4q5T zy?n6bw4@X1es;4yQu&a3QF)tNLuH$L5fn63K@=1;)IbyzG}O0qhAxL$L5IgGALa}( zo?XavEDhym`bOKGxagGp(YS~=43)OTnL!z;nhmL!UYSyr60lr())~Xp6<|oUFSlpz z=3c+p{KEKllRnk*`sCw7_y_07q@STizr&WM@;)&e+o^1#L_5dI%c{ZaLVxHfF-5By~z1Z1o`;X9lF$H;(Q5 zOcI@MS03_8^>S`}C1L9n?b#3dlb+Rw*8hUj_BCP>FXo?SgH-|gX2B5<ur%hE%J;yT_gbxpcgS-5? z3WJVZStYv<+mE4&ySk?xsyLwnZ;f0uu#7Ft)J`WcF}>w&y5B*2%Hlrx5|Dy!iM5p| z=$71L^V4iA%d_@;^U@u$6nArs6RBY{mQ0t}i{Kyk@fqvAIp@hl$Pq*5&1tyt!az-# zH14_4lGWsogdH_!HhQ9?pv&nG+OJb*&uNI@mkEz)cc*U6@43FW#R9F*5ZGYc41Kf2 ziX8VW5#BI%q1j6%RSe#zy@wlRR3FmZ@OEMNT_3owp4Zk(LZlJ!q|Rq%1)0Oy_7%p1_1W#{;x=^Y z9F2wDLGM<$Np+TzTh?+Kjz3v&?zfv|S2iw6T)NdDf)10E2^XETL8#JFApx5L2tm)+ub}e*)h)3B@quG)88rW!Ikw1jc!>UL3|i#6j}H}ma}g-K`1u!md((so zPO9bmJivx&Px%P%fE_sV7x`|rH#qtxHsESsU<%B%lI&v~NoR~~k~JE6cd2fun%uLi;j20BsU;4W z;jXEgu(Oe3?NwZ<6#_ai_{Ik-twXObciAQ$6qD&~eH*YlaZZ$DieK*?UNcH%xW}(F z&SbgA!%!0?Kv*SFu4N`HF;U{ZYukPq8~GI_0NWRh8(QOw+GLA2VA&+F+V_SRT7!%E z)me5xEm5Gd|4l8_F<83@uX1pCD&IKhQfZ=kN4uv0&$Ul2^1aMc(r+X!sZTwY?eg{7 zVv@x`>;N?5E>k#W!>9dK?8Fyd@b@r!2|by%#tEnCQ}$&5q=by=mtxz|a5kwxchL2ZZh z2{XaqETc{cv&NoMV~Trc?%yLe7MxB8k!6>^3?;21Onyvv%Gg#=lEwCEVSlx?eq3F+ zYO36}*XiAC4Cy>a@Lk0|KaFxPU_FRlC|$(4=PBsSnl|7{1v33*RvJYrIG<%Gkr16r z;sp<{@go}LdA}ncmc~@uU)o>J9&;=_OU{#gEh8#LcOuY34xWf6tHHBhv~1Tq zS~7U8ds@K!rl)vr(u)6Uadz_7@>!metZI{|rASqEAVT>@te+I$FI|itiBvdi_2uP! zgq?OB>vx95;>F#gE1$aduQy89pw%xt0yJNX1P*ard{E8{O4$jJlC7h9*)AqEgl!_T zv(oV**(ixg1V;M^oqLI8QHe;Rg|9T)4Z%fyj;VZJD+PP1ph#{sj5r~Ym$QM;(vYjD z0sNv@BfNBA@fsg#MMd|Ldp&^2?)#6|GX93&M96{x`q-;@0?MjH#C)M)?M{-f#3a>t zopW$u?HZE)#3YyKwYgzi$u*e7zL6mHD+43Z&B*m=D?~R(Xdf4eo0*OC&`px$&;?Pz zF2pLHGW&btapKE>zvX7}65Z$%uLr#swnY@6aj^X1BNBo@eyDZq!>Ef7;lb78pij0y zhi1*2RsZV_gx$vN&i{~*xpu2`I`$ylI!fJWwLYh>|ISn;br+O*aGVN&wpmM|9 z9)X@ctQccN-1nj?bUkBOOM~LWjfM=rmyf`ZfNWPCTIX_VSBxAqS5)NE{DcDUP zT;-bh6W!~`^#@CSlc#J#_uBR2F{7DWm}5o8!zx!+wS66Wb8>Z4d54pY&rhcgF42!@ zM`Ql8+q>5tzGDLL3igI$sMhGAswQzH`2#Bd0W=(1JK!LwaRO+YuLLc251hjA_1dHAJ0H8W$qlJFQtUl5 z?b1XtWgkW18M}y9VIe+@9$)=3;gTlbOhxtP#BQGy<(XzoNxIt_yjy(Vhib5ITxaS8 zfsvlfm5<3IHk(9^bDAeYQE`Zw{(}G)G05C)p`gtFip`SmHx6L(imFTvq301@UT{wq z*=aQF&e$VT%NuIgo%sTbs&qE4l~=xvS*eQ1)m?Xz88Q_KrV;HO*Q1fuscOx!z$QAT z*Hg=mb(<-dPSpgC_Nfk-%~0>AluaeV>

vh5f*hZr13W{t&&(XQYHxBYFxBNsn4k zV8LTpPIJ#yJV(lxa;9VjL#$%82?|XlDps+iDpuxXDpmsIDm2L7s5?jRN?RnJW^yTa zct=v9p9EuXiiCWo3bgs!+K1HtxORBy9%Ai#z*oo6%R1#3-kRVjbn-Yt@U0V{EwQ0b zV4e=x-wkMNzeDHZipKW8!05UPMeK>Dkn(~LRIFSr!M;p^#tX)-rL`!9P6HB)iB+fR zz+A^j)IIb9cA8Pn>OfZ%m8Hp@$^Q+31j&k^g}NSO5H*+u^o-&<`caMTShnuWD>ugV z`~6mt#Iv9!AMY?7`=3JP>xP@xiIfmaMR;r=HNXcerVUooynFYTE%rbtQTB#Xv6425 zR;gC%$40DDuM4ugo0i0&kwbYD8i9QRq{Qvr(|+4}22o9#n#(iw8i#PV$XQevokR;f;^5 zQK;A%`;V1Vr3MS_*n;ft@8>1L(Ap4xFaa4Wz z?1aJ4n#;@G>p`y97J=VJHgglY9*UPV1QJO$CW+U>B2N{@IF9ejk*z#1Z$yJrNNETs zBXhlY83UgDO&iym{{$-9zqy$BTf?*YZfF@=`#aI23t>6k7*$jKF{8546>lAN^H3Ao z!n)6P^Li8#+Tw5Elt)6@vT`v+4W%8Z;1qU9OcRg*JOf#;0cE4*{1&KEgRkfOSlrMS z(sw5SA(gv6g2_5s00Uuc0TJi{9@GIn#<4HUD9V+Kq4Yc4E>NIc3#TeL*IRV~dpLpF zzrFdgs}IY((JmDeR7H}S<2Ixtsv(qtY>q+dl4Xvb(YziGU?1&FC$KaSNtajDzHV}C4%|iyunmRkmV!J?e4~Hi@Yz6T znd~mNhg>@<-Iq@Kyv(87da2+~7dhU9$&mHeu>@X}^0teQx7;GQY0G4x%=p>|20Gs> z%|l$@DNikdP;14uAg9X2ss_RGC1sCZ>!%0hdl%lxF1+@3+$pbEyW`<>MsPtD2+j&2 zFlz>IulXeoxMaH5TV`)Oiw?>JvRCXT0u79%s~30kt5~CEBoktMczKrW-up)hWit!U z-`}E%H5U$dy}S!N15uI_f|dNJVasVDpZgYO?^60Wj)f$Y_^_OYbUG4SzLje z(jW|SK4HJ0_sw?1j7H zDmQ=U7je#SC60C$U4^NfirHdVVgmNNnW&(p@BKfXGxd?`L}OmyndG_)IZ|#*2AmA~ zY$|^AC(A|RQE>=*elDNRRZ6rK2RY1W!~&g{y{yk>F=x72E>u9_ssAotTE&se8aB$* z!UxlT$nA>A)5@h&$RIDOtOFfp))ugpy|h6%D+_V+*5la%(ek0kj>U!1x5p2+v|9{_ zz4zH-s&x2mYAd2v7jk3CwVFRxgQww6s3g@2?-Gg!59ZwHm1)^)#%@kFh^rb)J=6g8 zE!T;DBi5zaC!J{L{`X$ipPh;F{RzCH!i@4s+;R5qVBXSx#3YScS(w0`%8JjAYcpPf z*5r$6`J7x9ky}=aL5%?UNM+LYUQ)b+^LxSR?7rWs^Az8qF?Y%9EAC6a*C&q*VnnX8 z<*_>iujdcq9&sntWw*#idMoJ*vEo{NuB|DY?H|Y$nzQfxz{>~=PFEnL+lj?@(ST#Q zSw}~cjET$KjOW}hK8|un-^@Yd09l!2ryWek;M&qA&gHKr{Um!D*aZKROjm7TeLL&v z^;Yfv&^kDGnZxE%F+3L40Uvmk&VRR~l&MKwEsHv`Gr_{4AXr^{V#6~?ET`O)F)<2R z-!io5(<s`NeCNwV~XkUBi5%>CvrshIrdkq)<@>mB5Q z$m*2Hwrr9%K{0mzhQ1(p*QdY>lFDnhj^DZWpR`G%O`B`k?47_?+BIU_Q5nWP`w!@~ za}H7Wxnv>~RF}~tzZVKBlS3u!)I=p{b0=uW7Zxt+XCg!?sK2@--70Et2I+aCM=R9v9Ru?jc6>oZJ6s*5}a*gQquZ6QtUkD5hX~Y zex?tUNxt+Fb;m&&8K^Xp`#~rrnZPoXEXA%aSTWW(&P-bQrC_yC$da>0TW zSVTth!k!fNP&P&VEXXhwi|QsV-^j($salAUsT~H^dO9-3-rx6$Ed7zo3`53^@U`_m zLMa3c{|BBxVZX>S{1#-DHmG=&C`*kfwW73)`uq3&V;DcD+l<357wvLz#uZURZ&$yK?uD)(Q)4pLF(+M;Z?^~`O}6+2E*it^H4 zk|LCrCcW;joK7xE%Os<2sM@|{CX^g$c(-BHSsYy{SR5s2=YOZ$bx1Pg&$x`fEV436R%(v742m+_ zp(sn9ZBdjZ&uMN^mOS3SXf3Om=&~q{fcFSQS@JygL|O8@Zz0N(XBCRFY;uQ^?Q(ZkG}_L_aN;aq}_wOdKjlY8$(eBZSpp6c+(SbHP&_)N^ z=s+7CXrlw^h7I1L4804R#ko67m&Mf*4Db}nTize{`^V+MDO?dihW&7Q<6XQ$M(4WM z4L>$$5RGw<(>32?agBvHnM>T&qO>YX#ni#2k}IYTE|nY`Pf;p4>9h-nPM^D$Vh*PY zL|O7+gyGCXmOImBQ7RFPES%XW%3x&S{6|p+BMWCqiZU2k*hw$SXk;*i!`{6pOCF>X zP74%e7{cM?K~cuJi8T>&OX0*(Q7UT|+U3%s!MP-_S!j1I6%hoNgsxZ_Wl^-X2if%? z+a6@!vu^U`SafAkuuz$=m5Y3;{MPcE2;6wLDo zEJ1asC7)Q~zAXc)I6wEd&zq#X)K8FPwQXz?Mct@&6NhTyPgo-%6;+?j?va!C=Rg9jyO`-7>s;yI6^l zmTih{DyeO6o63Z<`3o5Lz|_9BN^33v?0OV~+)dNNu7{M0OI0@BDq8~mlIM+-zZsEw zR@g_GB92C6?q?YrU+ykhb!$!DP^QD3eZ15e0b`TO!B}7l!mTrc*k(#-*@}~5lp{Hz zIFd7-E=!95k!ZHy&W72{HN1F6hRb}ojq~|R1NW}OlZ(FX+J;w2rVPh)wt^PPXQkVC;~F8&9J)(4qCj^kL0-VfWs7o|l2#cEnd^{Q5t%d?d zrfs?PxpO+FEX&Aa-jW+1yc3)CvW-&Ip(fW;@?~x6kY(-5mO)V$vz(X}h0bEi7i|zz zx|jkkwu`*ofkkg}&qD5QfOW>f8A8gGh>BG)g#}Gkd0MQ`P42?ASvQaI_^qdRErwfg zj%LYH*%=#A%ukoqpEI-5EB~&~gV{MI^AQr3um+8qW_HHBrkS#VZ0P5I=?O8ssF_AG z<@ay#JeZwK``4fGvJ-lL`zc5x`K7Vu_fuZ~$WvZs3iJ09S%B7`$O_FW;no7Xxj+({wlWjFRAL&jr<dD{*R4%%Ydz0uD4PJm1?<%h7;gH{b@-gVkr zR$)geCLWz^56=}@IeGXgXKyjrZzSpQ@|cW==ctU#zqm=BhLiA?!51U#bf1*RPrqL_ z9N@V-Ihc!w-pcD0`vT(k)M-&}mULu%qQ%W@_Od4HudG>|FiucDVVn_p!Z_hM$s}ZD zK8Dj!y3)$_uWXnnK@D?qNW$s(GDvvYkO0wOcXQHoL6)5n(Sqf1nK;QU)x+Zxo(7f# ztERAz_2+c{`E3#XaFY29llW;I&VU)aEN-tWd3X+7@^Zx8Rx*y^g_p;NeWvWrw%Og8-Px;XdL$`{o0x;S5>t~wk?p$*j*V7E zo<_26Z|uNolgd|!NwOROolb$}7R73vGeF(CuW|;uCxhXW0rLgB?TM=!BQRT$?5i7B zpuaszhaPVo<_+hEJ%cb;b36Y4wE&12X; zwV~KOtmv;lJv!*8N3X~eKeZ*O2QkCk%bHx;gp6xip8lk}7Cq8wca81q+xQ8i-p870 z5fNVd_pzpmyHvrj5fv%E`d1b};%ZWRB^c(7XPlAuPxCI-$g=!yUeOl~)|EP!9iw)T#DSjK*g+QdTQysr{npJ6!m!`U*+CihTRS@<#ZnX= zNgXwECt^74cY=0Ihn?S!@vz@1T8fZ(=Vv1p# z8wV4Q;_D$V8r7Bdj}Y=AJJJdkNW{FP9JGUtgK>R3*6CYUkf2286cHsEY>^De$PH7CY~qa98N6cW z8It$v#PFz_nXpAh?2Vo+J~B;K)8GvsV-r{*1}2^|@xbB-@oK2rqJ^jPz(!?i9e zHpdx|lnh!*1~yr$;0)HXR7wVY>6%HQC5HYOofVxCIC#UwwG8(%cDR_Hq;|i|KqfmX zW4NddZAev=NO(IC?N(9rM>3p6?LpekmPnQes&U2M$dH`_#?Z*97)OYXF>B1Y8lyQ{ zFw4-h!kVDA5)2XQ5uVtpa`^fntd$a@1}h>A6XhY7^N60jA&#r1{dlA?Xz`1+h#X(< zs?JOPkez)C?uuuyf9x)K`N{X|Lsod0;Gkq#{P&>UiHCVvnTSBJBLG~Ny2&(j{BMRe z8EDEtX*QVwCrVk>0+ak@-EcZMgItoaFs_IhiOa)0Yg!l~;f|hilI`-M z$Sh;T|}lkbYIe zEG(INn2XXP9w;M`Yw?j0PZlM5ZD|(k2;)fPwSzW{AMR8NWB2nP5J#Ad7c__C<@`8k z%B60v{Lh7|Dh?BOWaW)cHlmcteMX-~!%V)H6NzN+r-yjiAGR{4xZ*zSfmEwhB9O$6 zi_p5wsJ^p5lC0NOh>Y5mUW4H(F0xjrBAbD;YHSl~VUMC_QVpqu)+jpdlq72yt_Ro9_Sl6xfj)Loh>If@=8qlY za;On4F)8X9J$%HXM7!TGfhkEj(axrayvX8>xEPxhBuP=djYynOTWCNID#%5ST_PYs zEeHt)9nZ!0Mvmy0_{z(CsBea~m?1A(I1JS)=249;o{sUcq8$FvP~&5BXLtlvzA|KZ zM96hEJB*rfb(Q1Siuq7N!vo`5?ob0`Ue%BYI{@QAArf`~$ALm75&%6B+9un;!j!M2sflv&_tPAcWPeT5gHjxlP;-)3C!h>@W{I48$H}yQ%h6 zwlQqE04z1l~q^DV2}yNk=KQc$&{QeRRnR;t~Ir$&x`*SDYeX>;S~ z{oWLRe0BMv^OrBGbQUX}YxDh(6MYsj)enor*%mR=4{5R1BIf!bE7n-V)_%x~UW-`h zhgPxLA_o1C68#o2-4B5{%OYm`AtTN_(x2I0S=2tTW8H>Ti&m5uRkrNhQQtPO9tG7? zP!rF4bNp#Wiu1Q@9;kQXB!*GqMQ^zL0knzFc(eVfMvC@I=gu9}A^qZW-dulnB0KWx zgY}@k87r_!d}HV$QdGs0#An@7f>_Fh;_E|8Nt2ZG#0zdI=~&A7;%i=uKf_3~b=#Kp z<+@A=?c%FpZ*{jX+Lnro$WReyiG2-DZjQL8h`nwuk3&gZ-!R2*j<{Vk&Zmmsc_tC- zaJu-dyAG`+XPMaJE`>XxBCfMaK@IH_zp+Zep<5|-TPabS&Jn+M7o8>H>%_J0qInco zi5uM90&!Q1m)w%`#N8;au_}Xes33l&RXdQ??iSBjDRE*g7QfJh2bS>7;#sX?8khTX zx%jvx5GUmd@pHF8?uP;KNh=F37=z-M?kqemW$`I@9ayi{is#&1?z&#_Avc%1y+=In z=CV${L43l^Wu2^wPiqaK9>&gGB0gdfaV$<2Pgq3kr7rPdi-^j$Mm%W|QKgIGDT|28 zf0}sOB4RgQAUM4=B*d|n`S3@Jo0-y@j%l=-8>*5|4k1M)U+~?x)q~0LzZJHySFQ*BYHCRvc#&L=GZe!Rvip!gm6mm1B? z)Ck4CSp2l{2!FbsIm3IB+?4L~#1GtjnSGxpzVGH|n16>Sev6fJ@UzUnLj2C1J;&@f zdE!TIexCVvdg5B87^-o3;>*%+@x(r><4B`b+johd*gex0b1xS^v$|D^mfV{?ah*LT zyqQb(W#W78dZ(CuyC?QG&G08letTub;MPt|6X1kDRy^D2;NXIBocOps&MZ@Q)qYR> z%9RlppX0?dE)Fgw3&qoo!k=hykTG9;#=$^Y^TcOevTzMLK|JN+;8Jv=c(QSdKTdL} z-Z*D(^Taout!d-d+~tXDnx^{WCA+$uL}9Lr#21~JWT#*2iJv>!QrE8Y#19>f!k(Qg zUT`aED`&h^>~;+n*5_#PoU=fwe)o9dmukV4Y`MMh0&}MLwlk|N@@`N3#!*)+@(l3> zS2yGCag6w+Q(}Q7-r$KnO-YHkEgUI6=gcRk*u9?kwWB6D;B&V4X^^~X$SBtMUj`v5e+jt=#CP0%o!AOGHc8IT=1>nrM-Vv%H<5P)qs8$P{4v`Gw(s1?877Hi zVjOD+VnK{!(}kD^zK{684%wnHVqu&B>o#5-8{^mmQ^e6Rj@6tg=EgV<@N98ZjH6DD z6UUA9M{oh)iLT(z^v}N0+#76_@ zgu{K&ZhKBLFBxiW^Zg?XcH}|U2RCou!NQLc*&&5s`%B^^7d!Ov(D7<@u{k%kz9vpS z!t&c>Zt2{iZ=7>w4}Cv0U-M43bW_m|E;!k|j|FH%XPkx83?cqpk)FjKvUCSz!C{vR zQBCr~d^1ElPCJ7qXCCY4vY9R(YQ~jfNm3-68v(k~Wv56~FkHE7P9AD68Zc)hW%5QH zpb=ei=0n9p(`2cWhXal#W@kdC+`j|dE>BDFq}dQaMpP0!X^REu-xia+P=$^Zr#rh0 z)#oa)!dXpJZZtwW5+c)CDRO~W>=c=y6>NDdQeQUv$t0>fd9A!eo#o_VRdD+_H?E4> zCqhL^i(Y5G0`)!9jz;pnxA)k&V* z>Cw76Gr`M-BikZYCV1q>5O133bJiu`E$2KZFVFT*e^O?s)n(C>hYe z(6P}6U7RePnY_C-5$;m z;)K&9T%hZ9Ih1&$lTO%$rbA|3atQ7a#@}xTp7l?P7oT!(kiklrA(uPIi2ITOuh$=@eb^-d` z+pQYNBLj3x_B*8Eo`b$3dgBQW+8JopUzN;4sf#jWi2D|I2jyU^*lATSJgTqL8S53UI^^JT@wl^g zZLnQDYOUze-Q&uG>T`2In#4jYOK91k3x1r%3C$U37V|AmXv3hFJz4{_nIsmKfxa>!FrLBw`zD_XE$VGyhQwhh7&&<<7MKvX*lt7 zF%_wz^z~IoK^_Y-5VrAe-0;{ZRaMBFv=35?oB>gnigC&L2%M_g*`74@0L=fop0VwVuTt6_^!aRQ%ys z-wO5d5h#ZMl2gX474GAC1aTkFrG(V5C0OAKW>|!EsL1rov3~UP1+{vugG=;DSXilL zB!a1L81MVl4s2GngK9pFQEZe%r=q;}Rvxqy8RYRf0duz(2wFJVpFmSK{C$HuwPln= zTUlKO&DaUG4w~E54rrL_PpoxNl!l&dbb?A5$74}7uILzqIvE;=HNkeEG-$+juP9bv z1Xdfrs+%WZcdqEJ${vN2v6oh?TDA;EVlBFh%S%C_8URf3p}R`)zu0&+h7(baB$f!B zLTOg^V4kA<5OD^jVT{vE4%1W@bDHX6B2Eg^R2Op^f%RG0O;w|o&W!FHq_%iT3T9c90A`1jQj}(nMG4^WP%zFQ`lR3plMq0Q$`cY&aI8fM;5dtthT|bR@ODzB5-QUZ zxMwucJ_BDQR;dP`^L*SzmX|XD>M4=>;C+<3jHy53HRCcV*X$JhnTKi}Vx;9C&~RGN zKS1Qs!-}44V)PW+9`%9Ap)khnxai zA+Nv!v?{O-3JjL0)~6{jfYZ5T0_Dak;ehQ638&elk#GiRU$J7dYHv9vhJYV?XB=p{ubb4 z_-&+JdkpTZHSkCJ4LA*OJsPXoIM77o4j7B8v|+=*2wVhf9rYr-gOZ=3mzOShoXRBIq*~3?E`0$1PLSSb|oRQgJ5kaA);Wi z+mr;fjNq(L0>a3;o7%s!8y=(f_jK1_9Il$ZXumu|%V#edFdvsd2A-8b7Cs??9DGs& zd3a6&t?(%c6yVb&x3>z|thxtQ? zlz!6*{*5Lb5$av=eVR(_q1I6)dFRGX<^7nw)jBKg^$nDYaa7o^bDlCiYD8)OkuV;o znS>x=Dy9;qVvsNu(=an(JkBx&r(w29$iN(vkd5rKkdTY)vyhO77L(8#*;OH-5ZP5B zp$+CHjK_Hi<8gk%csx2`JX*F@3Kp1zAYm$|5~gC1Fcs6VFkw8NUMoa-@nCcF0+IZfqb$i&gVqSOIIWy8+isc0>Q1DP8ab z{`R4Phss}qzaX>}pqWPBG%o)1%}5)qltn|6zB#nju*C3~giH8$Qhp+!^8b(&na@o~ z`M=Cr^3wR^GZd-(*OKx}9F_m8oJT4Df3kS3K{rr?wzbn57Wyl1lHaTy+0;AX*IGJi2&em{mX3~YFdapgt8{dF z!|8sZ8T4f|Po6RG09Se)Pve5G;~8A{<8tT-2+R4cRt`DVT+Yw6baWJi>3-+ot`m~5 zM1qY|HWOe4faj6ljF|(B$ukrmYDU|Tsw8ZR9}K?M}Rv% zwJILEf8qhE!8Hw`JHw6xZRby$ZcKiv4-r=DSk1Y~UebJ-R+&a(D$QOlF~=W*!>{R3r0^f~^faVMs4MsCEFEN;Z~ z&7t{&{TCi@ac%yUtv{){KV_RvsqR-eOCB9PtUsT9N!7iMR9E7tx?kfwYIy#e)5g;O zk!93iZv&a3(g~BE4Xr?$#qu(XJs|L+(UvAV4f2wt+9WHuJ)BVZT$u`Ry zk7kWJ-DZNH@B>HB@~0=xyhE{#RG@wG&2j+c7{3V2UMPBuxYF}kIH#I0-uFBCJe<+7 zI5_ew9468^xcbFkn2ftpbSlnCze8I_^i#idOlo+Tx6pbVx|p}po@V-(w|O)CDF#W6 z2cH)xPxE#fun6|!B%%`BsHDZdCtdNfH2qkf6W^qy zkq3I0k|q!8Il;}OmgtARL|a^RY9Ef(V^mahc+cs-N7~c_Q?V=g)Siixuq(rpdnvSm z34DCdgj>i~K(F*RG6dNxy_rB|x-%+jKdK7akZ|&}ZZ_98_A)NnWM*10#JBqEMgZ$!mYg%kz;4 z#bb!?TA()rL%d)gXZDf%oH?g3v(z#XP{|P6eXTsRHky&Jt_qTm&82Z2%b9a2AmPR> zZf0LGfb~54r%&WpU}6pM96LiFdQ=_it9uQ2IptP038hj-0g4f0>bbdv<%+pl1LU!9Qhg{Fd_KyYVZ@)G{T2!Ez0<+6T9~y)ur{Ox=`v8G$>8~iluU&Fn06|sbmoHLD3zO zmi#W!yY~I#;9M#fvL|eYKIJU%z^OM2Kukg*KK2yj&p)KzWP_xN3V6{Q`YgI*+eO?=}ihbK+44rGu%Y~{T6bGuT>NE8<#gmVU%Zb zJW`vGh2JVzp@yt67AmNSKwbhNNq(;g%csF;PI&8zt_W6M=!vRWUAu? z);hTAE+y3#UlZ-+5jx=f^0Dm^`51uo^>8I)`WudmR>#585U|ei-4FO-xUxDeM5VJM zt_3;?Cu@WH5LhWqz`D85`rjuu?eBUz@<3->nuSEjk3hoO2;Eq^A+hX1%FTYqhAi4b zP+BE`N7^$J%ROic5v+TaC=*!)vlZj#4(PD)Y!>X=^c1pCY|;F691b7AGr1FTdxGEH zyHolm>;KgIVR(PCh?6`<3aFvvomdUxGFi`%4| zRgh}vBF3eg?{+9kY~e$`uOu@Xd|*9?p%~6r$IPs(>S|&YOND?5)S8*BN9I;hppt%0 zSk-kWKsH^UnT3AVLxST!$31sYyUlfl1P}NlI$0FWCk>{4J!=}zbgj5Rq#GfG#&(1| z=@BTP&~*d=w519S*}{q+3Q}%DwAI|yd39grjyy+}&jzD57(|Q^SDs7lqV{C^!K*?% z0eGaG8%f_xt9UK2CfDy%Au)CRRh;pvz4a!(rw~i7Qb~R zr)KY9>_%U&B##Qic62W*;>5%!nD`-M@C1d`DNEhtJ^NL6cstkXNF)YvaH(UE<@#SB z_9i|NEd`^?;(uk6umv5L7)h_z3+}|BrgA} z-RK%+@dp_Hx5FJ{x99?erR9g4%i>J?7!S+V;G>JRY&WxIrXah8iY!$6{fXc%7c8C! zB1IKJFQPP*n@38(b10=w#``|}e&Mad=%S~#`Il{=EDD;2{K;0S`3Fj}f0U9iKyulz zmlc{{s+1OQFo151cnb}VKSf>M#9`D5=hLM3mf zt^U{(4|C0Z<=I3;i#QrHOq*Mj%PTtj^`hmg%jVm{wOOK*zVS58xUV0XzbAVVOdOMm zuHNxxW42-b(K;g42RyRh2Fmh+#kJJG?Nx-Ld8F6fQq3^gRb0eT5#DU+9dfU#@8;S? zEQPf)!JQGf8+nB+{1C8zLbA|24wvoUzl9neD-DKiN6iQ|3H{$eZCCpotYbK~^CzT5 zR_odk(2<2y6=4dIED0Lw2>G@-WLyhBLZTqw{bRj6xonlt^luUi)+JdE zY)hF|hx(OGc5_S3$#Y%iGjdDwx*U&y9HRkl)TcczF#XAl9?`>4CFcj?4m;ALsxyJ{Lk~z;Y5&U!#<2;=QCBmx)OopO_kH(%mT- z+sJeT8tRKhTMtK?5Id;2_M~L*s3zEsF-^i7JJkU>Fx*sL1zc2=-bg6$D!?cpd<9qR zM*Fq4l1^)M0?;Hey#n_UR2wRS0;*37CE)&H<#W#x;X6|u{1&rG2ULEbSeY^tlYH#q ztB5cJJK1>gb6f@W{wKFsI{O%NlYX0WY?I{< z)UYI;cgbx7d8FU0OkBSJE~fub1aUFY0^#mZs0H{Lm(7ls7QVGc4r01oK~=6LRv`Sj zGH7M9(#-G~A5fp1JXYmCP15P>VOa{2MO+qX0PJ2Bx&v?p)JTaz1n!9GS~H}OH_Td9 z-rTD*!gb{?yJfTEFeBG-Z<5U#@0ua6=c*g!*rq-o1Qzy$x?KZS=e7C%m$}`{;ydie zUqQTWv~`E4l#PjCbAELH-26eM!_+F*0e+UT=eA4loL<%J_OP~52T!j_CylG6@?Q># zip$w5zC#Qc6xmNy_pOSS_B^j@DbNd|s8fe)t1J%#rqV_SZmw5xW$Jkq=GMkZ*`8XB zq*W^Ee{J}^Z^FdOnLe{&6C1tB;g-(6ds<>WHaq8>^{tcFzBHtn| zFY^?I-`M_&n(H7Aw?wsU42#3OF$=HR9rbNsdzr<_#wRqT4%qtp)Y#cDUWS^w!rFhf zgpJr20tF0oj4eMQ9B(9PdD2+|xYgtZvh3f=X%`yJ?Eh$NRi zT2kKQZ~Zxp9_5F!Pf$(eXF6rf`sC)NGwJ}NSW=hB>6Mkj-Ml?lF%ZEa7ArAmJg~XlmZRo<|AISOV|!gey{; zF22yS$C5Y4qDFD3b0@gb@>#@PgcH*`T9hnAo{xuerj4?0-qxvY--5x0ieOQ2JXTUh zs%Fd%#x!;GjE?V5xjWS)y@SYuVAF2Ej7a&(ww6WVtwADrCI;bLVi00_lD)y?c{<&A-}nieZ6g;o9{jFD)H zlqV!=c-SCD`aJxxY`vhtizn!Lk-;&W-uAgEq<7ai75S)?@~C;bJ&?*V0)2o-@)sJ3 zkZRE9xyz67%EA^O1gU#gOII|qem<^COcb%}dQn_=(XYdwpebzgXFwz;WySx|um~p{ z1W%TMi4DQJ*9XS26l&_9yyuoU3!bT}Cs#*59Fj=FAal^pZX%?7yZDzPd)@w3wH?kr z+T!xc5Gp|y9e5%t+a-6a1iC8qYerXcp21Yk){QhYcgJ@O@e8Hxg(Hv=9yA|a3Ae1FE=A-LOmbQPWhjX(qVve{Q^O>Xz4 z{QE5n1jbnHKh)PY4+2U4Em@n7ryaDHU41up%*lVx&xBm<7(ulOoy@%+lA$Fk~yujiJg5`bF%XKZly z?r)XOjQj6p4@$SKojt*#I)0LY*7qJ}y25`}lLmLRY{$6bxII+1yP>(H1erNn7fLoN zR#wj)H_RSp98KJ+h{zqzmHf4TBJgcUawAic>7i`SjkM?%3^Cjfu^C^6Sg3Z35K|ie zbP;2Fb{U)hwCp*3_9!J-#b4YOii&3|lR|AApX-S#jJJM7>BKxsw~xBfFz>eRIjSI+?d9w-C~xcPNWUa|ht% zfIvokj8KN(bP^BapeV1x>TSL7WXxVVq}|1neRpDl#s!8E;J)z^u}u$t*V4l7rWy>o zfpiENb45FrB^dHN#LyM`JnP!$a@mtLtiYnce3&c|KOc#X@>+)>*|@4RTX^6SKI}E1 z-?_=EZN_B~F9B^6H^E9ma^F=2Gi#-y4sHcs?ts}5)U1JIAGnhesXiDg%N|ZHCM%2g zz)2x`%%E^WUd53|zDypbScI)^VS}wvs50jkKW}`-PvO&jeRiNXIxM*mEMD`gG-D8B z&qzvZ4TpLk>4sc8GLDF@=Obk=8>ISp<2(L=`+5BASFy0=9DuS8rW(~lJzhlqP^?wY zp?AG2O)_%|M_)6|Ek8PL;0yw7+hd$;p=3)1egjSB>)y^A3}+PW;ydWo62Vk$L^KLgT$|1m6y>y0;2seqEA`BNInQWB*jZ1P{qfpSc66o#4cWM+0;iAEqJT!j8n zcD&Q%-4!N}m_s0(_Zk=du?KlZF<-UaA}Qo+{0hKbSZW_jHIJA_{(>%RW+tkk|M03B zs9HPRnFCXf5o>NJ4bDho8>Mk`VF-t_A_DPY)P@_4lSu6hEvWG5D*t~;oB&FrblbX4gp48U~vp2=1J{=r7dlx6{d z%~vVda0#-XhKKynP{e-*eaBJh)49}Lq$=*jUoZ!rEfIbZxO41D<{{3m@6|gpF6<<& zzvM4CC6=}3jvHOCtpGNE5=+u4kO`)~#>fCQ7#}Uq&w6o%V4vLzy3A&zCGlorn<#b? z_9Xg7_h_h2{ku5}^R9OL$lMOAp4+4V9n+)}fKeel_6m@H==d2h53EO;Hu8*JWe|^C z0vQo7Xv3Q5vzguUXCf0Hg2vz_)=Ui}wQj%Jrg6%lVo2n#Bsb(u@V z-cr@wXDhQ59(felC1R6C1u+_!Z4Yiv+%XqaR)&HyX(M&E z?i)u)wAGsD&m=#WUl2~Prs#wvM-qgA8ast?CAHQjDoY3sPSF-142 zpF4wLxH`J7r_nQvi>QsLnbwF(;NEJNXz>y(LcqopDMA1#{>25JpblrnZNzCoYX9d1 zwpRMemPYxYCvFpp`-6rZ+~y5b%B$Vm)!!jmcU^PGm6yh^2P(8+eRO1jO^8ACSV;0c z@(|s7{hJ@F2`fmI49*VLT1w<#|kOfxx;K$XJY5Z=Lr|EyG zYzvqb^sReQ7}-xuRM?CL8#bHd=cL3SXA}%oZQ>0gi-W!ki!|~A?5p@3ZJ+}B*DD2A z+w8y)?(w%YbwrLXx;m50d_xtTmKCZonUXAMP&+d)y7Z0#f>lLgPvCDbaVvd29{3Xr z7+r~|xe6_j5@7Dl4G=wIDcFEr|DXkHDg?)z{Q4R8rb6EkW7HTJdzt*GrTC5r-t@s^ z(ojJQx0SKQ3P6p5fj8w|Kbn(yaRZI~6e?l6!zgTjAVT7{Yk9QT=)N|*_8O5$zxmS_ zu8cb!>Da2-W1~k|7qc>&b?HNhnkmYi;_lpgq0uChMwqvx_>U~p`BE9j`h$r7K5f-R z-P~%Ull(TWOL!In@~Wf;WYq|!uj^|4h1*yMcZ@miTYMoD;vV|V*@1?u8H6X0g>~wE zq8Mn#*p{V8P7XT%d!Yk(g{Ln0aP<6(!|pltVeZCOc*3SpnlaRp%oqkAPA*$#1dWGt ziE7nEWl`>H_+?y2wxkb`yzTAqG@y}x*`%g3zH~7K@SY#N7VC6$_?BfJOOr5ko{5`a z%Tf6WH+}*r{Vu^j((`&*T&*rcGCzh)nd*TzczXz}8DyC;9>r z#YiM-oMY3?Y(>X6^dUs}b|(LmI|;lxMNp4gQsI9c zS^Y3vZrN6yG&uH7ncr1q$ea*dS&COuf4rwGv>t@5*(;%t~Wf+MK_8Ra); ztbmfyB9&c}qI!oiN{}=j>Z&IL6@s?A>!b@Y5|AO!&^j*-5WgMECD|R+;3x5Yvgu?$ zqoU6t8qgKVJvf!$G$fqk6MiBu((Idz8z%*Jk-)k%T|%YB8;8aEj3Voga{`QH2l?>C zMdv9GDov(I^~9X+o@2l-fjq!6?92^2{yPW(`06O#EZS??X)!azeZ;PTCxENg@mvOa z$5dgZlm)fn55Izvt*=QJ!<&QcOrm440KJ_?MjT~D&B6UGcldE6Kun-2*nAb9RVg;D zivyv~3kh`a2x}Y*6XT(^pKG>fn}Xkl4nbd34fF|U;|c|F$WlQ^-j=6?Bb@5PYB3j1 z#_&e;q$dem$l2Xu9!wxTj*}uYCCpJt|rba*rj;* z(zD8y6Q*88nj@CiGtw_dDihc9a9c&X>TxnXX?g^3;xm);I`}N7bMMI}PcNerU?0+F zv)#&((?_W5r~9KLP2RNRYm(7YWor~tP3k+#jz-{FN;0IHesu{Z$$GKbjO+nXCM(Ba zCQMegZ)Zm&=J@AW2+wT_MpqNA(=Z0yodi#!=1U>^Y!@CRW?QKX(2~1L9&YGvwYdWO z?i-us*!39kqDKKi*hg)kVw@Gt2uc_$%nin{PkGrlc$MIRN|wRpQ5aFAGmZB8t}C&-d8+IF-~cUGe?^|6 z>6`FxRq68JDGoymzy6&?{Scj`^q#uPOdmDP9gsk{esmZ1)L}rfK>0LN%0%qNSL<@M3e7)3iNrfjJF$qV zH5Q}_NCVRRRdJP4sHw-eWxaEdXuX#I-L`Gpwr$(CZQD4f-KTBawr$())5fpwd*7M4 z^GtT7_TE+bBrB^b`6Da&hP!b<)NoZSZ$!g-oS75;gz12+t5W(bVgVwWw1edwg=CM$ zk&8@ky#bz{B3@j&%iXnenjDpBaH!py$qs=;h(dUDBt9kIOY2KYGgo%@=Anv)P5y%b zw15xY^9xaETVGUj8XV+z=tGm&ui5&+$O2Rz-t-5uhA|;UP#4yo9^5N53j>O7q8f4g zve!8T3FpPCxy$ds02EjUP;PM1G^G&Qg(+`I*=h)Xf$@o^;2T~um8cQTE)s;BW~ACd z#NAz@j?d`KZhF;xN&9&hC?C{v-}`B_ysA3UU;~<4+j~@sY5YURDT>VRkxJYf4R*pQ z$Eu0`B9y9B4J6DWpg<2C8!inRoBBY9LB-!FCYs{1n zwbo=#zl>djKO9%mo187mZ0w1Oy1cQIS>(pRfPFg3eN62HN}x_XC~KBMG{{JGwglKY z2Uzw5fLzeIp1uru%INnQM@FKZs~S5(5>1|ult{Vj--1t7GCd(#yfb~ z)pjS!5ll{c;r(njI)$T>3fmI!dXuT*idBy1`_a&1hH84qYav>${Dd(Vm)nvVSdafs zZt)F(3pe!AwUL{Q%b%gk6w$|Cy|R8BqwIf2K1FEE-wTn>9Ujlu4@CbuW5pVqnn`xu zPv>QUnF13uD#s2jsJEwzIrHK6!_1+lc^$W@sB3c#ux0m(_Z!teBLx-YSD=s;V-@3# zE)-f`eLYdwt7HWXDl&KA-D@EXg?3+*ut<4DGS63q7&r=>X4zct4}je)>HI5yEt>;e z0d#eDs(^7!Y%ti_3@SFNKYrGuna5`Z?_XHK?yxO07^a+PdVo)rVqu}H&3TaW1fj+h zWXL9`^968(C)xwd(}|TT0l9m zX|Da!-yXDOs)+2oXE1(S?DMk4)D>$ouHCo^51>ceV5Ir<%OuB#F>tU>)n573iiY7( z%bG}#xE>kH|CtEI1O9Wt>2n=+lcL8)VRMzY|Am!=wNudx-Y@{MX>)oF``gkPXt zst2T#`1DQb8FIR^EFcF;X!_pK8UB1Is(-}B@NJ8(VKFx2B#ls&HFsg0ia~tRMAnD< z#-VU>oH%eiFbD7~>UqM-?jL;sVB~U@c#6Wg!i%8!GUa=bAdAA#2cVIJu9>kgva0w} zNDEa*hbo`g=+02aJFoT}0j^;seR}5qTCuj6d&XYxPN|RD-R}-)4Rb*o#e}oYEUzWk z*qf0RzBGJr`z}i0{lNfR2Z+0ND3!D_5(na8%g91pf{hd&Gr=s>+6mCeFNeM{wi2kz zNg-JPc?TEa0$Tq&J97OH$1@-%+<*`~E^AT;yJ^vW0ec}-PIN)i9hLn2yF|1rkTLOq zl3LzG&C7w8m&oXR8@`8UnzIpa5seM5+S7KryK}Zu0oAa`9a!pD5;MzK?#Zn`Fv*`% z#HDQjft4|oszbXRi7=i;M@vFd_rZfzAlVcLVTy29DjiudsLKOEi?NfZX}69gsJHK9 zkbRp)pIqf#z#ZDQ*x187##_8_)W){7SHO%2eHhmJkg15@~2Ep4krx&o5~n|o-*3oVnD;V;z%2%x^v*1>=9R5o(9P(qnLWq zW|kT{Q{~{Djx#Ix+@~`R4BVB4$I%mf`s=W<(uy4!8$OB)#!Te+1e8pKNU+O_2Hfeq zK>nD8d(o{!eCJC)Rk9LS5h3)0nd5b?J>>Qa{;xd*XjVI$X`C#@Q2N&=H;PCK-3z@+ z3JppLZN547yNq{>aO5G4pGlPNk{HS46+PxQ=?}`` z_b_7^f5qGoNwx;(7>)^}YlKq6|5~KA~EF-`#cy#WnKZw#>z&7oP{J%4V(;+03`T8;L-Yu z^3FjCs*9m`rT*GK=%?dQE)ydMHKSWT&c)Pa?@{j2ix*ah+|2^*3Ot_DQReXROfN9= zn1AGu6v}{vV1eFqtMO8mA~bt)4NfRx5K_q9eH;5}hM%Oyuq0Nss((v#U1P;*2rmE{ z$25T1_TsVl`roe=Xc zE328!aJNYwdj0HT-7nyA-#dW1>}=OU)D4A|pB3+hs(KbIxB^~PMsifHgsiaW8s$gP zo#e2-?6wW~()~JVCu9DK{(1H}Uk>e0DM5~<&2r9Ljy zr^SFCUbyJsdRZ@H`fuWs5cT$JCV2QuUt$Mo10x30u^C-ddgLR+^uYtcD=>Kg=<8Y> zXj<8t+)&bg*tecSXkn^>QoO+B0KcqO1)|AZqwMeHNvVu~c`*imQkQRaofuRDf)|O* zz9r$zUb1t(cSCZAM}gz>Y=$SqW}b4-0k(Me6@QG5&KRQ zTHFR==9AW=4-{V6IodaJ?2wBF3EJ_&MB25|09KPBLWjp9vwsOa;j;8UFBvgc>AyTfaa|6%wAE&rue&ZpwvJ1hQ&36X-()QHJ*Wr zb<-8sCNCiauB-A9+_y8B4+6gLS}SIHw)JOLF(_HWPs|jKppd1-PFYMypw^O)B!NmG@{tO0Y4zrG*Y^f?sFz`}2USFcMJ-g3N*?du9O6xs zzbA3Gyb}Z`>Q94#<}g$>*-p51*R)oXaYG!OtLRiSz&j3(1}-Pr1;wdp#M6ZTkBOrm0ck=G@aX$xjCxV;1wbk_TkR)Acuz-rqeLzyGg$7 z|C)f-2SNjC$C@54C)bOOJJt@EMN1`o(tjxEspH*$Z-S%@lAGTf?x<&_+5bz%6 zeQde-DP&iOaz`@bf-6KaGZq?Mha*+Kw-2%x3C(tiAuU{LkOJvx?>7XACTAZ8$-Z1J zGe$*U*_)!Y9KyWy&x$iQInNoVh&f3mI9`)E(pL%C#CV4|gnFYJ^#ZhCE<$xkPk0{v z?-WLHbH^9QNRihm6j!4i14-i7e6NuNR)1h-Kh8F*sU-^L6cNXd?zF^baFniL_WSv} z#LYk^2Pv7U3;g9}y2;7eU@aqs*xO}BnW{(Efk}*WtPggT(Do*nB^or0dxS0oYHS9e zwMg7a1X4ltvB(w!xi4JLYy|d*De~!1a^t94QYdXT!dIoFe(gBTcvVT?K;)+s_XlHg zP!9JV>mvU6eXH0Pa7%cX+~V=@y$k08*s>SwdFlMb*B#K<4jQgBZ}ilTfEJ=87y!60 zNTP9nwB=|!QOR(ng|`AeLuMA6h~|*N&J*inToPCRbS*^m(E4H}vx5iF`$8>FUCx6~ zpqW69+vgQ~UtVBN@plzK@a>}x9R})re2vL-F{bB zv1s4vQkC9riWLb84Uw`T(lmOocDr3$_>yW1%qp{K)BK)}hi4)CfHCk~%r@puDPM&3 zq%Z>dXcI#l0+?FkB~y9VC}rmI6S!OtGv**;8{ttZyWT3)q$V@`7noknnXD4iHD_dr zM|?KXy0ubm36KJh4TaxhnB)|K>MA+p6%M`p`h0Ma$pG5kcs}VJjNki;iVfti)CMY- zXuW*TLCUe;EM|#cy!-e z)ce|4U0-K_PlL~?{kGN$Ak?wuHVPm`(d8^c`~m@H{RRh;a_o4yQ4eB!%ITd%9Hd+X z{=X4q=VVarYhZLc5Not1$msZ8GWt+r9I7GS=>ZMU)@#cr&e$@zvC22GGysAK?NwQT zsu3wb+HL{WSij_wq$-r~wSJXY{)RjYky~I5Yz*uUX|`uzU^KL~jXj&vpsG-=eVQSD z_TEjWWIaZW2{{UCMic&(f%*4;~*x4;sQW zeC`onkqXvuivPOoDTC)__#N~%?fAH5RGIj`T+X8IWa-)+Gz!clcyh>oH|+_$OWjw6 zU#uik`IpBv)#xr!XyXs>*{#!6C>j4T`C&duz=qk$FR%Hg+xXPc@r@-xDT5kH7B@2Y zW|gL#0m+30hDqmXL8vNDV_hcvJ^jTLeA?S0keu|!;tOa6-(6_*w57r&57Sgh9w9p% zbCAS9X`#TABI=*<07zlU!C}-Tv_AA=F}#^oqWb5g8$6`?_97YUIrv_fnw;z>AeRd% zZcb{=UQ#w@{}|R5qwHp=XuQog*Cpa_FExq}$|7|6h`1~#1?>zAQ?gA7{TBIpW4fT8 z4A%%UBuS~IY?lKzg87v$5yRD4ZZ(gh&p&F^$dVNwj_RJ0I>r~I?avR>w9`;%JK_TwLmQj%%ECBoIJYSw1Xp1aken*q~ zXU{MVA5|5~jI-m-$919R)o;A}=!j!~5}wa#fz*Y~8R-?Q*!9!!qD_Xcqz}urSi{&{SI$s3C`4c);F)wf@y%qCmRk3Os_B?-5HT1v> z7@=QMU!F$JpR3+;a6@#~#6+Aao7_fPz)-$5w?+oA)yQGRypcnro8d9!D?{|Sb9aFh z)9UH;20Yj=+$mkve2WgY=v(U)Xd^>yL!o_3MB*OLhc~Mg;MsTAPvscH1ty{DAt%sG>_GxjRcf>au7GCp*uU~I} z{EdL!xZIXH_ISNQs#$Fc`Mt}^lmXOIWi6{P7GKJ%@1N417}Y1gnEB@bjTi^HeR&6# zx=hm|`by!$!O`U03QC(16d5u0Y~gwf%82nJw*pRqW6iCio*CRwKFk<^M07n8if}`? z6FP%0qjc1PHDbPa66-cKvniH7)U~VhgihVFJA%6!ATr|NQI^67O3MwJze0HC<3-R% zrNouE1jrY{S2z4#nDgOnh6VNj#NS3pptmV|5B40WiP6g3>Ma)N^=d?TIn&?rVwAY5 zEn)wUea3kzpN#BF=V{{`=>b&yGb9sjvZ3>~nVC-*K-Rse3gURlx;#xLS$y!Uu{~!7 z2!XCHMh}|-Kmj#|VR#=sN%20(n5NkgXid8F3I3P;E(fyCCjByP&X$j_GuGPf<2qA| z?N_9|mM@t;W&5sQo1`JsfIi_UJ~UTxq|at3vm1;+g-hGeLRYxhU#?oqmQexa>3eIl zc}X(IjiMGG&3>7KQ2|;v;m^PC;Hucs97#0^Y4cU~#3zus z**96HP41Xc2w$6()Dq6k9)=b`J1()mZ)hvYx}+$VQq3~VD{x=AUrVgCsO%sZP4sr=Aid>j!oh>>0#_5VT`YK8kp^JSX#DWW7yKZ$ zgO^CVi1#$!`0l_~468?E2jXU$!01+cbZwKD`w0a!SI=tpzZy$3INDEl#gex|<_VQ7 z95(7}OdRHgDEA2{GbT=wad26J*RoCA)V{$ThT_1}dM; zVpr`$*Z0QC?2Kh16&&)cnURVA(l7Y{iwfYeCXbX>hfDiy|EZ?>)&htyYDL-DPHvMC@e=r6M>C<#Fjp=qHcki`0X(d_P=Q8gABl(xs@U|9(Z%jOU~Jpc z5(G{KB>%#E{E$_JL@R*|#9QHcJ!?j!QUq$jbO;u@{@|n}pw-Jh0|~e3S9?kL)uqt5 zK`%X`he|w^qL*jm)6kA5n}u58JOT0i3%aS|fBL~_PvjI zfb{47PQbHY#-r51jkeqY+0qsM24C+J&{0jY1Ql~QCJUv_ogWe|5B+^*hA3rLj;N~3 z=6^2h_QDgJHHqtrViUsvvFN#D2b^C%FkC_L z^g(5OUz)$uQPN5-wTfO{K!X26)mERNm%T}m3*z^B3&S{_s!;bN7K?=xYf$gR*{tQB>Eee@ z-oDr2;Y*?re^~SbZP2cW|7jlK@cHxJQXW5G$6Xnv;(&9QYRN4(8E`+2kFU3R87ZRS z^`T@PoX7ExE-0bTvq-Z3+#*h1VBD`3VchRXcxE)X+Y!!{=(0u#2SJXT=FfU%)&z~>X2 zMO$F*;R&JHs(B;Ge@k%R%9pg;eW1HFz);uItLnKum48jW9s226={yY zIuhS)$t@J{qI0#Hpb-#n%%`F+#ROoy14Q{fVxc?@nnLOMHwdgucN_PY^U%A1Bls|; zL)AQOq`PXR${&Q3z{I4=A+3wluXOX&#qopaAg0bkiVh`~M&c8a-J{;=jaWiIcX3}b ztit6=N~ivOTb5&>c?g6Ro~70_C(h^>F1Iq>p89cE=O9`sz{t>hMy8rOx@)|o_PsEI zJ}mqZ`c&N58a@Q!s})tKhfXf0y8Ba6MnFpxr@-YCJ!?NF;kQYY2tPu`sDaif3o;!f zUZS8@`v>0;UEQ%bhZV)gA}f|tA9d5QNvQC!FUj(f+>y6S`LJWAYOgQn@`efCzHr=u zztAl4jT|mD*1IhQcj@Hkv@~C0_OLOdNmFTZzR{NLM9+rIzCT;@KD-W>wrwZ^jQNq) zC<Ydb7QYIF(S+vfS%26;}e;c)CFUp4ppXurf&TCBvCu`w+GPUv#8thL3+Vakey$ zAagWk*F#lTOlqLHSA8RFGxF_wDLo&NV_Iuo1TxQr@}%&G&s0U615-@UlK0A5PpnK8 z&bQFIZrCo2*^MA0EXF@n_-;Nr-BD9M7aF_?)ZpntyHBKSw}$vW_YlPqW~#+wD_hUd zHcS~u6BjjOD_|Cv+S89Eswi7cJ%el#uiCPsxHDGEBY3pg#c#bDv;)XTgjd5c4JJs~!DC}{HH123S($@yyqGda>Qf(;;%(ZVVIJu%baJCmz+O)PcW*bP9X$H(GRdSC@#RVpij zMt-9h17!w$n8r8n*pW(}_m}4kQJvRg zh^M*goVz6nf9s$L7+%7a_;x>bms*N#HX!?!(r(t~H%Sc%NgwnvRazxXVKP5AM;gN^ zu7*a!E5}d7-{P84MbOyF+4bjD~@{=4n#Hegl1%M<4jt<`+5t@@djAN7@j271AvkGkOm4?FMT8Rn+kOMmj$ zFjbn*lA)Pl+eLX+YV?)n2$)2QE2hvF8@a0WTqCSyCX#QXa{#*lSsg^ttR6Y)z4}_C zpygMCJR0~;;7Fca>oh5aK?T;CI~fpX8>o04G-?`MB8h^9`&6G4=5jv&belHZ(TbA0 zfSQn{ujw04)h@07NdAcZTu6$`ubt8>Cw*wG#@=zO5~1PJ=@SG$3hxy(jR}plD2)NKbCHRVioFmvj(|H#&pktXakNVHfC8Z;=xj zC3#3;$YharUS0?HBtW9H&o((q_sB@sdwANE;a}StSMfb+ySa2SlbRC z=)UP7V`e)>+O_q4WFNotc2_stZ#%5Z+#S_8XChob9okj-ankO&GNqu}ZhE*@AG5|m!93p5|MN@R)n9%p*9vM$A1jmER#18f|Fl;KBidWVF!LNkCksOo;3 zRTAh?u~sU|=>;1L{AN z5!9iD`oGgw8yKH-cAl+3xCLlYThJtlL1IW4h{G(4_nSihoMC8U-a_B4>^`(*N5d~S zFcVyX=8=8t^jglaZyX+cIH>YZce}$-MRl4A8u5mh@&@MS{ITd6f{Ll$ppg8z@g_=_ zy<@^**qx_fLnx2d5388B;eZK8*gs{OtSHZ6G0a0`ITkY`TCs-FL$2S0fqw!RU~_jF z$+#>5FgA(pg-F$;mC;yWrVT4u{ox}(-eA$UX3%Y_3~d)R67sL`l$MTdvTFx|xmF?1 zc{7+8^*o`rFq3O$2t;}D>9#*!raA1D`E9+k%URnaVOant# z->0IcwkD8^SX}p=P-}XxVL7bsz@aC~(UdGh+ybZq3S{I5`gZbZH?Xl>n63`GE20aM za`rd{mIf1G0LF^o2Z1?^EN;-tI$ywrN?90zX^JJ^^D}-i8EJ2NTzXOE{o>*+EP%rA z630uTMc1lpM?PYH(L79({is6MU{kC@1|4d?^a|~#H0%cBu6laG8jMokypOBs&|=I` zn)A)Kb^Q%d=ZFQ^M;EPE|32(M#&<-of-l_GQ$F(Tj#s_F8Iv8G9~e*%IW-{2jC@IuZl%Oa5{X#upOeBj+oD8C zen+k8lzFO1x^lvU<2Gu8t{UEEyW{PkWY@~e4NKa zQMd~R2J111%wE^LYn)pyvdFV@WSkJUVb%RAZnXJd^C9b<`~% zg5If_(#bLHPdzS<9CC<@YJgSTvGA=({;R zZ8}SVKHbC9v$RY=;VyVm5%$8AuVa>sGG}`5-};=K9xrwds<&xt&2I1CdJ|W4dQ4if1FC1VUci_wr|vwTNtV zw{;uVdwtd-dGUVptNukBXd&%J7F%V!K}PRxX8=~oTUc(fAHqS3f^+qGOYPYE@NV1k z$00WPS_-H^OFkdlLPkMW6J2_`$;( zp)%N8f5zRLuoCCgl6TX~-_(n0StrPhO~!GQ9Tc1t)AR>kGcf^0xU&80yuHjL^N;p* zD3@XJ#iz=rBbhJ+dE!3xiq~GUc|_R(*}%tMgwRsx96QOpOr(5tD~Pkh_c)+Taaqnj z95+egu!3VW9l59<&b{!<1xeazUo07=d@Gk2nVzPOi6uVFYk_7`oE~ z#B#r&)s+=UsY?VKe329`yO~_ONyZKuyF}RL29q1@R@$$wiri8x!E)X!?OU6m&Gqe? z9}#8{nX!uIqduuPcjsJDgMut3R{a~otl$-=w1emtKh6m2eCz*bj_sN{aHOY*i(k8A zB5&UlZK&}?jvl7jL#ZJKw}qqFPTIT(Fo2I0lUomlT$`FyWZfv@Ooal zu$(-wJwv>E$dNzXU2pgN-7-`XZ=>YM)rwyIU1RHIKn&3?jSrsXuI%?`S!j#zjrK*7 zQN1kY9IwX$i=_ajn*xef@FroCb$N}G;Y#kB?i91m_3QJ;>6eMkAzDFq4aLml2Xx{Q z-n9=%GWAQI#DUnO^I_wMQSB}zAKUM9r<9dRIRtyL6e_(0@#pR_0Bihwc|S2Cy5N4W z0oJm!I3P`#<6$e*Dw8Te31?Z3)Y;jeAd$c-;OfI=6K*Z3=m%m(`81ls>$Y|8aB~e~ z;a*-N)! z@1Rw}uQX*CgdH|_t6r&kFX6r$!RT6yp+rHsT7cN%a2Nz93?me-gpvBIb$MD)!`a@$ z)RRv;@ z$HU8Qwk~2CjsZo8cqrxmnG$HrvG6oZC(~;1W(#jrZ!m`5#vxI9HH^KC#yNVmtjohBJqHPH&=a}KXeZ2D*F7HQIU!~`?vF`fnNQMpguUpX5fWUA3` z5IN=V>O^s+8zv8<@Kkn7*G1Kn7l_G~o-38X9s17`=hSl?mV}fV$7X)g2*8o}#v0CV zfS2n-Aodk@a$SpzPJfyjfkmtn9t8~mkyi2SRQk1I_dt5u@;c0DXT#uWT^+-wWNiZ2Ri(3U~fJh4D>4qHyK%l`Yzo!ZXk{9Uu zwANz1YD*XdE+jZf%PikX}8m zl`LWOCugtq#k z;S$qo#)P|>6Bn5!N74^|O_I=`k~H5maI z$B*0uhj@|N>HFW}cwHEry*_*rJ$Xo^t<6q^Vt@18G($gqx6 z&n2YN{_PUGVwcIPiJqqi+#D3U_Ei{L3^7Kb>L4krrof=gz|_ptT+76yehUky2vCNa1R+PG=s-gOG=`O- zjhU%|nI2$5gNgz0zfIX2TiSd6F~I{60090!O>uT{HKKD}Cq1}#U zz1A^2QHhOhT1^d%;1z|^FSI7i0HZI6%>4<>Wp&D>+}e5!9s&y|*E315Z5uMYN{*pW z66xW@qcD$dZ#eb01_F_IQ7<8O@f+U)c2v{hW|Lw z^Js<0>nw`DcfMrx@!LsiKs$L=BlG`nA2E?83PJt&r|%~t{a+thnAq6HD_Zw6{G2a; zpq~CYoN$Se|0LPY6cV(*xvFikE<+zySje9F`5cYQf$QBd#(C0l5;rocyWzc#k8$S1 zhRZKAkGVaTz`JE^dI2JBT+55iW#d}%Sz?D|DDgV}tbr<;7&&`pD5d8nU$4Vo! zFk+&al8&&>@+z;giS2;?)!ghmhzO@RGt9q0QqKGI+s5&cCy*M8A8kz$Sh5iF|GBNxoSwb>?agGQ8P{05H0Qdhl`ft+mM^o#+&2_dgbTIuV z3;rhsuJFfWarpn!>c6|{ulXOW`~U4~pKH?B?G+$EsTk~kclF<`%If?F>0x5}f4uZh z$*=#ixjE263g~|f_OSb>wf~&B{s-Jh{2%b2)7U?2`RAPTuUh`yXS1aLsO8@?&_CgS z4#xk1qmus*{4+Eaq(Q;{RR;R!`LPjT@D~sO9e^k^qqCeXwV)6)lcXiRDx-{)8a0!R dxVxPxgP?}2v6i@-qP3SAtBe!7i>V~<{{xi+A|L<& diff --git a/lab2CA.runs/impl_1/CPU9bits_power_routed.rpt b/lab2CA.runs/impl_1/CPU9bits_power_routed.rpt deleted file mode 100644 index 1783114..0000000 --- a/lab2CA.runs/impl_1/CPU9bits_power_routed.rpt +++ /dev/null @@ -1,157 +0,0 @@ -Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ----------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Sat Apr 6 17:35:04 2019 -| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) -| Command : report_power -file CPU9bits_power_routed.rpt -pb CPU9bits_power_summary_routed.pb -rpx CPU9bits_power_routed.rpx -| Design : CPU9bits -| Device : xc7k160tifbg484-2L -| Design State : routed -| Grade : industrial -| Process : typical -| Characterization : Production ----------------------------------------------------------------------------------------------------------------------------------------------- - -Power Report - -Table of Contents ------------------ -1. Summary -1.1 On-Chip Components -1.2 Power Supply Summary -1.3 Confidence Level -2. Settings -2.1 Environment -2.2 Clock Constraints -3. Detailed Reports -3.1 By Hierarchy - -1. Summary ----------- - -+--------------------------+--------------+ -| Total On-Chip Power (W) | 10.632 | -| Design Power Budget (W) | Unspecified* | -| Power Budget Margin (W) | NA | -| Dynamic (W) | 10.494 | -| Device Static (W) | 0.137 | -| Effective TJA (C/W) | 2.5 | -| Max Ambient (C) | 73.7 | -| Junction Temperature (C) | 51.3 | -| Confidence Level | Low | -| Setting File | --- | -| Simulation Activity File | --- | -| Design Nets Matched | NA | -+--------------------------+--------------+ -* Specify Design Power Budget using, set_operating_conditions -design_power_budget - - -1.1 On-Chip Components ----------------------- - -+----------------+-----------+----------+-----------+-----------------+ -| On-Chip | Power (W) | Used | Available | Utilization (%) | -+----------------+-----------+----------+-----------+-----------------+ -| Slice Logic | 0.768 | 132 | --- | --- | -| LUT as Logic | 0.719 | 54 | 101400 | 0.05 | -| Register | 0.043 | 58 | 202800 | 0.03 | -| BUFG | 0.005 | 1 | 32 | 3.13 | -| Others | 0.000 | 5 | --- | --- | -| Signals | 0.881 | 109 | --- | --- | -| Block RAM | 0.060 | 0.5 | 325 | 0.15 | -| I/O | 8.785 | 12 | 285 | 4.21 | -| Static Power | 0.137 | | | | -| Total | 10.632 | | | | -+----------------+-----------+----------+-----------+-----------------+ - - -1.2 Power Supply Summary ------------------------- - -+-----------+-------------+-----------+-------------+------------+ -| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | -+-----------+-------------+-----------+-------------+------------+ -| Vccint | 0.950 | 1.868 | 1.799 | 0.070 | -| Vccaux | 1.800 | 0.738 | 0.719 | 0.020 | -| Vcco33 | 3.300 | 0.000 | 0.000 | 0.000 | -| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | -| Vcco18 | 1.800 | 4.161 | 4.160 | 0.001 | -| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | -| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | -| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | -| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | -| Vccbram | 0.950 | 0.006 | 0.005 | 0.002 | -| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | -| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | -| MGTVccaux | 1.800 | 0.000 | 0.000 | 0.000 | -| Vccadc | 1.800 | 0.018 | 0.000 | 0.018 | -+-----------+-------------+-----------+-------------+------------+ - - -1.3 Confidence Level --------------------- - -+-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ -| User Input Data | Confidence | Details | Action | -+-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ -| Design implementation state | High | Design is routed | | -| Clock nodes activity | Low | User specified less than 75% of clocks | Provide missing clock activity with a constraint file, simulation results or by editing the "By Clock Domain" view | -| I/O nodes activity | Low | More than 75% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | -| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | -| Device models | High | Device models are Production | | -| | | | | -| Overall confidence level | Low | | | -+-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ - - -2. Settings ------------ - -2.1 Environment ---------------- - -+-----------------------+--------------------------+ -| Ambient Temp (C) | 25.0 | -| ThetaJA (C/W) | 2.5 | -| Airflow (LFM) | 250 | -| Heat Sink | medium (Medium Profile) | -| ThetaSA (C/W) | 4.2 | -| Board Selection | medium (10"x10") | -| # of Board Layers | 12to15 (12 to 15 Layers) | -| Board Temperature (C) | 25.0 | -+-----------------------+--------------------------+ - - -2.2 Clock Constraints ---------------------- - -+-------+--------+-----------------+ -| Clock | Domain | Constraint (ns) | -+-------+--------+-----------------+ - - -3. Detailed Reports -------------------- - -3.1 By Hierarchy ----------------- - -+------------+-----------+ -| Name | Power (W) | -+------------+-----------+ -| CPU9bits | 10.494 | -| EM | 0.099 | -| dM | 0.099 | -| FD | 0.424 | -| FetchU | 0.179 | -| PC | 0.179 | -| RF | 0.244 | -| r0 | 0.133 | -| r1 | 0.112 | -| W | 0.301 | -| mux5 | 0.301 | -| pipe1 | 0.782 | -| pipe2 | 0.087 | -+------------+-----------+ - - diff --git a/lab2CA.runs/impl_1/CPU9bits_power_summary_routed.pb b/lab2CA.runs/impl_1/CPU9bits_power_summary_routed.pb deleted file mode 100644 index 9ffa97be9d4ab9a4a067a782e1eea239bc703165..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 722 zcmZ|NF=!J}7zgn8tY(l1VkfcKx3q$mpuuQWY;qxrMFVvxbx}MnFX_{}_u{>~#1yna zxxuMWI0qG!z)3ejxXGayIO)_u%%V#z+~6u?uJ3yh>EaDP{(SGd`#8RLIFmnnE}uKU zKDM$T+SDZ`H|>sOlGI({ka?$RQ-^Yo)(EGb&7_wjhUKvq^E#wPUDi@3y44a~dalX1 zr`}~Yl`}*#$G1(72|lV2;gS}$JmIQi`EOJ%%50uEZ6w*ZYgr>ag3v}eJy!U2%817i z`ce*#BlQfl(d2>mW^p*F3Pv(@=xL=GP9wBaO3%DrY+sFM5b73#yzbXVv*W$&+;A4^ z$tB3)Wyq-#q4)}z%z18s0qXKi#F@=%*BK@RVL>0L0s2L?58pp70t z9%_>&Hocr(4{Aj4UM$}bTk#JF?a`*e+KlyX(1@HdE=nqhj;m800 diff --git a/lab2CA.runs/impl_1/CPU9bits_route_status.pb b/lab2CA.runs/impl_1/CPU9bits_route_status.pb deleted file mode 100644 index 8c3ca07ebeed1f6e97b1ea94782764f944a0f3fb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 43 ycmd;LGcqtV(leRFEE1GoT9T>|T#{H)TC5OUnwy(gRC$1h;eilCgJk{!c?JLsGz}*J diff --git a/lab2CA.runs/impl_1/CPU9bits_route_status.rpt b/lab2CA.runs/impl_1/CPU9bits_route_status.rpt deleted file mode 100644 index b33440b..0000000 --- a/lab2CA.runs/impl_1/CPU9bits_route_status.rpt +++ /dev/null @@ -1,11 +0,0 @@ -Design Route Status - : # nets : - ------------------------------------------- : ----------- : - # of logical nets.......................... : 152 : - # of nets not needing routing.......... : 41 : - # of internally routed nets........ : 41 : - # of routable nets..................... : 111 : - # of fully routed nets............. : 111 : - # of nets with routing errors.......... : 0 : - ------------------------------------------- : ----------- : - diff --git a/lab2CA.runs/impl_1/CPU9bits_routed.dcp b/lab2CA.runs/impl_1/CPU9bits_routed.dcp deleted file mode 100644 index bc07617efb40684bfce8fed00a4b1160aa8d36ef..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 182755 zcmb5WV{~OfyEPi8W81cEvty@Yn;qM>-LdVCZFFqgw(jnJ&-v~-W85G2`?ad(Gw1WH z?6qg@8kJS0@EsHk6$l9E8<2ifh@9d!d3hu-5YQ_q5D+8)H8!%RceAmMPVBV}5JVMQ zdZ5wOXo@5z)UcTs$E+l!1nX_431Ci=iYH>!cz?4jVoNSGc)R2?b7L{MSGho5-s>P_ z#rsLjU@xCIB^i11NK!y*Qu{e63Xe`&^pb|sDs^O9=n)eBUeu!C44KjkAIl|$p0uN| z-&W#vm|py)Q$Du z0!bA7L3QM5zm|H%5wx+eKxpP%v&!!k=ItMEen*e6?>Wk=uAQ*}H~APM(a1TCL=_+# zP(oG^Qk0_Tq}@&reH5a~OfzdfX8-V0GJ7IE8;mypb zZcoI(Bx>3r1uS$AeC`ifNkl1SJ@YY5-?WLYcE!v5VvVX75+s%_g_{ohF||$T=|~!z zKCbx1anmJc@xytIwtQ#vGdK;$dsmTp%)}S1Ls}zBCvoR*d9>XW7_9G;7Tcs7hZpvc zvN%ro5Et7R^Y6FQzPg6mQoI)UdNtxbI3*$5K2TRVNcJH53!TCp1!ps%t0L4+&Cum_6@@{-lPyFsscTx0dQ$uI{WZXu&Es=I z^kL^}>aLrApP*)W%e9OClHu!A1|gPwLk`}zspDnc-R1f=mBW=QNDrL=V=0xuCmmO& z{95l)7{4D-Ufqf%a%F;hU?vaYUbdt?xeo=7laUX569WZ(Zs#IwTi2y z$f3l!X8EqRj&5FEuTGth4L6s?$CWuI!40dA{lSl620Po7r)Y_%HGYq;TiX}KZCm*L z+>5zsj9(?+14Tn@2;Lu`qZQ{1nh`d6JV@|Y-EUBS$w`bORE#fdWizOczqBmep56Mq z3XaBOw^XjBeG%8z^PFP|SaaR17UJUg4JNUVEy`g&>+ zJ6hN`cJy&0#81c!JlLI{n%w>LaCc?!*{hNx$B+oEVTe$3Z$Fs)Fnl<<-OE?@NybTC zTkt+oH9NBW{lja2V`@`7tLryncEeW$!h2}YaZ6wzq^J|N-?7(~n*bKm&s+Uk7kc%I zaj#0X$jtGY$SJpGjvVKl8-lBZ&+*GQGY0O_?O-@wkJ&D_S)VtG>_c<8m4@d~*Kjut z?8nNFTg`KkOtXvV;Umu@qsM|fnb!lI1hn&kJZ@WToRRl>iBSbhlH@&=VU9qbS1cUqv_-tIG!2(=2 z7HN^2B|9(s3%$m%UuP?BTLl|uk?TF@;RIcie7|_y2Y>M>p4V3W9{$;cFSefpOE7oF__=))lhm<6*HXEbE$E+dT=nOvF)Q- zW8-V%MQ63_<8J)oVYmOO{YzK(<4s?s8T(ZSB>&Y)M#VvzCu`}fojNXQK3b7e~DPQD!6X?e3F49~k8-Op*C z&#Zz@--F_!JKC?g>34YnD__G?^~d`vp6=D)PF#6m7v`^ri{5i%kLPl7f$S_mm0?c`NR;=q(M`9{ zM|-aunnZ85ERxvAH~c{AX6wU}qtJ%C{z%`^J(|xTe~YA9&UQ+Mm_wPAmp`4=M2`?U z6DmRu^{%v1dK1LUlV|-#Qm>HRa`2y;bC-B{noz@uTktE;W!3^-**uG*Rx&?7*EW%C zT)rXC-n(Uf_vmw0xI8sZ`Pt%n3r!O*O7TF^m5qPce~?Rh{7YuL!0)%o8+Ug{+mA^B zT7CvQNz}+p8Ym@>$x2e!rSVvp9}EGaClJhXhk;WN*)Y^76NbO0+7r6v-vWhcwB8{X zDvss3O=4$%IX&VR37qiqOZ#??d`L+=DZVDc-xb_bWZwvQ`No&%?XO_C--_jU#+T^s zr(?M9iv4m`8j_8=blG#E5Q}1JO9Yw3+`t>Su*GfRu^>Y(Xt$WZOlbY24?ny5zrM@@ zbkS#5|JRp#fG+av>i_y8I;6X@GkOk2VcNsmZR^UZkVsn?%fk`*My-0fbx2@mcX`=< zH`U;J^`+zWiI4*GAkZ?NIhZ`pFpX#|WvrB^pPn$(RoyU)+KRR!x4A6>FQ)?YsMnZh z+N8NNuSsL6ri(6@-I#CsJ7#CTGLAXwhl+BD5yrjBy{al{aM%&?;-p@7)a1q3uH;xl zldu%Gg`jT-ae}albat{>NDO8{QH+}GxFwayQCcp1wow3gmX-L$Xv@9kt9gA@xUm*W zs104-2-$&DDeXb1o1=-hI5Icwn24~1gu5h;%UJoVnj;-SeT-QnlGWe@c1|67UUhJ~ zvwZ_Pp1~lM&97c+vQ>Vg))2FxHs2SlJcG>lsO# zCGPK&5wb4~&hH<6u^v;8;-Z7pHC~PO8YhHTZ0pJnj!k2IsY_g1Hs2bd;B0E+5BK{S z8!dv_i}K(ep|ZRXL)a_+n6ftZ(uXBJ_5XRKZsfyW)t?(5^)xVlLtEvCqg(h>v2WRw z#IY(A>>?=dFzt%ga1YH;_W>4M33xP!Pxh5#VYpuZ{Qg{LMDYL9Whfjj!`& z)ZlW?VX3bt+*P-|KT=`&S)a>$6SGCYbGRCyJ8A?Hdqal|*`D>ydb72_Hj zLKzGu@8Vb4wk5a>*;DH<+dbn4zgUbE|Zma_bFBk7cI#j+>xEU+8Xe!GDcgL8Rm zD*xis-nfRt1OtBj_t&Or(~jbGD7)&)heut0&80j0s&pa4vVH6Fw6%*tt{X_{ORkMI zMu!usCPIWH-uS~f7c6sD!Zxb|fw?iH9;%I-Ju@eiXCO}Ng>Ypo5oSY#=+LPg5j_xr z*2#4rG66RhCNA#?^%WwLK+^G?PA~*t{`H#Ax58)_N6A+dMW?oI( zyI{he88GubtgxQJi$5vkIEeA_N?=#UEvQsX6!^#=7D(@deaKln2rI%0Mw6dra1X12 zmM$h^f?fS`n5Qvi;u^)U!MIM<3a&D2qs%6h`?+&LZARt(DO4gvkl94@c*>JaGFZ@D z$NRaLX_g%(V+tceN8rxGD$4ZAA;R$7WTiaC*e>bJsE&VD1Q+VMunwa+Z~X-q7@VVt zkU~JZ_d z_VMX$oBIAY@$Fr8dZ(HJ*KryBANJFe|Maq}K6Bjn+IQgc)AGjM-L+d|Qs;^w0Vm}A z8UqA>>#%R~S2Bb6;fB|5jrR-&i9;Q7!)ZF70jm^Ph%#ig#b1nZqTmVE5R&T2lCDO` zQmTX&w1ny{9Ff1>)K>$aROQ{+*Th8oP20judE0$^NFozJCBrcjBor6V8uON#`_mo+ zk{t@EW1L7hki)P$w2xs` zvr0;`za)qt%XRHv$IGqia(5o-9pgT>wS$_J?e_la%fWE%d|W3BJkks4{6N~ju7mAn ze)S35vSY}hog8GrbCH-s>Y5p*B9>`WLwb0vkMi(lAgVG8xhD*j+bN7gg`$v}L+W@M zq0PvnP0vf!Uo_Hy^o-*xF+R3L(RT@&Q`hcNWmf(?JZ(;p%JI$piNQ)GYF`wjCLbe^ z)2eU_aB6dUcc@#oX_H50Lrp7Fk4~k{V~Yc}Q@eTg$1T57PqvtcY`ZZJYMO08kaQdf zh=fj8D6Sg@@nOzZQaiNR`eavaq%rI@argx6h1ii$t5^r+4my(&r!6ZZ`Fj2x;W}|# zqJ5-3Jub&A0*dX7JL09Gv-K)if7*)YI1V9fUj-C0s6TL1c5WCL6e_XcEs6Q6l3*$} zH?z5yn7ygFU8dq#Ya^!eTui8mjO=YgU6B(SG|IW8Q5yL}%W3eeDok4Ohb8PBuSg}f zT|Oa-hFG3*ZSxx+#e1zE@1|LC(|_0x)}3~}g+O3*1~ zdA2Z%s-v>zP3@u}#>l<*>{m>a`ea~)(waBv0vKh{_0qb29O%^9>jU+_3Qs{dNw8ov zN$ki;as@dR2V*UmhS$(luDToE&`fedDhVk`T*S{SdXheD&m#Eb4kviG^DeoH%id=@ zkmTaS8rG%LW-pAU;357VTPpp9aM%*iaBuS3^%~HpBgSgRgR@{ag{oCh)QIKOP}Ddo z#*y6Buv2SxJi3VFc%MO>F$7kmKQV=%H#to)_cFS9Q@H7*f%Y3^{{!OUJo0P%D{Mdd zWcPC~m4jp4Q{pmY-j=Tn$6CF>k;brn`fdc*VN6=#0Ic9u8CbXiv8s+W;L$a_l+QR^ zE~ga#noy4s;so346t@0_3Q9{xLB3fyxU)+XR$bo3>TKnu0H4pOXZ=!iUA>eUT3HYm z3tXdBT%1UEMltUX+656~CBsAC!rTuO27R*yNUPPsGN%CrZb27v1$^Lj(XXF3dQ+3@lAJPFO3B$ z127J6tuJpawOu#@jRi@QT^UT0OB~v(c_WNh`Ho)X;u~w2^XXa29Jhyb#pLRqa+J5C z)^&d762-PRDK$MA&baD-*Z3|mVlhtz)BW_jIu+34Mg4e zW3unDgMt~y^YS9reowzW^C$;C?c}&pTva=X9n%J3XCaei{=SvD(bru2yUQB~VRFVK zo##n3+VtmFPTXS!ej=@N^61N|?SaObTBevlIl|&3yO_75Rli(k&?%!;zmJh{UD4j- zYRO)`bZY15X2zT)aI7?$_^En$oeeKMDn6uqf<%!m=>rY!3Ol|8bZh~|=w5Z--lg(B zlX8RvOZVxF&7PuhT{5qDRYKHI!3Z>!`#p{GIy3$twWKDfCRY~!XMPccuRz39bEbgL zjP2;JR=QKEn-bdB!38Dx2mzl?I{*|4fQIcL=wDD$)%d7BpNsm)e0M`CC@Qbh%QDD4*L2pI~o=DpY`9rY>g)`bXi%P5KG4?`mBNb~@@#r^8 z{RV=B7foxnwkfJmNZa-c`KDZ%j>o9^m*kHQAU$&H*H;T01b_-+PZ%DY`i-_h2SdTj zgfkBA&H*Pn0|pMqpk{Ds5ZN31&U*yS#HYXQP$ z0_3$=!MC^|rfy?86|rDe;3kO-zf}@h!HanE+($_i1($h*UTbfg`1KPOO| z(L&Sv(BIW9DbG;76Gna%;yPYWFr}iWQ&(U7FfOdMjF0at7ZZoBK*yr0{0|V`SN&hW z$PZN8B@H!QERmFmq){S--fE`x2puCSAGvnZ4%LC;csM9V{9~k&s2}MPuuVVlu@u)# zgOS+yD3cXAkg0X@%CVYkOtBT`_SCSNJZe)5T*Mko=h@HnMP(6eOy6GMFi0c%JY-I3u$f7%llV+COSOTSToto!u5onm zA2u;bxbfd^;s|L|Zb?pu@X=8+%fciBU>VqKDErSRQIN7`my)9+L3B#OGPx%|ScKq9 zrrcni58<-_L=cJrFlHhE@%S_lp9DX!d?q^nsW&9i*umbTj4kwD~=#e##bGU_j&65h%Q*QZ0OG&Yymn z^HTr+Z)os7CY^ri{=76z{kd>bV~6Sy3bg~xe>QnN00hPQ9ob;@Ry^tN;1cafETd%_Hmgc0 zV_z#6WHJ7s@Nbwg3}due!TUF2^`apa)A@>=P@|G_9+UJnnwV4S1oweK7OJ48Z))O2J}rXKK*RUFf^d?TS~5C$xmm0&y{}o9U3odB8sKv3S%Ay` zq*Ga2(EwbYZd6!X%?WV%cDIs@5T|0aGM2Ul3>-yC{#5%W+jcL z5lMsp0Vpm50HMWv`}U#wtNXF%oJujU_91&3muRv(u8WMi+1dZs-fi&#$5|5M^UazD z0P9Kvtcyelu&ye=x-4@5>$U)_8^{N+?qmkQx`6=eHYO`p3_DBdn{+G)V=2xR=wUTE zej8S3H#B6i%uHs@FNPdpwmdM8&T|Q#1Plq^ZA4{b^8k7Mu|zH+Dx*uQHv7VU*nAhO z!VSFHKO>wcjU7}-MoK=6y`Z+YZD4N_lK*ikO(z@{tSVotnt?Y2 zk)6qaa+I7e2L#^<$>UZ4K?%vf!8$}kko0;$u;*V8n}|Hly$Ya|zbId`iAM$m-vL3+ zg}+KpKoF-Kpj7{>bU-x(`8|{Y! z-$XUNYc~z+P8ZR*D-1t0Q;+AjBu%*gu0@9qa+xEFkJ^@7A4w6Au}Y!H+8W`wExXzv zkx8|5SDZhL#YIW*44I?ZiI>LY? zkn39t`EnxEzuXz3{VDB`GF?w%iHD3D8Ttiuu*KgqE~F{YEZX3^N!uV~QduQ>XTjP_ z3>bB0y~3d7tMU-=aiHRy6m$$-eOTnJmt7|`^?l-`4PBBf4%Wb#$V6oB;jSMcf&RGpAeASba1 z?kOHO5j&+hqU$K4#D6HhVNfehk?LBSJl2RfGA(0CFvOEik**<%|9BR~S{+f*(0}EU zEE~IYt}1`Cie{Xk*B}%M|GkG<8IHhX#sN6Pz>{L3!gO1R8G|sK0f;%-zXI6&engHi zxZ+HRc+)m4(NH4WzcmCzYt{t!)%ZvBJ-37KPcG0Fj#mWmQZ$qUOm zXXREqMCDe5C6W}8#v2YsqqGj_f7B*ZJCf5WF-N(vpN5f_DN%Qx-ge zEnRQd#g+NVMMZNXnS4zVh;rKbR}>(F2|jmy#J>w2FEZZ|z2kDQ&#_|<3lmOt{_Aoe5(zyYEZwn3k zfh_C*zSSODW>i9NrWuNaJg+mT9iLO#YeK>#Oy)(7I&n*Joq(nM5^cyv(Dv(HocEJ6 zGwUpp;ScZ(Dv|+nw-KR2@y~8bWqvUiWDBgqf%MV9kEO&}KUm~et#Uq{URpKIDi~2g zU4c-j&7@IHt%K5ZQXO8f;jC`TFXh+xzFr*p7OS^8A8fMwlzGs3PhI|6v1nqlA!O&O zUYx+cm^)R%mizO?2mK&&QNMn}DL0FMOg~C1dI%h5GdA&r`jQht8N4!F@RJ8FpNaMc zq|6N0v*&(_lO33C5`rO@S|%^owp5BzWsg4}@((H4vFX@N80c0pCc?m#F5@Wn7`GMh z{65>epJj`+C;SI59JtlG>7!pp$nmjh1a7#@MAHJ;K3%98XpRwP>f>-p(k1PLgf@Y7N z`;-H2YAu_(;9%G~B&7{%6MKF1Lh-I^8f1Cgi`x$yNkq7W-Nl1<5^-Z_Y8o$^d|8NY zoOy`bn~hxdIGrnqCVy>rj#4<|u67|C!q^QsOHj=dTZLP-E{f7-u0vY|dG0xkx*EV& z5|Ibc*hxI8@ZZJ)C-eG*2?qaZ3SwGhd41rqhGG~XWow(9bao6)_oWgTBOZ^h^0`VConHg^kHo37VsU9 zFa3OY({txduH}1FY=El;B zkc1sQGa1|Q_=4l7P1Ac@hu&vhYoL^HC`Bkn(-s!rSG_<0{lSB^2h$hZ)yaM<`S11* zCxPxypRWtY-(!SS=!d0CXEfu+Cj~mDPzjp1BBqk2R0*1Gvdm{fv!QWVo_VE;rYi{P zMCo-;sn{lqYvkzLChW`P>2QSq0jyamV2^w{f+2^Z#pU2sB6?CG=^o$FIT9oLu~Gt+EkTJJ#f<+?DU)c+tNtc zptf$eXpwVl<2KGgqL%4| z)+2qd3s2WjsrWcwp_<<|+;a2^2e z1kc9X~b655p?qTs~g@LqS>RXV(k;EKx5_ z>e_Gv;3~Q$wlz+4{f!QC{EeL5A#v1vpL*(Mb-;$lHo%5Y9nsh;Kf3~qir!#ilHXus z77jS9H9qhlhoxQdacNu$aVy5dmup`=kzy7uq((*^PV4yp{^S3|!7g^oO?&T0lSjgd zgGJkT1UQfmzyk;bEyHQJ62=w#!)-=;!)Wtj@Zo;Fty%%akleZ(7 zQ9Rr6OqeZABu0#vsuDx`>wYm&z}8!Qh!LlOY;c!nPu;H%{$&Y1)m}5NZ54_flS#S4 zucbpQMXgbXsKac`I)i$>fo7;#HgMZBb8+Y>lz0 zS#l&)oiRMhx)5kzbBd0TR5yZkE3ZH!yZBDrSyf&0QqSIclyKa7Z+Q~R+yB5kdh5?{ z;PJ)gpVHqacoS&ce~3+!l(xZinr}g(d(+I^9hY4SGn7C0i*2#p^Ev_~-;KQWe{+0u z*6{KzXB{}lNMJhD%KMa%MN`p$4wFTC>~m;?ZG2K!xdJNB#q&45_9#&=k5tr9`q4d3*)flPgYNNKps`owUW4A%ea@qh z_8*^R4$F0Xuw^1HQvJ6es@kqI(t90w58Ji+U zA@x7j-h9@**_KU72)9lr#r5Irw(UtY&#Y|MH`uV2Hevr*bzL){BVRnAb{<+a5EG5M zsFJQ+4#k=C{9N&fDH4t|$JjTWY(X3n&e!qGV$&1Fgb6ooZYW-f2^MY7=e6pJVMIs% z*nK&~fifbR;niHcH?E5_XJ=nai=Je~$+VdmD$avFN zgO&xo*+SWG0CZ{38O+O{l0Hd|fNGKU5E9w{%gfo@p)qb&4{Dk@H|%ht>D8C#y8-1m3V5yDelID+ZUASwSzgomIif3 zk6MZ%TV7{4rtoYNOt}g%5m^axm6T}Ws4**6^e!b>MXf4ofDRY7GLc~hM6V57d6w!? zsqH4~z>J$(ccnQhoU8`QFR29B#2v)ga%H099hZb+lJYQ( z_-Ed-dH)Th%&$}A*^J#nz6SyBVIpZFPI`FE?=jgeM8y|eQ&>Z|+zbA2(79r>P)nhf zQ{PTFDJC=S{UOI6d==4Ez=sd;g?sCMq8RYK`h5((a-EmqK5FAuTga3sqaV))V#n`w zjX7)$-gpG~0X4z>Dg{j*^p6~5gFAIw$7$%zx|DqyD$tc-!!O50(7~-S%Wu{g)6eYo z*^%_mMvRrvZ^5r3h~BF!^C;1nqDtS#w_whW_%gy~Uf|%;nag-KL0U1d;W7LgW?L^W zapW%7_4HY}wBv5RKF&Iz6$fdQSZQJ9KEf ze4I#dZ^^LUP~_rw2$p!)7eKv-OF+HD4-1DH z&#aySHx|VMB`YXV@+@Sg<2m{hDO5~ak6=d4GT|%r6qpSUNomDTU`81^$aD8O`Z9CM z9b#8d9x#JRw%ueO7u!93KwlMb-R~1PCn0IRnZ6y9?T9TKpY6}@1Tt#R32C4)x;=*7 z>d5l5zxz5fi7(=*UX3Gw(+wqyG2^LRMp0D)yiTNwBu7x4RIt(mDoL?4rI_mzjE%`g zN2C+tlM5yA@9^CxJdIC?6{Ceb3sp)i-`0<*F8;oh@gPjQD5hw`*qmlCCiYVE$wVWE(IYc5{XeXcBsh$iOOM_E{o8Y`$WpOr=xWr&s!g;KFCg`aFiEIE&tMkT|{OcmS`1twn~ zB{~`z8Wpu&VMqkx6R6#J17k4yES4uu`B^>??6<|Jzxf>YX1uC>!z?kKEVJxF-}$`^zFvA;F|$U{$*6 zAgvU!LS7+g;YX+T3Z$=wsERm{?E5{JVzl{&$V{>p2Gd zlrYHuQ22vHhUL2{w21N9Z|-UWZVJ-o`+z?9Z!=mg(7h{(bGk&ksTmu4wi*Ta4ml6vXuS$4$(~<2p%@Q z-mf8Ge0dE=%rXc`*6Vh-Yrr#d<24xFR=qle$+6++ZO>=AW&w@bRWx0u8pg}PCJ<%en;NRtgtBIX(oK`2In z_K*Hi1)xKPuWC{%xgF8rQSnAA^T3GzSek_{JmBjE#KPnLrD|~mQYtw(0>~#>3pF{F zoKDOBrQN~vn?EUV`&+s52v{9Dv+>B9)QkkJp zic-m5nFFt6P85kY=@A+a_7KR=KT=*4Xvr@Q2o8o+a1#QVFkBeK*pmpD*+0&28DD%U z4hRcTQZOV)m|s$G0TvTT2&CM!#~uh-fen;fLKMgwl{7bowJ|UZ2ug~H-Vx@c;XWRR z^hMTm89N)4^ba->hPY?QiNIB%(l7NdHoc15%eY)876n0@#x*VDAdpq~`Ln>%8lzd)^`QZDHf^ zDco?*l-s&=E7#Dp>O61+(-%!{6!R;%FYP6nJB)EK6M4?B>R6pqHYu|A<*{GDscqm$biVw1BEV zEY^(+bm)Zam_Ax=Jh33gxpJ?hK{hlwbm*;yWRIxdY9Oz4#;GuP)~g0w)6uEjUp;pg11v1bo@T=IpQCsC)v_-da2h?qCO}ig8Tr zgc_P2UTI{N)b3nZ3k8V{847jb%@!K2jiUyK((YY?P44g#W0H2g4&+cO=A*Bl$J*g4 zHlo>_%!q9AM(%L2g*j-T2ZK#jIS!sU#@!+oCAyegYms#hYS`RS0n3qAq)8-J=)}U09O%|y&!EjR;GkogzTXEvEPrvYdOd2I?eVq!! zU?3P_&bG}r&Yd;R@cP(9Fq?gibe8dTYQZ^HCwd~;y?qrPlz1J2Z4LLU`XIY{(lPq) z$jy_x8gd#TkRfy*^Vt0X##33>S26cY3J_6QTn znO_w+Cg&1$4*-cC-5wD_KJyE%hs~l1WApzR%*o7I-*1XnyBzKRQ_M!(9$uX?kffb* z5jc_rlT#hc0PM&f13*zU#bcZv?fLChjFi<6JhnYTerxNnVZzSro!({ki%LA9=}0-- z+kVQqAlAVaF(XVHgee56I^BxYk*X?vBu{2Ya;6K|EZ@Ej(W_xe0K~8Q{4N$KHimAvTpp()lRv{1^xpICS~`CJ?I1XBRMK zIz&+ii$18rA2AplzrLs@*rLk!4IosfA_*_bYy2cQL7~)PYar-02QvVN4KPjwT)IRc zh_%?`faXu`o1)P2%wnXE_27f!*CA$CK+r zf$P{qIkYlu3HXTXdot>jx)#MFhD7>rMLn-%{;vc<9lA=|;K_kkWqT{ND+HXc$o8h*UXXMzJuhwqcj$ zCmFB)ckU6PWD63PpDXw`_n0!Y;OV_$-4-WsJh!(>JBOUPV7~*mL9>VjcTGR#3|cln zW#H8yWJ#t|lH395`sUWFuGhY&f+yyu5J=%p91yrj9MB+X98fSs7?6j#q#rIBso*Cx zGNDc=*ocP+$f=}=76eq-1yb(wQwxc=;Kfd*KbT}n9MB}Tq@O7qsbB*HQjaDm)E@wB zz9c^jLo`XoR$t zovQLFa-FUiPSHh235Lw6Bo{DU_h<(lQT#BJi~$G*#}za1B&T5xun3i6V3llb)ZD_e zLL!BI5NyHGgWMM3eu7p*d?tv3v;-!IE1`-5B4$+j(GmPc6?|e5hxDj(p|gDe1T+SK zB=Ly?~J52?$y30YW=tBkL(~+Se82Zg+q+ zXCLgX|K4Io;S&tr?acB>Q@5QOx^IKB@Zfdvns`jAMIQq2s$Be(kAHEy#(}RJE-g`eyCuZiVWAnj|AvHH$d;@NkRzRt<*pnB+%v636Y) z#i?uB1&G1pTVM{)oiBIwj?6H3)@x?7eaH%1cSOY>BuWfr3f&gb=JVr99Qhupqii9WicAX(z>W7I2{x9ZswrUUDS*P!ZS?xtz>&rcRKQJ^?$&=Pt4+mCiF0x~_I_{Iz0N>cf~zTWb8_=Bt-&yT6nBx|>pL{N z^N^i+(Z^}-KQz0pXAI;p51pJ|H8EJM-h$8kRW{xyqf>*&F2F;f)G@kT@3Qq>t(Am$gAfqCd@L9(m~OMOSU@6r^j?EII{?<-q~yrLL`W&GVT+C+ zz%)i$1yAWu>9BIP{&lubwDIfwGBmIV3q}!VLs2J9A5O#!fjjB6o5@Fxg%Ctfz_d!BgD0gOp_i-6afR|~is&xUP{25s=E{2-zv{ob-m?$&!QmK0sC^w4iEj*z zf`L)}ShXPPxbZN&$WO*ey{CPPYDb}#7YQqhjB&}UR~RUruES)1o)u%e)%}RIWN;r% zD?+f+NVnq3JS|t{L$-7b3U^M#dd^79K;z?fOh=VjK?Mm%VxO}V*H*5A zl88-x$C{ziV(!bo%|P1f=?E#1Q22~GPNKt7)K${!DDdwK!&iP`$yaVR7IhEyyrG7G zCV5SIFcU4Y9{m=1U?uL=|AHNZ@A{8o6x6MeXISV~2NI0LhkW;s6y{hba`OoWP2ypF zI2|Upl7KWwf?gv<$k=Bo9r*kQN~=Ck7iOoOvh+`*!+9|k2>Tayqepkfvm~(saloz# z6d2O6?S?0*vv9<@Oan77<*uD_shD64*}Y$n78o~?7+CSkx-ghDs#1roKOqQT(lxrj z+%hU~I2;+o=m=97zNA}29!j&ZNVp)gBUpYY(x&xs5Z#KxZfq2;?=kvpCR}zc9u6Pb z>+wuMtOC1JUy3zlokT3I=P|@EAjTLLJ$`m|SF`nXI%U^=$M$h-a?Ag9iSf9>(9&qq z`A+L26#q_mBr8Vd3oGe|AYyF1R%PGY#}o{+6&BSCWiJv|iHAXpt=xJIH-d@VLUBWG zH4F|@ic0TgL=7=~A=xpy>dntU}blDD365IkzMeP->YO$-{77i4m4O zYve2UTb?$qts@WI!l5Y`W7dFB>F^1XflKu?Roej8Bs(J$<9ew$= zuymJa?Qz&k4M^GePt7>=Cq*|RvMn(UrvWb&|M`P#TFFQ&ijKI1D{@+HL!VN?(_2CG z!odqOX8loT0h<$-5Xiy$7dGGeoSzmX}$!6d`5S}L6`rUe= z?WO4ikG`~&y;3q&Gd`_{?)sEnFh~%2W~SZ6qaN9QaFlt9Zuz-2mjmj%d-M8r`yYTX8YjjWvUqb z{%t=)VxZI_fyF;GqR^Ai%Sr#yX6aMxy`)0N^U0w67sCHO zLtyaFxbHpS?0|g0xesvv^9%tCTO&u4xJkKwM#P{i-oecZ4P71qxtgE|ZAr8~u6m`! zAI{eM+~7C&t+@(99rXw-TVoAtCsJ#5HO6rtQ!ic3f$E9%LK)c(R~QHJs8PL|?sUYIfMeTwWrLDOjwhG@hpVrEifdUG4Uj8h#jnXX;Er+e@2M;aH$-|Ztz@E43Of7S2)sE<_2 z=z)ZGrQXL3J7OWODsdKU7$8)u0WY6%?3bS8Iem=Cbj@obopr-|qU7ZHQ*c8cr@r<+w64^pYa`+Kvm zk>e5j8}pWctF5UcH#+?4Yk`3(S)^{^*GA!|O%)?8s(EoEPm@B;(s=WF@pffuWtMhT zs#BJB`L*IC%9aYAS>_1K0ndyaD00;M5^bu>Bc-wp{?R%pw8T9YGzf^0*g>X9rwtU< z%qGwCY;LbsVOpsb{GkU;B0jQOkzXAkq6+rvKRx;jO7GwS^Kq5cmyKML=LhDa{p2GbZCk0y0rs?IK057`$2v_n}(OyhXWG zCoC4FMFbO>TZp2l-A#5R;bLwdK8>$0L&oST|Eev|$UT&>ig++qJ@)Hk4 zK;S7;{7S>HBDZ$II{-KEG538Jj~beBRiV=mVnLl9=EWT9aW3ZAaXrR8gle(3S6j$! zJKq;`WT#X%z1)0|A9vXz` zjCIT zl!ZJD=oj(5&-u(dsX4_cHaS^UP>_KulM;hE4ew#Te>80QY(n2g)DitkKwo84fA@#7{F04i^M(6WKGlvQQ&o&pNNbM$MAZ|Sq0v}b)SSVP zKH|`y>Fmwt5BtFR@;fm@9ZPLGNPuk7riuZ#1;e{StId%RRNG*OdpQSB-y)OxZC+ zbGE!<%V`HYd4pTo;zbva5Dpc`hVqom%R0hzo5^`{R0A zS;r}Z>v-|7>`E=G#|Eq6qAz7GV%gm(=+bqOh~>B(DGl5@XMgcHVu4}RhuzX;%k@C% zGydjTr*2W$*VaoA@NwN` z|0zx`>vC~21YV=SMdq@Zgn`k?A*as4ep8W<%gy#Cbr?bcotW{{w8i_SB?Ahjc*4+y zupQ_EJ`54^t^if=u*vLn9w70~n`M*#)@dW<_ZG8OuUYQdaW6wSdnn(H%>UAzd(v+Jd-cUnKpXjIREz>LeUAQSKl)K z@sX+e#S!+XiNyQ9FAY+IDE;1j5((I!;Ysn|jO-TZND*+F90eF*LV}fYISDi|#Zwy+ z5q<&WTmt@cF$D7?iQ<~AJ~$JJVi}MI&B5#>>Z<(y7Tb^gODQFgjz0rB(3}(farc&6 z^lgK5spjnNl^PI!2G)>&v&=Uoa-`34`C{iBsYNk!XUh;5R_#!{!%VYOqAp~roSsqW zu%xq*DuK$lfA^Uv(HPSD)&!TIDN)32>*^{Zw0y%Jn&A}u&Du^7ty&tXk*HMh8CF%kF4aRZEA|Bb8)^zxcZ*>e5u;>Tq+~ODU9=Ny zOhWQ-WHsK{jBP*_hDMm9*lc=vT##lztbx&ld(3a}SS0;Zp*X|vee~)uK~0*Ggl)&- zbSiUP*yVoyADztc8}YMe%FZEWF|V<04j5?k@}iZNomFjS`{)@ z*d4XT_?H6{E6maoxI*H# z+GrP_YZZ<;Ya=h?e0wDL^bj%WzxN-Sn4+m9BjF5lVGP$WMY*t%Vbj}EnN4~L8Kdhf zRba7^wr5<;n&@+!8>;?%M~t6QgC^uC8M15<*H$A@(i&8gOTnx7>F_)@CHym_HnuyL zS6piTBVcA~MQ=*g55(Q~w7-N;1N(@M)@WVhuuvSwE!qj+|kX^ON5)(K2 z(WUhHWly+R@Or9O&xM&$pt< zjRyqI%%_>T8%Q>>_2cT)(e)Rn%sr#ef57MO$TGDme`OLdC{gD~T8~yzN zJ^c&6>3gd*{jgNUdMvfj(gYSkZdL^{MTn*jNefAJsra3^J{z(Yg`%xML^RBUB6Pm4 zO@b`UgHp(be6pwf*JzB$s`penCy^yE;sxj29X}R+%8qLByuF7s+t-zgZDZ%!?=v-Q zBi7xIJSis$tGQ>&X|Xhy3ZnV$ic@mVX;NZm&Ux;!KPLPeD8edA->XSkHw*cKTCMA%_CSLrd#=mSx*F@Lu;?MD-LIL? z{R{94<%3&e_*mQlCJ-r~ho)$O8IWs!bmZ+1s{%zLmr4o$WAZQ@RsJ?8n zzcov?TYkh3T|23kQ!LXlLS*NhMWQ~Fe;p&quqYEJ8;l#HA;RR>jP~FL%S0lR-B{P0 zv2GL6&|})n$WBO;m`|c+JuI%Rold7p$j(}0msjQ1hnSdk54lt=lIhmDJo!iKY)%64 zt#`83jjMBYM@IGJ@I&=&6snH)BGdI#oTOJ{tOK-}H@6)j=v~fXI(_eTrN_ z9ZmyQNkiEQzxrd`eL)qD7|mPpLZXnxcuXSK(ln9jvnJl*>2vv;TbQ@$H9f%a+G=D@ zh8mRIA7qF|yS>O&#@a$ymhz~=AqB1TYpv)&`&mzoceDTppHT&g174yOU){d)_fXqd z+x^CHQi~hFdvqu6jU}wpnY7|hxZ6)yE#8$=jSet3}YVR$N{u$Z&56RNELFyDDBMNyUWVgro*v_)uV}h-yw_zbEyP2{#+}dgNi7g(-DLSS z#?CwUt$_IIQ8?CG1wem&{sI%-wz}4WQsNsX+$@Sv)^l5y1}r;j#GTDKU-Ix{(k?}EYC^Fk9miPs8$A3Sb9A_f_yP*d&Ryra-}Fu%xYXZNyc+>?xE%U z<_xext1+`*Fl(D4u8d`-w%@3g30$FPd@UiOvsn@Tb2~1P#zZIbF-C8{gWeFc3#gA^ zo?QIS9)a`Gp||%$)ew`?Xhme%A&kZzk^bb1IsDoXqtICw;eTjh7&ALGY%-?)9zhg~ zZbcOHjAh1Vey_%)b%|Rtf3gPE1fr>5G1aUDu+`zd>u9W=wHD@tq|2!`>2H&tJ-dg;uf)*-+cCy3R{IzC%@8E(X0?XrxzS*N{hIoR2g(lz zQr!#mz?kM&TodO#N8~9(#Y1f4@{iiwG>(ZfvkqF=-LVa3Esz%1XzeC@2DL~y^+%eT z@x)hdJ5K2D7%E#dvyE(LU&r2;r1^S1@JTN`l8rYQE>jMtuI*@F!||>z3q~(F)laAz zMzfzYm-%zY@VvHzDp!Qt3X`K8+^WxOzJi~{9A3XpNd3m`z}YJaDV8>pr!7Lci6MXS zC3jQJX#7Dk$qSReaB5;6B9+3dkK*i5d`y&-39%>t>f&(R{F|0Xa40{hFfwdj9P5gZ zD2cWrO(G#ev&1d7AIrs|7?CiElej++cX~Wu#INZoJ(`*q-&Z$`pL^W=?maWeI!@5)z%mghYl8t4#lYU0bufHN_SkFz># zg)=Kvk@am|pBa%TiPUJsKjR!rX#47(LwPmL6#gHX)?X_7>rEBC)+d z9lkt9OTf9RM=hdpSce?01h-54kcd}BiS-3tlMuIA=Bj~!k?!iGNBCM}zsDIV#@mGzB8mhiD zpaiOLg)dSg&olhaO|5ORZp#sSAARlipU>I+GF@fxSm8fkaGCyH>}_Bjs_|Z$oOFE$ z%K?|MxOgs^XDDw$)4-W9j#D(EInhy{s+=KiC=aA=P#{Y7FpgClR%QSwV`wE|D##+9 zc0J$VQGli@S@n4#S)ue+L=-ql3MwTQhKKlr)!Pl1oQIXu($6Pqu*CX{x_F=nZ|1L> zyp$Zz#czMdnP5n{98+RHv=xyEaD78xbr@SIJtiOJoTC@NU!kbF<|{UZ@YB-ojLtM$YnPY{L*i?OGXV@c_KlVj%W5pPEn5oQ;;Bs$Tg3GpK;oB27x zYpeZd0+9~0j5M?YHC^VXmUNR3dCN{!AbHE2ZT3JFCZ7oSWX6Ex(cDjjqUgEIZCyx; z9#hGHimyg^BGN~s;gFn11mRdbW%CQwLcN^05OVF%Wh7W~dOXXygD|v&V(!RW`Z=b2 z0TQP8EEr9SuOW!YmQ&Z;C|{F~J(mi$>~G?PRYXsNtD5=o#pH?n;q>lY`4Z`|QVB#b zB3hzlbnBR71v(?a@TD=PUW2jbI<|5lR7?Ta6uuEFoVq6nc7SWaUg zB+*O%96zX1lJ73c%+gNQkU3q{T3G*ySWB& z_S}1e3tdH~?eWr1&Pxq&#aCHP3Pit1+h9vL8@gz});0Q=b6Sd z*ZLg>-i54X4%mL0JOz(-LyHFO{`LF?cp5UvJ{_E85+YbZqbF7Ik-6|SPO3@L-Qc2& z1}~OqJ^N0oC23VcQ#J;Q8mldiXJ67ztE|gwaYH|?7Md{zP8o1}k(U&soRFL$Ez5gb zoIE756@#3P9aJGTP8c#y_hl;v?=VSpErnc{4`2e<(Bz?% zQ8{4?+5;2~m9lHM-E9a^^_5J&X&%z#>6gQl`>${CpKO)zA9lfiGVz~G53LP>lcrL( zQdJ=jwc@Rq9(d_^P!^Po#(glgQ3sZ^D}ffuo5vFoouG3v>{b-d54ATBc#la~H9$9= zKN*c%hqFPMcA|KGoJ-d@Z8(CqUWRQLY_7h%Dzf#=LJ5X;@K2fUT_pgV1;xfU#MZYd zbcN&7KpD5=(;c2G8U-DiihA2Ds2s*t1Oy4AvF&d}Qsjn{;Juk#&`c9q|^D(V?;Yr{%P90`RU>UP5Np|`l5efUW>W+3{XzOjhXgqCVwDBg~S0Dd9E952j zl_K_Y_Mi9Qe=P#I7#go%AO~FDGD8v!Kdef66pf5$mb8~X+!m_9T7tn3EXh9t z#EkV}#omkS9R;^OHbJkQ-d-PvYj3FhciX}rFSZ=Fg9?t@KPy0ZtxJKVYe&HEE-xPN zQfEgiJZoU zk7F!#MsGOnQm9}>Fkf}u$7U-Shic&Hi;74)&qF8I#W}&##!%zn3)^7Fr_Awk-uRJm zZPWQ~6XEj;-N6ERLH4vj-jub)wY!D)x`_+@_`}16dhK^tQU?###ddOdP`Vf#Zv#j= z3t@=X@eVgdh}adWTR=1NOfH?tvq`pU_jl?5E(|vq(r|9Bzm(uoLdDvT&=|d?dOd#*Ji~!8g=T1qa8%DoIlUC}ls??S@{npoNjV$FRd#utd3DhLU zD@&r#&s6Rk$D&`olD*qU9;8}*S5So>*N_V2Gm+A0|ANzAhrQ#lY;q})-xus@Y6``5 zWQ!F(`2tmGn*^*6AF!q_F7F;Ur=^eAE`Y1en%K5yDO5T)`aFB3}5r#%` z;rt_5?|VmlQwFnSBQvqfDKo#2C4PyBNY#)LCxY*-gZAy%K+!p`iU2D@F`phtG7DGz_jNYxb zpeuNfLBC@&A5*Dp`p~XO;#uHkX)UF6{-dE|!%so00Lf~=(e-}T|&Z=o6!PN%73<(36koUox!=s5`3NrN%{yu6(ofvC+ z1^@hzcWytB^|8%HG0#+1Bxs=kn=xA^`L?lX;$2z&ORE5oNL(j^F{XNPt99@jjVI0R zk*Q_CVDLmDbc6*w&`f3GB)(K?+<^!W#T*+2+TCG}6*1L3ZGpg~zM|2+%#EIrpMLVY zYhs24?P~6(ZWVPxoy@|XgS@a6a`%d-ZpBC zE$G!AG|#UOZ-k*fCb`6baIzq?4;7ceo*a7zN3bCIe;jS_K#UOJn^jhtTkSd4qIdhs-PKz_e3G@mmXd@ zn^`Ikp$qDEaR)+O?s>P~%|P({l@+!+`+mr9fp6xU+UKB6b+Lo;7^3Up6YM&H&5N~4 zBIUtzjKkw===@2ZYg6=zfw${0qgvL*HSLBjVIt!h+$3@G_1c3Kd}Rj>ag*{3JOiTA za=Ot7fg=s&z-DymVwdbC&xn1eM-RVVw5u$+7C`02!Tp2DTI2^*vnV-@XV~iuo%!g$3*eJ1{gHd7CEr0N0Z0J-lAhKdCQjhO+V# z$dhQ}Kh@Yq9pNWlxD(`CF0ogYrbTL~iwNT}$Cb$&OtbYrraL7@!ya$N$^9VrUD*pi zB21h}PV~&n>t2i>1jiH1P8C));*|sU;yJfL%DQ_^yJX3@!fNCd0$Q$R<`;T(BHDZ5 zb5Mr)3AbP=e;LmD5|0EP6guP$M9it}jUBLWwhFf*nAXdHlBg2QMU3;81gsfb1W)`mf2 z*%Xe9zhmH!rGUJ;a)}rBy*S}nTs|iy+8DK|J;F2sAzFr&MIsXWU%8|444WAY*#jI& zs5CH!1M%!+b>+QX!wT?0Fu>l|Wa=ZCzsPlmcMn9y9s>!Bo7oel^-gRAjM*&|aWv!O zr_oGIrR-xG)dhu>+zRvz5b@37MKXja%tb5*BmM_YOTuk^vm-68eFlOq95H_z7lg*& zyY1fXl!fbsyNjfPc(%9aYelVpyM$SiGB-(*9m$=(iaB6{HiV1SKfv4 zC}BzcP$*2fAZu>Sc_P|S@5Jzwi9$n>qrd43)J8z0EqEp#-w4ghUmK9sB4G^pn}mGG z(lEU=4NubCh3#Gv^WEG+_%qhS`}9TVJTHTEh+X0y?Z{Ot%i~f!e-lZ?9OCK3Br6Qb z$>FzKkvPFE2wBy^5HHmE0`;+4wt#k&sk) z0l;U^y+$*b(gRPt-xA*@*xJX9RCNR~5qniouuy{MoJbj<)v2nm>%**u#{O<_nxnuw z@YK#Iu7-7xW&S7s9ggs{K1^5L| z^r^P+k)NiFITU&BQn?%pQq`QvhRx})N zue=}!e~mD^(|2I(w<7aaRDV@sIAflALpxq+u3m3c&ZmyA{QR*Y@^tmiqfux?X7(z1@E6JM-bNcViBMOQkDn z7LS$9z0&+b;>4C9>LjNwRcn@eN}k#H*M}XrlJRMWu9$+9_*lbDV|jk zn47DwAFjeuqvR|T5VIsot9MbU=PUJ64QAEr0TNcFY9UcV>K|yUPYjhS`(6#F21={B z&ga+ZEOv9GQx87olc~!C%lCCeI?GUu0$KpR5ZtKx`Fpmd`P#Din@o~MK3T0tl-D8t zZrETSTx(7J%#&N3kT0F)s*8xZ53*XYv4}XAw@NC4!aiEkxJoeXaWU%Cx5It|!7hDx zn!X0zboCsJ5p(AN^g$#o{5@iExRv+9*|dJjvxHwgWw_=KaB5O>Su)&h4EeID=d=rv z(o_j<=E!5G`33i4&rZ&4_;4w7l#gmDMhUoo$Gqn4M_<^Oy$mu-D}{wZ?b_!IhejqX z_-GKrPk+~2*&~*BSLH#$Cl&+lgl$6dcms+QxiaNeI%7~vB^d8Rm7`d#dP8?DZu~-p z``sC)dX1UI9jc1~aIQV6(u&5%62vQBn3e0ys)PWkfLnq-*~jqjUiGj+DYPCZoxRT= zB@bEkf2C#$fJ?3rjT6uC@S{jJansdnauH;s^^-zc5ZW{TcJh;#P~s)}ZsfPK2j`FP zYfl1>cRK9v|LcsH$RInN+MnQG0$bq!`}r+V8r2KfZ#& z?0xBz`t$PoL>Eo?JXsw^U~B^EpbMrg5dHOg^sjD+Hagrq!SzOJ@x&d$8k*5WBaOs8 z)n=O2n({R}T9FYes-T3vkX&}VoH^juGKl|7EFr<&gJkZWsS`3I(b#^LOuch&B_c3l}G&CM3)1=IrRb+qJcn;pG5uovg+ z$_8j^ImrstvF7KiQp4v^bMyDt6P`&`a%e{j$^vKe?aQY9T+YU2@Y9!9G)oo#7$1X5 zQpXGK4Hb*y_TLo>lgRyEM~~|=Cr-AT)KeMTY8q>^i>_xJIVlEb-IRuTqlIYdLCrk0tJHxG7P&OO0FjxV|d% z7mA-$rG#TU^^bS}ZM=gjixMxgl%!Zvq)!;?mqRIMUKYANjEt;Yl91<07*5=krBjRL zhfYQ~eIr_`GAT(EFi{(78(JGgzRtH(2jyPdsw=FkupL6JYH*De8{@J@$d3rtH&V`? zOg#85vZFX18)_zuE6#TxI-w+pV}{~H7R*kEQ&zXMu1hY8=NJ=zPR!&AD*+qooXx#m z&UL+$PP8fZClvQj+&Pu@IqJR4OXpOS_Gj`^5_auaa$}JdqF8d{<)cXNC6A5DZn)~1 z0JY9l4LynSK&hg52X~|0Y`^yU^>&DS%bf)C0;kzA%6wL*^W%u}{pW!TPE6ta5~YM* z;{v#7uA$?0sKpY$1gOKbGz&w#dDVG4*0gZ4eMey{17yn#IW&`SHasb+jBe*- zFtss7C0ADE&;<@#m^8nUhV<~0VavR_0S<1gy}uRm1^FXvSjsQ%2dk$RjQMsPsq^!O z{1J}sml>g(>dR@j^WqM(+x}yc_Yy*tROMwCctTMjb((EqiG^N7Q#E68R=9+!Et5Y8O$1FTEz;RbG-4?_HTwN+jTaw^x`c zBB~h7Tjq@nKF=xs6?Un-t|~4-QXt3*oJX&h6o5^GWX*eTy#O8~Ag zt7PnC*tUeZLQYx=x42?(JP$_-V>7do(xY$QYN#UNn5tBJQ)^XC$upCgT|T23Z@y$k zlBe!rse5E#C7lyLB6PNzF>%>ec-;M9Yy@+j@pfJ$STO-#N;t0iB!#&tV_Ac@|Cwbj zPar?GKz?`F(QK6EG59AvUdf82NQslU2;?}==cbt~gcikQhV&X0?II|mG0w#TrQ1e| zQ9&dT=Nbh!b4CT@{w30=Yd0snq_e5g^OCtYN-5`;^=ORB>X?zE!?vt?wJX|cI$T8v zrmmO@iy4aMTiKi#CA@z_EF?LLQ7 za*ZjwAN=wX86vJm80Wd^%ZhmlHUx zkhik-Phdqvpq%{8wJSfMNwpO3r_@+pSzBGs@#3YJPv%aKm>bHMR8sOX=*1cpR4S5# zSQORbm3y~(h085LGiO$eBY-c0&z_?kapZ9wx$3?kQXCGs_+9eTyhaw~i`}TXE15Vv ziTQ@2!K$KKPcp`O={%oHr#f!5wg|IA(cY*rLdp~lz1$0V5DPrDV2YR_zo(cMy&Pqh zlo>h6Oqx*vvrba3_=`41MVmSIovm1GtvvDph%iYvL) zFl?4?1QGM^vQ(ui=}%-j%>{oyDn4Fx7NG{wLYz?HF>Fm-%s=Op(&AN#@g5nl(>BjXI@t zx+{-~`p-rkg~iUB*Tqf8dfxW^ROe;KtD~#x?FZ+!{yHqJrh4!s?(+HG&AoIg+RM)e3Dw<<6$01`A|DB*vMa64Z#JjikW~pa% zWtXZ#^XZ_HL8VO@{SK@=VkZ%0M7$_G?l?n{Om3*u5uqVkg&GeQ#;w0PVAmrAnZR8m& z>3e>k;>p9-{&_b`7@1?L1)7Zc-U?cCgHXk6h}CLaER|K72)=B;BadUX6NM7T(W%5!-Vg8MyB-jQsmPvU zp}37Y^#}^r)`k4akQXZ7*KBs>u1eo+Atei@U&yA)v+|c~h>;k;2(4qNf|s4GRr)&6 z1*K*{?{uaI2{9mZQ_Sl3Z4wTq!S@e5U!msStQX|fO&s0pRxLh|u*zq!5*>{muYjhD zt7B z`sy!1(85uH(4bR96qTUfzR}soOIsU z)>mc-;ixg6j~8QGDO1SBg7K|X2Npj@X1~cb;_<(nh)nD$jDB%ba2Py{5TMhvZl`Qa z>QAGVPa{fZKe|oo@xQ!?Oii3dkSYR9x_3ixPn9;1i$TU$p>>#=-WA5OpKSf2D3j?# zFPwHJqhHehSh4vVUyamZuGv-?PkplQjp9rg89|tuag2Tze=+IZ4I$qa+5E5tm|T@s z;Hff!&6O(sQJ(hv$1OaxGSzVew6evq zSHJe;Sx7=ekfK9Lr++6!*SrwqhjIWNV@FjBuZ|X*6?=RYtr~@%R-SlI3w6D}lLia& zLOFA6m33CNztgBBaoMUNrQSLglL}A)S8E)KP;>Ei9I1lpCGq(`xR4#_>$|a>f zCrQovhT@jZ71C@VZwY6X@FS`_M6SwZS%$V++O3X0p4?ddnC9>jqpyip{hx|=a>U^% ziU(oi%H`#Ch1na;57|%`&TFi_w+&S7YUk8SRW7Nu)?TUw>08uGyME4AR<;sXI&egO z+0z5h?;hzAA6}qWFwpC7(CgO^&)5&I;1Aw@?=0TWCr>OoT8zGWsrGO_Mv1Cw%rPM^ zK;FwiTL52@{p&e+sPxvIcxnGZ1pgq4e~{ByN>xQ<#eoA-#p(0$_eIoqN&nMSFV-F> zB>?(%OGVaWSo7zj#owS$XFTM3TIh9Rt7u1)NXo))P@zv_J(PO#oZ2Jd?Brjs11^JY zXZ|7Kw(@VEgmBH=r&Lghr{k(V`R@px&Xc>OtWy-7hl`|az$cNBluh^~M5IMCgXkSe z!Om^jJbyIYe{ptPdHPK6zks6EoEP7|=sNZmdru6zk(4h?#*#Vk_`8vQ5tdJc&oC7c2E=NyyB2}JE9uvQZ#TalgmawTdBtU zJLz|^IGPUw=>1JY$Df@V4+EI})j-?K%ho{i4kg%?Geey|NnIyV7rO`ZXSy@$p&!#HArhjeB(%gd(NFm0!B6-!zMs_XliGh$^QX<#=Sv><{hoS_1Hae1 z6MqYlQ=|&qa=s?#x`VBiX-dD3>6SlX;#APQfAb;mr__BBP3^j%>aeyTQbEr?2SDDx z&l!lOyoV5}ou8rw?_Y{kL{sGhh*bY)A5v{z*LXxo_nLig=2}LfI12o!v0*8JI1)B^ zspzx0*}NgBOAACi8^n!GJdeLTPrvZvxsec>erN6Zr55&ru^lOR(9gsXvXM*qt^H-b zw&P`)0L5(dDawC}$oNx&eNfD|S71^s9()e4_)~^GP|Uzj5#56i z0fm^c5KVs|Ozh5B}6t1;UtaMV(oo&HW_Y(un5xk8zBs;q*u-y#d#`eMjc8 z20uy#?$8^gsMJ9;>14TB20T=bTKu{t#N{zBRg(vz#1}c>odIhte&dqNQf^kA2*4-` z9B~WX@ z&Y3a$8ZV9Fb<7MdF5*wS?%CvM({+W--~kBS^xwAWzxvV3{Hn&&Zht+(C!=yin^#iu z={+VZABaLHU(se%#ov{6wnsBhBBy5F;Uu9`T_|0Mns_ zpspXFG^OY6xx(m0fsjB^2BACt4U5nn{|hFe zJN`dZqPA6C8GAl^@5#qs<|O$W9ga1583)OUj3j`*jW!9q$gu6A)=Z z-+*_p2d19aDra(G?FQF$E4gUEAyeN-bxVV5mGfzx8WHbhymwUKUd0m!@Xq4kD13bX z{{hV{X4>fgg@YZPdJ!wyG}a_3Dg%e>#dEo|&4O{8*@H%-`x>L$)tYCk<+lb)FAdgC znzYTtaU0+@+u5g0!??}rL1X(<+C$NQIICOWQp0pFYiE#^7vkz0Uc)nwgm=%F@|e2jZg|^el5X>0ROt zVtT*LI_)cY2l?{KuRPH)-veI`AMYoQu!;iV1Meo!r#~#BKmg#~-~qXPXXXmk6X4V< z!i?x0HNdVD&Et`3+k~X8Mq=dMesoO*nrts&0opC$mYREW0r^Z9gG`X zVK;E`^Gv-6*!3XTbzj(ZVA$cTQ-rrbPn~z%1F=@Wjkxj~smf8+PU;m^1(K|tRMicw zN55Edg)4sH(|prTz&(r3`G_@T1(L>f&r52mu2M>LUg2!`CO7Rby+hC4V2F<66bf2 z#)^yn`cL-gP=r)9{}KF@m^b%1L5qwmYSfkVPiFCD|6yk#e^MT!$PZo&_au*bmCtC~ z3`K}$`D-W5FPG1s%i|i7@L}bs+Y4y>TL9%(ybmD?`JZVv_3NRX$<^FWy+~P{9Fy<6 z9zCcg=pO|U&x8zQ|eBmt>O2$Osy(Uv^y18dwvx>;Py z8GbbE6LwA`1*J)(o`KK=r;TCMwf{~_v-VZ7!?|qy?)2fP^?dqqDfbZ56`F{a6wY9W zdX)1j2UNbKJ600eUgT}T`Ih5w8rt=Fe$byytIZu$m-%3JkI0QcMw$f zt4KOzI~9z*eEPYkU};*LGL=u2QPvNC&(82pU@X4(^G{ z9r6v82cj*fb3Ey#C2wv~NFEwGk8w-lpHBTEAr+MIV~tF?)}O~k=`NpM*#POGx0h=_ zwaR4#_O#jJkJbz9Wpi8=s5*7kwjWkwb+sotptPLS(r|>(t4x>(y?BSX%)9L1p}&+7 zmCn*fI3EQJE8+>8kh!PiZzwu?ZUgZwq^V}TcBS%}SAB)hJf)?UqP-k?gb%qw)J)o+ z8~MYphj|jN)A~X##3FyWa*%?fb-(I&;rn7-iTr}xQJu~ZD|Qk7SCjd0s9LBf?khwo z349eHJ^mAi!3IJuWceGo{~iL9fMrK}a%Dy1+vLiQnbkSndIO=5JO)C3xvEI`TG6W0 zzp$xxh>)nTGb7t)U z<3Ihw@ZfrcPBvS@F^*x|X;@!M==B|6WQl}NHo4r(6UGy<>16XjJn@mJsE1hOSXKJ= z)j6eSq_`;LD^6Zkvd!_EzdyVY z>kEbi&*%k2{_wyKob5(GBw35|xZ1))m!=1UjPQX%WCm;KF#VGYGk{5&_c4$TjLUCj zgLyFZH%jsG5pGf~_=^=|m(`OP`2<2rd9^);(a3x42@V&s49}^WXd^v~!$RAhNt;2M z0a)HZYH}vrV&^2z2gAiT&zNmQp_}#DsPWTAc#_*lx_8)wbL;SfPbPtF-Wqj1dSC_{ zz&?I<5dObA$j)+GO03u>^uH2J!&SLh@g~;4?UI0&-RA-v?!ON5ku=!LM8Tnc7!nh} zApK;IpQ5sq?HUrC{0;^~n=Ui=x6{UZ1CIwE8sPQi&6T&s|J{|>UGDkXBmQpp`3UFz z3G8Zj(*)ObcLMuzz4`XQH`%K7+yIOFhr$;CXuHoi3b%FHfRqh*_L9c4MH>Z{)NOf} ztls#(4f|v}<})fZ@!}J<{d@{h)oyUe&rM!y@@R3U(fNjS8ki;3x*f1qX%~sjGL%94 zhs+pK6K&TvtN!9H^L_-sxodK~4dJY(3HGeV6~#6`iFU_<32+3^?`AV4v2Y`)DCx5^T_=m5+Am0wLe5bvu?FJ6}Bk2!a>^6>7Yrn zJ~`4HSs;oP!-WoW>Sey+0$|zU8uY(&i99ttJ{jUz2>zUg%%5%3;f#37C)x{ej6}k5 zt(2OnOBvG)p6p3{VuyU|ETVAf8V9mj^0#y`Ss%DI_#)I+B;|K!^5TC{^Ik$)q<82?24HE zZ>|uuI{&Y*>X2{WXdpm;5`CSyI^#H{#54Y#LAsvZdu#Ku*uvUmBSZ(As=P7}mYJBn zGKH6JnY{1_-aS80xy=XuqSn&0`DFpV#<$@A&kv|g{*Q)@E>kpKnt|U1t6BqsdZzAM zeeegyA7RTBSPfaiuEgiYU^1`@L5%~Y4ja2yP=sZ~Qw>WG32W;XbTfJD77`Bo?q=ed z+9ujPHUFQ?exJ=+j4L1-L^Ts=A#bkn-;Lxu3-6?%xVQT6v6gF>EHeH2vkOe#z}a^p zPQ!1fr_1+}qM3Nv?Fa6s1DppC%a}T#Spz4_BzW)H0)cGtP}E^>*Myz|&vwUAh)>X# z4l>WzeY{uvt$PrOeA>@GwH-J(4)>SuZkulqGi)D!@5i%_cGCcuPX`dzL%oiZSydO$ zP-Q^S@8itQ&5Og1Ll2%ivhpCW5%(jg1%XZo*Q3YwF1(jLbXd^j<5c_O7S!ZzCp}(^ z#zY5CbGj$6F8wp0Cq4t(djVw*aeKY1>9|cXxMpFAfVUPH`*l?(PnSBE{X^T^Gf@ zxVyWTB4>5)_dDPF|L0s+9v#UfnMpEtGBac(Z7-#v=Hi-$2R>sOOCyVsy&Hy<@0@o8 zH{Jf?EXcJVt__0O@g11tVjf@nt`w1;H==@;&jQ%!ANv2h5kz*sKNQVB{I?6#F8rSy zUlNxB_8$y&3_i9;&ZfQJLMUquNxSE}-%&@A_aPrYC~H5;o0dLYOP4;3w;#65y5Gwm zUMy}6UVq)5T%nT2FNth>^y^y=e^7c2f8d`y2h0n+lb-E8D;4j3^*J&{}(NYa$PwaFNdTNnn~M&)3a3q;LF719FSNs_32npakXPNod&wZUM3k zP2`C!?V6FGHDuf}K%9vJ(on_ETb;@la_lJ#35=XCaz-dCHbsc&ryLyoS8Al^dJLFX zEqF+$P;**(H`@QMVk}Q^acgN#_b+{E(xHb!hNOI!COjcL=A3P0wWv(q;ZD(oOR%@I z&|ZFIq@Qa4s&~-@Hw3%El5x*6AUgJviu3gUEFI_h$Uj_$P8tQGPqk&}(~_fQv)e)i z(!Nv$(r*T&eB1i>G}iakJl6MBaRkVA^T&H9X>k4nLkXKUxx3Fr$mAN28o{{@Dn)bB ziSeVF@WK`$vP!E)bNY|XP^~MTa~tlIqe;m0#Dep<2soC+74LqlJ$c*Rx6shvV{YEy zAFiy4BUC?XP&b+Qwuji*%WTeLkPS>AHI`P|<}K!&=>4kUKkHXZsJ*OSV}~rAk)=#A zVV1sx#;T>)CBuvPiAPkz=qVy-V0ZV(->ggdwQo0WqkmTlMrIoBq|m}WItUiI7KHvd zf^FzRjW+mQfM|LVO$(Pr+DZ6HEDzn^fXvm3d&gm8De|MQTbf1HGbZ!_)!~9UN8wnM zec)sv^6K7tFd$l-(!WTavPXc6ql531%$VmmY#u!{&2j^2UPX)eyt7r=(_MLa)jFry zeRdb03Ue@-1pV-07e9f|glAzcl2mOjvR7Y<(*IhTa=Vh~Qw6RxKOHTal|T&T=P>3c zZQOMBgT+Wv--SqK4<~fpaLEvvUxlNoUh~Z%<@wUD1lEIBt^_x_(v%Ui9Lc|^@dCsh z!*<5`f?W<&ph`jSh`}z$=vf2Oj?wZ0!9V~2Jqwo#B-8AmA`)13;7~~QkKq)_fxJ)4 z*OT2mzIWP$Jzm|&TSDn@TUb2qcl?B%?Z1ZXH!V$gTUVDS2{Gz32Vm?kn}af^SNkT3 zc^qAGk=MI6VeEx-;n%T_u$u85*)6qsx4(Kac7mq`;dnr@ghXwuJ0*VQonBd<#KkZS zdoDm;_niWzaDe1B9D}j%v4jML^-Ug`Z66}?INnhcw}F1REs+sY+y7~UKv?x#@5<=2 z(`I4@1!f7oYi!93c!oH@0)aS#-c_$;Ml4+%V2VH-PtWp&=}`V7Z~Nm_OX1<`ruw}W z2hQCO7qeLTBJ?fog~$)R2Qk*$B-f!@TR-tF^JG$YZdVttXI83gdzlH44 zr6qnjT0i)6<3^lAEcE!(GKvQI7UqTLY~=cHGkB3M=Nxw#{9vsapYL|p2Foxtp&3s= zN`m$_J5dfXrem@d7+4iT%R$sW>-}pFVwE0N)A`|(Bfrr&;j0*)7c>mpd*i;XV_;zK zY(&swJ*WB)qovus(E%Y6?C@`SY)H>x#(k_vkbutk=W9CiVpKzM_R0dlgtcSS*)ep2 zHnKqjdu6}M#Hs4C+x&9fLYm!jMT>D|E!Du6(=E+HeE`GHzVI4p9#?Lj{K`GVE%sx= zP6pfUQ(3gOmjYzHjYN1oFH!)EfTOa(Z3Y}apbAcu(-?<(HnXO3_;mm^GPDVkXB?cj8oMa@Y} z=MJL?l1UB(fv0YOA&gH#dp7ag`uFK=#*FmvW5c(2&U5Mg+|Ir0Ff|ito4!lI1Dlc;V0sp1>Usr_o5$I_00#$dHGo=Y zIS8Z64B=j+2S@ykjqplb0FFXl=_@f-%dS$#>JM{Jn@nGbB;A?+OK^1?i20Bh=6j!I zU|C&Fk0eHo#v%%g5Xi;Y!oWp-3?GgTlG{OYp|U{pz-l#0y5_!ig*)ONR(Li}Q5Zh69B(=!*sq zoPnF(NG&R{dC~Fnz!EHvx@#{o_69)}C9K|P3_dMG@Do)zhW`^;ELwRiERCeA?+zg( zCMD?eM>ixP)k}|RxA;(L@B$@60`LOfkGtTHM(_gsZnM!IQ+`iAW^{PD!8n##u-S<3SDSBjF@bm= z>XbbJ73l4Mit2L84p(%!FDb}*qC@0xp=5=VUZ1A`S)@evk+Y#6%hA%WbwU47TSG}+ z=zW5N(08U|PQ_G}Lg`n&Owc=Q90BuA@1z!`zZm=pY3Q@%t=$mm#qwr>S10WRcLPnE zaEVN)UNAd26s+Q(;iSvEVTz~pZ+(8|eIB{^*BcfvUrXOsismgS|EnXh?d-9-fhh-Y z0Qa}zyEr;5SUXC*Wf8dTPE6QbB86oMP+B7Nx;Wa4fAYxKz&_ezFf>QuASLD>i8v+e zt=-ZMOqphzQFKQ44fp7)Ic2p9)HgZ!f>fmDo8T7h(E5i?m~sp3JZrZTbJNk7SBWWT zsd?R;S83gi&jF03uO_Oqn$b72tN82dcIVWIMxWH>r@k>MLaox&)&8~)5w1E#=98{g zwKK2A!?{h8>KpYqLU}WGVHU5(u!k?@T|;NZ;@%DrEGQ?G-NP3w;Ryf(hF)|!XVnA` z{_-iH>wD>)V&c`Q(3hi9n(kkv!%~F4ViYg-WTA~mf9i-c3QK3aM%ob zpu?YBQTTIcA1VoGmrM}cj8~!8q|rA@`+n$B_Iy;M_fCZB2@6vN8~R>F@%itEHS-gB z8Q0@}ku=aUkMMKq@2V?E!yN(u43-mdVY=8red2C5VQ&%xE%41z$IkB0Uab$n)bqo~ z&U5{-wIbRUD4}}63*s@sD$Ymmv)BzBK9jI+RkiGV;f%vT(<`*)s^Q`^TtM_9Y z!bepkKz}O~@kBk85mzHSzW`~WK#x+l=hQ0TllR&6hB0x^S{2Zv)Af11x|JH_ zup{p21UWL@p6$~-wtAo*3*L|WHiLYsyCFR)-QG4mJ!`i50(NqIEPtci%``j~c=Dd! zgpm?1uQ}HCqFs_5IM@TwNc zV+)2q#CSm-Ti^mUXFGp>SZoL$THP2fWB1ZvbP6MO=9QW*uDC#m&_Wr|U(NYVjZz1N ztz(sY;W&`gQ%lMtybe#(zGX}cUIF12B70jkDBmY}H^A^0Qb} zonj&5Y+8ryx%|!(HWS1p6d@s{fxN)2gvm3+pM1cYNW$w3rN}%HaRI3S->t;MY|I!c zv0kwMab^{%cjb*lGph;F?2-=P-tFC{*%zSxhxAcv&`K)R`4Y*$O&K-XY@A9OAw&GxIk!R+Mwn+P~ z5ZsvAQ2CSHFv8E$t{jTnHDbT4@|d4AO$OH5!LU5RV$||^$0%n48LfQBhXpNrW6&(-T-C(}chbIDBKi zrDMiyV~a~&yPBd46O596LN(-hBe)>NUFBs7)`Y=cC1+{k)EhxvHN-q0VQym8%j<3n zbN7ek+8~~?35I!HcqEZmZsc6@;hYKVy;5X_Ww_4_LU_~3+jZQ8S0CY(Hnj7&P6Fy# zwzkc%IFY~Y4D^tDcMdZKHVKask`(3spnCG?b325kXcskSWNjaWTr9fD*uw=wUY2|= zm$SbSnNgqC1jC+_Cvok0w^$R_Y)8zg^kwv{xxLtK9|N$yj|aT3=shoeAC`{meDXP$ za=yatPm6@q>r$?v3I4^qk(K$FZN(c$w^PE7)n)~{fpEn=+h<4@Wx9Xe>6~kb!f3!p zFtr&>t423$k!JC*xLBUO0P;knMK-#N>2vP8NcCvXZlOZf7$~J7df6Q9g+F+tPOVAp z7UK4??-r(g15L9Sag0~*;u0SZ>K}frMM$-psB3u~hac^s;ENoWxZH>2L8@nY%zX04 zsh=@W$k+cN{)biSDf}0O=VP5{j{NLfc-ro7KE}ug29ZB7zhl!)#G59-dRUv*pJI2%uP7L+=T1+ zO+=ix9+$UU_nP;di3Z(qp?2?_lJw*ieVHjFHJ~97x+WOr7G;lgd4ZKq2vW=Jg@-!@ zq_%Vgrpmp+_%uqVf0p0cpI|MI{KXi^owCN;)Sf9vxj=y z6!E3l5^~DG7LNPQWyyJ9*vE~F_A}|+M)FxswB{@8Z;u_yXX?gX51Z#J+1i~b3>(kz zVD2vI*Z(Ew6-gb zwn4wNwAG8mC*p=H=gGSVeVA9#pHfbSAdq8Nejx{%75%VgNS$tKL}5B_Tt zl1>@_iHF=6k1!2;O|9#J{!n0&qk{pS$WXO(FKagewOo}nKEjtmf*Z|$sr}yIV!P@3 z4U#+Lj}9BFm$D97g&(5+5+Z3d;(G>c@n;t07vl4!f+e-GasNK9c~Kl8CZ~jg4AmQ% zfc_1cC25f#E$RiK#T-~#71F-)3y}`x1W|?@HxgDWlJF}?&<)(X`FTnq= zPfq-DWu|=B0ar?Nb(SM?*b=IC5_vbl&2{avzxcGphR-yx04B#(>Xh zuf2Vt-7Xx`ecV!|Ji$^QL{{Yqv88@$wat!QXO4Y>=ShU9&9O&!JyGB`g6-I|wuI-a z@nv_EfDJvPO~D(-gt#cbb$91^SUtC=rqr9AxI2F}bC2D=fQ!Mnqc$<8K8^EMz>V?Q zZZB%vvjYsvdqhyohtb!B0!2yxZefZ5L(;_WwFz`sc?lzXO8_kD8NmMguf!NIz4mLL z-iJ)r`&-Q%-`|Tvizg2$A%KX4xDxpg9~dA7R0JH6w&O-Hrl(g_AB>)GcrXLhB3u@k zV{BhKOhUGL^fPeFL~3TVdFl1?>V52L%hOB+YoC;eGPnQO$_TTfP~?5@@woLp@`1nY zF+=24;%cneyPOFizu;))W#NBl+&OB0oldakc32Js=PHB+VQ4hf_a(6hHS}(EX{^&N3)v8S=(hxM#q}MoQkPoU%JLo;&pqcWUL&JQFm^ zYO0#i4V+R7=>?Yxv#uq0ZY6jgB|ltCez=z$&s!~&|Ef?cwJyg%jw?OP%+^umB=4|~ zj8HcU8Yk3cL|r)#gbs%nZ~^NkQvg$th=mo+rhry1B~lYmb4`P!Gb{q5VNeCb#HJ67 zipJ`bl#0Gg%y{twBvtspRCZX@{VUi`+4N;QY$Wwt8D*c4q#;!_lP({?k)=S+W_d^( z%_RGaq%8=)9^#9;xSt31BjgFJz{A??1FhQ!4%>9IN+Nj!gYZei%oO?e4Z6`O=Y1}K zqVqlauPdD0%KU5VjR1ANp zPBpGoawYeKqXKi?gZw(CI1)9NvMpC>XN!~yguN-QY6MkCeJcJeWB&HshVzsO?`I9LHDQ1u@^=IlBtiNmi~AWur39o(cet_WZ_w8_|2YdkK?P zQPr|cADQ^?&mR2tok-|v7s^HD`A(a5BzV7sZc9VTGF>Xv_NpSrKH~> z)9pzI=0kepNbQ;8e5w2X8mOq87Ks5%*=5rVLB3s}I9!VU1|9{%1e(Bitxj)3`2$Oi zf}L=sqsmIbaSM-QKpZ370XVa`S6}oDOo0|bEemC`W*WLc*l}$5FdVbje5|oS%n%4p zBmmY0|LJ;;Q!bnG`*&kPu5cm!@2%2>^nbQo97GxjbS5X1f#_+EFDDk1>Mk+i*7mc? zlgtDa?Naqk|4}5kTP@2DagY~mZO|)L2J$nQiT)Kx7m@S$v?V-2Y!f;ytzpLXE2&@O zzU_cxSu5eW#teKxCoYyUwuwPmE|`gy9JW_!K4;)2sKG^LP*8`BVyp<^kfLg=P?HRa z5}@u{nzR>&uB(V&(vf>4 ze-o4&vBPlA3|*%oZ9Ab8Wn$~AQxJ&8CMr!Ril(hComnGuTw8^)hG$?;ot^KE(gz3Kn63j1~WoO8;0Rf zz=J~!_bixe@{|Ql5CuG&>O9|T0;Sc<83LC+Qu{9=d2n!p*!J*LAiX{4) zSgj~Y!^|YvQb%Wm7}G_lm-KJQf1;t|tPF^oB1TYD!cPni7}MY=nqs7`4H&mq(*GNR znD|d(G=AXU{Qf6%_e*@BB86x+Ftrasy=>(I*q}p$a9E|hDy7K65Zh-?|1qLC1d}fvlUIJi~%>OMQJdme;hBwEJiDT1V+!9_T-?}!2Tx*_C$s7l#VkmoKU;yV@RCU*QcpwS_LAQ@GJ4{OUnoeie zys6I8)nV~gr=OEEFueK=oVHcP4EdcOiq4Mf$~ zV`)R3CGvU#wW+?Y84*#Iox{N6gG@m)ckrC z9^N?IO1l&`Hd**XW8vcCOduYX+?SwFDVZZ)WXAJ_=bY;jv@jmf<-hPZOeKDfm!y=j z!LuxCl>WXmBeUdeS!Bb{HP@_HlLgY+6&O{Y6r9t)?4xARa8{4#bA2|~Nffk_Tm{F{ zw;DZ9k*N(2z1VUtd(%Z}4j9jWbl{(VXHH|Jwf;zz_#c07wV-u?e8FQY6-a=RuZb|& zuEnTGG!t2urAi<#IG5$?uxR4UD>EOt2h39K^M3_H{-iLC3~71X?ydME!dn(uyAvD&fc|?4$zZxYNf!tjIDamP=++Qu24Gh^2ozNQf zjR-LUB`ypzJ+ww6q}v}<>6g!1L07u_9meMk)YR*j96)u$=M6a?9@KsZZ_NM3xOdDO zwUG@BAKGrF6zzB3AnZ}Y($fDE;*kVdX%ld)=xpS6fx6!CW3w&0V9p;kZk3+l;%Vl` zKL&r|KgKPeR8VTY1`M+a{|rYeGW31;Ywl`r^QjR&Hfx+woE<^=K=9HQk~2@vF()H@cGl?#eFs1;fX zn0;t73g|j-J<{!%f6QkURto7KfnMWKPu?J8GYkb1O@D`B%cdH-gOqL<+0?D5Z>E_{ zx)v)8F*87-a;tI`vAH>O~R&fCK zP)w}WjhXb<*c#g(+}r`wtLrY~Tp?E%m*p`V1uTIZ-m%-x-gO<#T^$FXmNEOH=8ZRT zNT8`rJ$-84MHIHF`_eE^;58A&3durhvsX5KY|FWj&HL2;5E62PWNQ>M!~&q%JyZIC zC61oo`v7A7?I(-w?zTd+!5wTG(R6B(TC9KxjAyPOC5&gjpa|s4Yh_dvrF6$Ki`uUZ z14E9M>-~dlVlxErn2DFmsZU|-fO)e}zP*i|2ec_hk+x(l&iKEgp%9XXuV4 z)T|>PjTRq~n4TY7Z1a>neWXeCT@v!H)>#)LhjgnOOlsLqPy?H7s(Dbxda;~bbKJmzM>``&baePiH|E`c?Iz|;T z^y^?AZbI@Dfe(UnN6+{npd-JfqgdMfNwhAZ12))iJ}lI4{uA1HAz{_E?8QVxSRE(O3ia=7>hTjnH+3iIB^2Bj89?+WnXL z9;DDbJxF%G_^ZzxO*dwid*d@hY58$#+++w%3UA<+=|*{G!9l6;Fgi4Ne~p9oS~G&L zI`dXQK=z^UywwUoA2iRu%_maiG$j8ZbRxwwQG9Tu^?K!5a3t%pHMG2%Zom1J@B{(D zTa~jtE=8p);3Wxc0=qucg0qNMm_g945@RK>{c``mgn=F zWC*c-Q$v^9ShYHbmNzxV+Z^awBUC!4Hhlk5ZAP*G8eYk=J{w%!;Guu`QpFD-RJ#SYyE@_O6Qh$hHbrd9@Y< zsa*5VsZ5(jExS!EXkC6)T`oL~%PRKU6eN=0Utkh^*+ceNBzOnVVge#(Sj+gfLA6N7w<0%7$9Us-_tp~iV`!9k0l(@%?M zLmVasH*_jRjuTw({o9>vP`;CJY#U3Smsk3D(Ig{lf5a)C=wO5fu*lyqmbl`La`6c{ z0qQ9L?d)=ntYxghES2~$`uuVmJc(tpPPxj=IFT8#(nhqJ?@Vax=-1#ZtBvJxr!pL;SPH z4`|%*EWAA^d~2m|`HxWPgvA)Z8Z*XrgZb>_7fXe*%Ckg zC)Y$pM6H7nkHL%|U(ej$+Vi;maspegAR(SU-p;6t(Nb8CviyGWEp^A}4XuJmT25ECH=&+(_^hWKiMTEJ;xjmj0F(Ifg@;H0My&8XAI#99CmOi8MgLgoN)+ir z>}Y&1G=gg1!N|kH+30AMJTuNJ3Oq}Gq6SD1&q9H~v(%pE0)y7ckzk(APd!o|cPTsI zx6+pU;&mW=)cXjL>l|Z;8i)G;j&BGF;_$UmAbx`L0E2?_fZ`J49nmh;4J?RKWjgia z$_V^funBPLndJcdHFXY~V!WM1{QPtuAYE-X(ST6k_LGDV`eG6U$^D?)UlMv;JQZbF zXJkB(o|0D$FDrc05+Lfb<0!A z%J$Yy4|j{0o_PWk01Pc=pJdaaRg~N%lt!g&hO|kYBw1G%4B3i0Qi0HD&Q~_oES~MM ze(qRi?k|num|lR-Wji2~$nMWUIm`vZlBe%4wLLe8S`1H9N|*$$LZ=yQI2ipPqkqM= z3@1VSec6u88A1H&$UH1?_H~>%VsB(82UYOr6>WN)7L=Pf*;hphM_@tc3c)aP%w?(# z5igVXNU+m--S!s|V4LwzN8OGvXQ(>{Sc~9EGLmQR*h#V+b%hIdB4#zn-cQc#v3n%# zcraUlcI^az%5ib+v7LwptR7O$NF-0XYW27!*NU1XTsm`T5!qJpKdxj%R+>N+T}wV| zeq_K!)sCg(jH9U&LHxNI@Wudsqqfl6ng~y%U*+)sbM8Qgu~Q=KK5A|>J-IUCn7F{! zK@?|15Pz|f5c>1+ys`e%nW(nyG=b)c6#8pUo7f{Gi#l>oV}}%}PlPAe1c6Y712PFS zGBSxBIwzlwJUYsfszP2f7G}!2VjCca$!t=$R1y57={=eTHv|yU+g~_3usyr~)v-up zAn^-4K!=*hDg|T^xGQ(V0UjuXx*98Q)Cli>on?x>Dr27Aw;KnBCr;uZQ)V&~Nb~4& z#oAh9aph=d1LU|oi(Eqhai-U0D#!*9KC9ZFS20(}<^%*<8~Jm&Ys$`$e%ve|?V)iGv@1zn~yRw$NWY zpZ$ywUbqGmTT#C3vjzBEyK@izPNWYuv-I6JFMnDO+G|EoI7I&VPH#7fEg?g=jHW13 z=y*>_jO3nQ2s|{6>wZ!IT#|l3=vmO8;ujn0`>Lk0>&v%Xu!2*@K0E?&CT_m8u5Yr2 z?01+mu(bnsY>@U8S9%7lh>}}Eche_8y?6fZUtB_{wHAnQQ`D>(x;z@64H=Ls6b$K* zq!2iZ(z*~txw5(PrFHC?FY@ELlt?k|W5gVyP^P8mi!OMp{-B{9lBdj$9OmI}yd2s7 z?r$%H@e`edeeO)z!4}87k80UQC>MJFQ6)njI)by4uoeCQSNug4`_=g}Pw)Oj&?$-y z%RUhe&1*#d8LThUAc3j^XE*hN4$^Dey@2*fnmbP->aDh5OYV(JCl{m%(B% z$&!1OaWYgZ5si7n!j@EtR36LP@Vc89uou?mYWc9KP1^$2(DW7lE7AMxcad$6NC)?iix;E6=4^jp*A_dSB4yw0v12-8l}b7a_%!+I35Y z4_GyI-Yj$|hXot<9r!90m}M^BkL_n@045%(E=`}AcduCp*L#djP#u&~o2WKs~PO2f%h&Tf*0 z2J!dzG_df~A`Rite1SLJ^qp23V07j}@zbF^De}U4L4JlV7YN<`YV{xG|Al#17Ae#l z!z8$M`TiAntBI|N3-S}K`shfFsTX#}TDC34T9l@qU}3A6`jh5JDwdE1mCbavVzcEs zSgVL$K7FZgKOG}GC!xqMc`%PRAp-XB6sgE63H0>7mkBx%iLtjRA%Wm*)DvasSdO^VK+@B`u;4qoj6jcz!^UPSx z{VrDyLPTFv<`4p)=KmYpWxRrHg2SQcR+^#Eio6#VKLc)RTB0*adT-@R)uEmAoGc4y zA_+!;x!LC?2((TEve%9Z2XIy|S#0ye!kC-t*m`kLsJ%BJ^Z4wvI$+ZN1$RLhZ~n{w zz_p{@&?q4Hv&`_}EM$`g? zS)sau;Hw)^&AtCkv>Q}2^j{f5W?KYB39 z$p!Y)3K)f~6zrKwe=}%qoX;*)udQXtM2?|k`?p*m*I$)7XZdf2QIjAzHfPy(376ug zPIV_qi;pQP4ER^bhROfk6RP9iL|~db;QwIO+kX>**9<^r{Vi|bKk&6)7%bo4@&vX*B>j@iU<9g4-=DHEW>8`)2K@M_^>8?!~uo=gyyCLwva1&w@Xa=E0ZLKC;k~1cz zRm220?7xcSZv8(Pda8Yu%@$qWbT^^-{YwTF@0jD~@Jdd-l$|jo-X^8JOUHvB_YTGu zT0{-IuFFQ8U*KZdK!?3O62z6-3ZOQ-26ppiG%{f=Y*c7B)YG$K_IWeH9}rK7pv<* zzJVhyNSp450zthlte@uZSinKiedPng?Y|)(Mf4b6in6Gr54m0quxr_Y9VVXq9 zz*c$R6#DNFTx`4tQ@#3s#|UzT-#tcfeDjc3B43jmvh`t}YVTg;zlogGMf>8$xD;1* ztTWNF^!2l@nV80^`9O=6RaFAdm2X1EsE0&Nb(QV3$Kn$S<6l7Xlxi=0`0?PI?%Me2 zhq{|WQ4LtG9S2m$*PNukopid8sN?9z&O3WFY{_Kochzpn0$i=q9(9N7W9Uwp1cMP9 z$EQHGNM86Z#cd4;R?KI%=a(M?N*4~cBd9!8f6-tjE2-~PoQfQURC_|Wz+=?*VTTJv zzpYPq+@41>P<1W=5PTfafAD=`0iVsT%gT{RxKsKIOE}tGHX(%kH3fh-opbo{TPahH zuQjgW|AD4T{30v0J%}{FEtzR))bbq}9JsMRzt`YnNSbzsM;ZoxE{@u= z`dsF-xB}S2wZS~a!OmCAnvz&Q5gG)NY#g%0ZVebOH9IcPG83U7;{#+GIdTP`SG^EV1vePNQ!upq^7{P{KE;9Yu(?1>upQY> zoLtG5L82`Ak|WjDL&|(j2}HiJ3?g-lMN2U6E2{-{fgwR%U~uI4VND~C`ED|VaP)>* zM>k_*HXL-MaP;8*JP9_u0yr4A^j~z*%51w+JI6v#fLmfaIvUuU_W0jJ>D3#bj*+b7 z{wR`FrcXq7`8T@h#Y;|=silRIMmnaondPyro%w;EyAt))NI~!sD6X;^_RUYhK`h6m z;VeEvD_g_}pPnxQHkMBd?TH>$^k9s@$9tNe%Nm(T-Q?v+rN(IaGSUjjSq3-k!qJ`< zGlC-*Gj7NgNc zCkJv@)2k-@`IxO?zr-3xUWh$L$CbStMN1(DG=c=OQ0YXtm!hqecSC8^8Z?M!&i2ci zDzR?4pYQebs<#LZ7U_Ev#Mlim+Q4m~s(=0Zr#UUSndEmY>=D`}^E~3z@)Y!F(EloZ z2Qf?N>!&rpU>(&FYLIIR*I2(Q*Ty@c?w?~iuE*iW<7Oa9NU+5r`=sp{W#XZ2=A-8xOaE}jbhnPzlrY*D% zW;EtrYYAPjmDQR|jeQ$;w0xN5dn|F3#pyKy;!Vh0w(w>Y;6!B&a9* z(@=XywIzF+oxdfo^qPHy@&tbnJ8UTtP;6mYm?fP2_pA*f>m6-_STlz^2GY7P0YGN} zz8?_ua6O+)FGsJBc~v>!+_q$hno3OeEL5i+{u_af3HQfV+M85z!q6<9IB<>aOTsK1 zuCEKcoRB z-+@<5>$CVTKjV_{5JqJ|J;_7dKVJBr5fade zEqsR$59mk~Ys`l-#6|>bf)^jcjx_vFaBPiYnQR0|j{|rlA(3o&ad=|h7Yn>Px-UyW zpQN4zPM8zc_(AbcCGL1q$Q&7gJDWe5LnJk28ILpRnym`s%{cMnOh!L zFa%80R`yJg0OyFUg~Ny+WriQ#PYpG3mLFhmr{4Lop0wiquV$M9Hf%aRz`oMpsL*1| ze5FMGv|5_wbCF-~L;UTAJE)hjmR%m;7^hnkWb`v6U>#Kl<1r*P^evCNk;7QTQg-=&9mXPca)EDs4RxeiIFFFyhl?~q zD`v}CIbEf5VDR#`e`u1ewdoe>w5;XDb**JB<(c8_%Bl02n@wAqW>R%9R#pGw{{lag z%9Lr7J}9u%0MZZ_=2um@?yky%?A&a4GkznJOuoV-nEQtSI6?U3qz_C2&mVlDRU*r4 zOV0d$pdW)lcQ}240(#0iZwcH1k;A23wg7Zd?#9Zh)c?Sn1BEGt1Dc+l)WL<+1>yfq zd(;K}Dk*TafdWc)6H1^7CtVV07D0vVvEq(}pV3tpUkHDg>6y$>EnqpHK{XB0iCR3w~lnNJ`wgg7? zJyI;0HeCYgt4U;c#lxgWRrUEw+)1QuYZt->0phaK_RcT<*hZ_s`&b8=xJ)fZPoqUN zl|6h2AvPO0*PlYvAyM<#$}pI-Xtv@6iE)HNrk0V#D{l(rPa)G-2_vvgQf?;!6G5~n50~eUs1&IHmZ)nK z*fC-dI9YGs)YcPX;4$XooEGEDP&$|-!pmF+i2GwEqmCrq@@hh(ohFOK5`Z;0zjpru z`&?MI>n2I4?PFynSD-F~mKDS;P( zZrkUKO(JYCXZ0NyL$8JkY(EZVQ}WQ6B^GEh)frx15Fg1=9nVpfC#)$RT~q}&bFJvK zs7|Kb&G+wVJCg8#>o#fAu!yuwHVGcUaFtrinRMPMjbAsxD@DB12TadRbh{F|>hq7T z`NKttR9uwScFuXwz>V!qJ23hP6587Id#$7xDsMc$Ox=zkW^89+<77oZKl*eFYZ7pa z!81F0F?uVhrjkZOw04#Dv4ylq8^CBA;E3L8z#4j7>mLxhUGJgz&-E(_K5Gw9RQa1C zO2Vu1SYd+TVbw2Im_^CQ8*n4H<uN>FWxaoQ5I~* zc8;p$afB~=6yUf(c`{tD6g^E%XL^H1#95d>hncggYTn+>u5Q-a^*Ritsl{c*7HTf_ zdh`?q){Uv;(Atr5FU%=j6guPM3;vWb8zUM#0Up9&6rfeNAEX&dljBxp_H0ZsljoEP z;FCE}-(~z9qg;u9uWgAToFv@B{_;Z}6O!iwtYprg zVdWPCenW+6pj2vCYTUp966gyFXqc%9J>wVohZ~!DDFi3@x@={?;wph&bA3}Cmf7fw zd{|UCJ=IhRUW=1^BL_P`@#?x78L|(8?uyo<63MONlyXB7jDTB9N2gyM`ILv=?k}H& zq>g9g>6d#W7aNPK{_JKoqU4pWO@lSrS?WBG*2j$nLS2hrt}Ao%I^j6D5JNJtKV0q0 zlEsMkRR15kz5zJ0u4^~8C$?=(Y}=XG=wytxQ}oR4-dV{+FB+k z!G(^Ei(rzHm$-o{4@2lvd{TwsZg%)l6>!yJn@oz{*v5#f$u!N1SqHRC79kX6R)RpZhXZr za`XuNMg#5hb68Z28C6$16!X8p0 zzH8cknmns!=Z0V2u~vvis|BJ%mU?wT1Jo!>7Jjz1^OkUYu_I=w20?2C4Np5|1#eWr zC38euKlLe6Vc9CR!{9U{&S{KM71)Eam#eihbCF9JF zK~DF`;&l)$9!-ZWt+ZmtYW+Ea5O%$T_JUh)o`2etovj`6B5XN=D4=kIPBU4j9+Iu> zNukvinP_fNl<>3M(Ok}}1`j3oOk&`ujpT+~XaB8Hi^oE~gN#k~h(7(hTL~cMr^QLu zPoyM^6WvbtREv};nkLiCk=a(;g5z^-{w^?8O=_G-rINxq0Fml5eMUd3At(eFVGPE*FRZJSvo$?E&2bih`NADveJ zr=7Lq?_Ad{N@KSfu0%R9qr>L_OUq5KEC>K?IQh16U{3LM%=Y*4L>| za%@?1krcVFito&e=(7p1@91V&yTKUl=#;j+l8YVb=-YVOMdlebdEPo?2ix1~oixt8 zVrjKF;kFJnY6mPdyI-e_Ql<|Sy=uIGZ1{ERSIsLy#k98iC#D|Gc0pobdR6;=@g+Hv ziBE#2$E;t)$G$YvJ26=?&d0ws6o-_p_0oXGa6an>DcSk+T~i#+~Am~btS>e zxUj}`?8Nsa-ug1LqlAw0ta_L^@1zcdK3lb@$F_5mK=UU8Kv~(313ElhUDhMU2VVT;irBrV8yj_fefGfGc&JTr0IKA^;X} z08QbD17{CMIT0c{UOH=DULD?1h|@0~Vg50y6}@e~&N_)&%YadZN!O5nR-UNGR5=3I zq)2i*WRX#EJ7ka@R8Uuy;**GCAU0GvHHZKwh&j09)z4+at$L*oYiZPQh-%cRigMPD zR@GGeE0%FnzX|S~8t0X72~=SK^y97*bF;Xzw|WPu*7T#}94Y(lny~T~5pDmj=xN7y zU_mKT3anU2+Al64-!7(UO~UHfSR}W^iNI)dGKOHlstibxAg`pvn%i|~jl*nox`bfJ zMlWyXW6)VF#E|h1ru`l1Lc*@F^UW_6`aXF9yYzk@LZF|EL7GG#ENfo_mM_@{CdC2n z=;>;Zx!ad1R#w91BQw}&jU5)UdW9$H-ymY=K5e0 z!vo6uYJ!pUG_Z5=0ix~}rE}>!r3;a+U{h%AYB3fn6rF(R`FSOqMdB?dmedZ;D-%IPjx=<#Ex$_;`aloUDPQW+yHwLI#nrZc)Dg8nr16! z|FWX3%A_s2(x)_f$7EXh;$4R%8&u^O4PD(46)ZHfw5lKF4-l|(KQ|)eOHMM?s4P`3 z7K+x&rBhp_IV2L#AmrP=v5zXu?DGg`&x%!ZppL9>}X`TZmB|68gLSb(2qpm z&lj*`Feiej4g+Z86<4j_FVicQsSO@+4*<&wv1*+X?rXwxWa_obIRy{=Di62^i8h&b z0*01;*Flb=Bz}gd1@`<-fzI#@Z-2xz%o_`~K$qFdZR>J=BvnyiQk*d}3b)+zt)o%g zDpH&9tK^JI`WAv05m8uqdAL#yo?k?yDUP3CT~^uJ)SwatML~2YcV!LbZX67hGWuFx z)FnqZadYtG*d8{5#3V8E<^4i3!KcZ!>#&{8rHeJui*yU z7=tBu=H}iM1AXg^j!jBn=tk|46{6{~oSIMDS|e<|>bY`AhcHX=vmQl~4gD`y3z};( zrs5CqbB7?Rsv;3l5C9S)XwW!G-rzR}Bc;&mBpvCEty~cmesZFn z8dG09;Xl)o@E0RP_<&5A7cJ*^=)w&0Mf5e)qy#$WiUX7hITR#=?tO)1qCGG@_&j)k=ppONc$r3nUaX-VaP4 zDxoZRK?1OZc^5I5e%8}cIuazuQoS7%iPm{*XywbG!VNl)3Y0!(*N=C&0i(%RE9=GE(0t)< zC%zLipuoor$?bqzomV|Z2?_4i%iL>M} z5r82}e?Q&+LD`x0Jy>!a0l48Vs(!eu--QiLX~NQsKO{tZIly>o&f!W<=qUHs;xCfX zSpv=PIBi)6kweI9N0zPCk~0oEv3Zg>ZKrPK@}J=`HOo%>+s&#s;}Ho?+>_Q9kY0>U zyHDs8fDc`(jGX;iA5bE+NlL*ES1Wb(8yb+gHtzKgKjiRNsGm~ z7oLnN?dNbsZjINosrzDrtK4zH@U@4C$oE7x_0Q3CeWvE|S?*xhj_&HWP5ah@o63W} z%p&X3N7rSyaT22PKzoY_Wed1gBTfa9?-N5b`-t0I!5x3`O5?tJ?V&p*meYlM$nV4>~zcBSfmh3bjST(w?P5N0#gyJX3?h0|B_ zibdC@!%E|`#DjZt=AAHcd$o&T;;;N(7RX1tzN07rZQ=a0OSM;?K(>kiYK{Vp0Y@Cr z1jZ?>c1zsioH@yGKPiu0)4cfLC=Xwxs|Z}=%1LjwSjfC+1elH;KhT{deH1^{D*s|o z{&ksN-&{V+HP2@DOSKU7x5e0KLVaWOL3DH=;^K*Ol|=3uM{LX@j8dovL>V|2SdjbF zF~=8L5#E~p9F#u?7#&0k%>Hx+w%wkgxG;3)Z!rony092xo{(dR0@W}PJ@xneAei8T zYD0IxxLQ<2d@L9n?j)c@KV#L@@ii=v-#xAC)^N%RQ-AItPOSmV`*l!%{D`r3G1S|I z!xRH0YcjCPd3O%H&!LW5vu2T)VX-&an1UKob3`yTfVx$)>i5ui{z~%B*ntpzHaCk` ze(o=~lZdjPI5`?d52x2^zyCJfQSuQ4m+oD&(+@eMEjmzJasvU-qBr8hX=CyrLska` zXov{Y<>w_U&B#z&noU|mI#+0@_qJw4e{jN2q{;y$1u&1k*$ZGC6?m}=LjGf^nj#8n=b$Ze&=%864PUdo?Jy5_ zIWl9#iLT_!~_ z#bBWp^PyJ!#Y(x$!&GyKSCVdznjrc+NHwuXBkK|sGEsHZhcQ8Q)Fy!X*wXBLD&S#p zcI?^G%&U>rcA5_oSiSi&JI0m7G|qJDIz_j~hR&9wux=L5gE3yfW~Ypihan~#wicWn z+a_-4r$Azbl?rNwRRGj#&^00SrRpH(!oOvU%jr>Fme>tee~uCSna#p`;DDb@JP2*3 zA?Q+=Muj-!wVMDd1$b#2buX782G?n9-q)|yxB7bt2i>#keKhny^0(QPB;Z*ys^e2* zI*MCgjGrLb_#pUx_P^Af)t`=_Eokk8pkM-sM|Nfen*TBhepw@8ocyg5SHRG!w`rN0 zyi(092$_2?0-g~j?}K*i_zmP}4Sjk*ub8_TySvmlT5OtL+1rZ3&9>iELo9K)a3+sd zGP>ot+=={MjR(z#mH<{TIVNbF$$5Uka=rg9ail>4>Ye-!Jz>!@Fn0jYDydI#7m=gw zFV#&5E=FBOhHj2HPD*K|TY@u#1K{D7-szG4{)OJ@V`HG|-3e83dE=(I6s`BxB--#r zc|?QkhYwo)B1}qd&`*wl11gert>c2BhI_R_1&`O&q+RKx>+9{<{@PlGS}pIO7|~xOBN~2f37NexNwkN%*AZXB_Vm`kP&YY$&{B7b zu3NS+qvjaW&sG0VMTnBgc~cqf7p_U|v`p7Ow!-V5>cuzfsLrv%1I>d!tKVvwi zT2O5J8cs|q?+FSL`FedF+}%8wgm)fo#<;4RT17Wv#tJ_(|W-3Z7JLb7Z9sfTBu@0n>3PF9^q4jazLzA$sgP!n)=z8@^Fp@AX%1oj(h3Q#U$lCb8; zJLtrvy|O(ZQp_H(x`qx8x5rONA5-~`>7XCSGyKJbVG7oSny=NEM%__GE~s4BEJG+A zpSQOjg$pc!jrhISmy|iym$t^5jae(+jRcc=JXGs&%hLzX}~N2?3Q z!?6xdXCfyvTU^hHow2Np_Q+P&TEs1Az4S~$n9d6V`JV@ zGTv9^mD$+77yrL-qP5yeE}BMA6GG=HLG& z5g_MYt$W`<+Tnkj_!T#lFjF3-kf$hU4y9#@#;0YaDhDGob01_1Fqe(~O{OmO(k#fr z*AFLF*rx-U)i4FqU&>He`qV*g@Y z5c?y}6ukuxSLHK#3z4_HZI2hHV~~3trd;V0W1q8wd1}3hU!5=bGEpzpsfRb-6Tk9b z+x-WsQ03lxd(f&;<&rpv1Nh=I^Y%tifnjs3xui&&(M9nQ2j+6H>rjC^jQmHuxS0NlBT5)2de~nKyxVa6TR(i(+#m2^#EScI(EmSi z7zvRw$N-teh4Ch>XCJUYj1ho5Nxc@{_Op~_o6}?vf^e^oOM$sHWnn4@wxfgmJRf?|P-VlN87hLpfn5sm^g10fd18IY2LVnIt3jv6pUA!GR; zDvA<5DkP2}(BtP#R?ABK|B)Wd$c{0;{|cN1z;db5E+hoAX_=;ek4A=Dg26jV`xU#% zsf}<3`l-ZiBO5nE9o%&$#C&l~uPcA`oh$60UVf?#F`swfWp2#=5%1bg|K*K0@vUUU$`HwmoTbO2c2yZkp!` zfgp`TB1#piHWQbhDB7H+XQQ~Oo!gee=5pb9zIL?IR9$DSW3ucpRC5p|UCbiM6kDS( zTr^K%URX-u_srLQoiu-R@!AJZ-p!!LYJ^?`K|R^w`|0MW)Fv~bD7&223IK>5cBhtP z&3LN1r+^?DT6f829Tl?oIvf?SXCRI_KDCm&&h8z})vjhG4#@rl`TbB0A2yTZ@VU`` zRRn7iRFd>Iy*l}D?GACWq?<}SqUip9FWWkBYg@x^6JV;h?f%96`XDmTB~`$2v3Z2O zF#gvOX3XOq|KoPUmoy#C<>{n5QGo0Ds)$D0^yMu`d%P5n84ZTE1Jp)~7Dj)qs1f(4 zkaZ5CrA;xYjiZ&2&K{yA9*e&!SW_~`N!2bL4E=B5p=MS>*1m|qd2ry`U#osg?07S; z2Nhs@Gjygu|A-8k(wS;I2S-(w#oaJtu-aDAq(3A9Fa9~X;5JK{?R?IWeZpkLGlPhF z49yp+Kf?Zmed&Q<()^{U1%WT?c-2A90-=TA9aEDhsv3mv-}aT!X+HUy_|q7?=n};_$g_QjS4vNDO=$thNiZ%iaE)kgX-FgB#yR`y z4^+j_F;Z;&%<%TbYByZ>mPHKq(rq+6wL7 z`ni1B?WY9|{~Ea2b#@7gbyoy%p$}>)4Qtfu3*-YVXX7v)O0SY7>5UN^f5cVe64)U4Bn$G*vseMzb0x?a{kdyl-W4h!P?R@rUA zRlY2o#r~{-pL)5c<$igasEAkhe%@ix1f0xq65ap@_Eh395@Ix=J#$JQGy4mu5#ZuiQWkULQ6^;_0%HU%P?J) z87>R7i>Aj=kC%6Srxf_RF&|t})t^BcsvnV8)l0(++Nvy~XfL|Sgh94(P0 zdmyG{!nf30&-Y0c@iQoT_s>bu<{s?>k#7!I!D{1k6eJyda1h}1?(M+g^UpZ^!>7IH zhcx_?W&W1W1pGT~GD0F7H-AU2eyV=W@a(k7vBUXoR_Cz&wn@tw3%)0U9?t7vT08z5 z<8c1C{kcO@b|T}jcWj_>zz>2@!v3yd<|phDLeb^N65C=1J7B7%tE{}P(*c+??+(}8 zMCpO!w_muQpC*y^4W-hrJRIDGmqNN#xAfX#$Qr1zN<{MGkU__pXCXRWk-9QEIK>A> zp6i*q#E*(gV9_|9L9Mo)YE`WSzpJ6oddy@a6L=r*h16)G!qZm!5agMPfwS|#Bmr`c~2>+R!|RwS<$dd zQ75EX8nIy%0+B`p3{-8XbQt>Y;ilKGw>P7r!eUz_tg)jg+({J(8K^%()?w(uogq?4 z1i;4kLBPfv!NA6YAi$!*%O0&aB!cChREg(5&2qw?Mj7TW1_OX(v z8ew%6NXn z(o(~85;P(cOgO1?PZK_hA2@3@l$!Yw1#*b6pl3xC9osVu%mg{!Xt)*=`)v_ySljX4 z3YB3hi$_K&7iWlptgyw*6umhrYBwcbd4rWTLPtWvew_LgPWk614hIC6%3(11G+^?3^mjlXU__WWJGFb=+&WxL?)mUN^+s+JL-$ zVRNU4_dQ?ix;rpP7PdG@63_cQVFW>U80Y+a_;O5e+lX0kO5`+t!z)l|Xkvo^P(|Ha zEfiwBo}O&zk$mUM%Iru4Tk#BGS7EOP=D^EP#O-RGe<}puBaY&|47uoK)Dt#FTWmDSvZ9 znLofBaGHl?(esp`?y*OC;{X1{zb~En3$g<$WDUjHFU}|SWZ^{kb!SF0^m0Tr8?We! z;I%iOh@eeX|FjDwn}<=#b&Qwms+bsZF56*IwH$qO77x-O?sAl-yBX`1pK|=yOR%oO zPQt!}ekytDd?$Hp7Gw!~6mYB`cLodA#Y|vL$4r>3cqqSEnv7tMk!YuX6By5*R!Nb? zddV;wN3;nPa`jGmV;r}{;ACWKZtOzCik&gTd860Bn-n<@Sz4ZH@h>*0H0jpB+MYK% zSzg+WccRIp*h8!~pa(AAGtt6T!pmS_fLrivaOlXNl zAK|+V<|-Q1Tf$bGGTf*qJ}dUFYu$ayim%SEWZJ=7ZOF0!bE&iM8rs;~CMVyQ^0)Ux zyYxNJ5&_ux<10UJ0hXN){p{K&_V-IH1_mByX@IiDON|{wwhoTu|VyetbI?HJ{Oh&-;pC~cA)Pg5QnuN>~I*AYQv?iwz2H{N)x8aTdtGnI92y^hpP#fw$ zu~-ZkPd&Z76@6%N+kwM?1}=$b+>4tY$sKB)$l<(6u$M_bEEw`_6U*ybUOGGw2=BQ7 z?O(67mKxcGYbw8}UFmI%&R8M7=(YeiFc-+1g$uZUTm$oibYWB(nkc@fBidog?w}b|69Tf4GJLHMQ>wif3+w_UR!hFmF3o1M{{`RMTNq8m(Ow2b=&Y*ZHv& z02H^)?MTN}LKI684t{24kaxR{4d_zQ@H5skQ{QbIY!O92YgJPTGv>EIKjSX^F^x0m zF-5)PC}C1b-n=IFJ^S<%ckg?xS=@cb<*uq>gJ1VYOwSHcE1(W%L*4$Mv{WWFw}MvB z$GF1jCA@s@fT{%ONc&%ha zvTr-#KbDvHUrbU(Mw=!Yid(=#aIXtyos>Z+>B(p=k{yu_QZ8a{s6oQUTp5Rxrapq8 zN@p5Uj=|c$0GDoLrD{&p_hJ6Xq0p>tD7cqdYaUU_Kf*LH$;1=9M7Ur1a;P~qSv%*u z4EF32-443Gc$4QyyZEi3g>8!Za)d1+5cu}j@D#RG0%-+XMEmJ~DdRyj!zNA@I(FzL zKWDLB7ktxJpUS|*2K9il~ZOg`#VR290)UZPIpp`uo05YcO5CH`q ze}&7pKXxV$@;cS?z$a&1#A#21&J-*gY_mNqU6ML1-4m#_K;5I3+F@{$77KzRjKTUP zX1VjXCcAik3PD=}TyTKSij=+TW2Gi*bx5~BiPoa0rC`dBrI2!M_tTm{0jx@EEY_y4 z#*jV?wiw>H?cpmM(m46>2^v!%rMqyf| z9;0w!N~4wqa8yF(@&JxSQp*bz;XlEg5flN6kUHjCKh9*^NnDg2@Ms?<&j- zd&kv0#lOpjXKVMiDpWg-@$Bz4v{Z;U*tE4-*G%Hq8ByRF^e^h}&2SDvzKxKAW7S7K z)<>tb^sS(T zn3ufcg0K9S$EVx7z})*B&urf6`M?8Hty0fCm&2h$uDK^6ni%s82nW76FXLc+PZ{wS%LJ9&Zy&y(h_N zn!WM&3zez2w?lu{{K^~+k*yn=elig3a+*IafpPKnCYKk6{4FaEvaK26cUV!GIa}*0-YzX0WU#TMv@|X0YP*c(0uVA z#w$dITAka}1jR1Pff4~)pC~oKp#uapL5T{YyTJ~a$<#IDpD@e@7c@S%!rcnbC6gfc zXQp|e)*!FW^?p9t^mztU@W;!eV6V<1GS`{l`CW&rqisKurfN^T+&P90LDr#H^!$pM z#Iea1pYwk4ze(8)Km4(bBG8Mvthoa|%Wz7~j(s^TfyE`dTlB_Cx?-fyHIR1|=GYWp zbi!JM@Px*SoO{7FTi!A5l2~PFNvp-N-B9?ey8hVPYxBHc@p0?8{nywBQBk;!MrznZ z59{ur=A_P^a8z{tiaOSY@CDdCxkH>++9W3H&*`yZ=`7WhO z?aD&DV)@r7kh7xbKC0f5hDs^uBwQhrdstX^(E`!>Ud$*d&^niNAAiRCd9mQ{aS?Z( z_0K^N#(Mu2LQ9aP`oC4@wTXnk^Wbpx-1iC}rep4szZ=~Bl=h?Z z&cY~iGEX5c=8jBZCtx@+l=hUZcaYbpf$f+b;{ZLmz_XxR{#m@e{{8X-@98nxlkQ_H z#s1g9nojGZMonPync)G?k5(j&Fwu-DTPbA4>DnuzZC^hAn>OV@-JER!pS|)X3;m*; z-%s=X<8;3;dWAB&zk93Xjt&-*#AcY zldXR{%#KmX*E=9;)bx&V{Ah)uTedvFt=26}wr+HY)~J~s6E^^G&=8=$Z2SOgr;;z! z09b_cgDqyi(MqPM^`C0_7wXULl%L`5^$Z*y#@?f>KttcIXj;K^j!Jo*0F{91MSvRMDfwMq4c zvOSZ_Z^~bt+LQBd%h!s|_2=aQcXgt4HMRWnXNsMig`JoP@;n4;AH2602Ljpx1wTvJ z&JODTA?e1FqTm4G<>5yY%e5!Uo5k(!(F^Fo&e zb~Linq{R$JuJE!Kl-^yshk`t~{sBVAG>%(2+qJocLuv?=lMvGUObn$%d$wX@hK#sv zGh4OkOjU=Pwex4N%+}ZKEzC*BD9oHJRl#xbyz)IOC4R@fMDSNO;oRqErkS7)Us%d zS%HloH2A0S!eclPG!_wvh=?PS@g=|y%g>Fm#e8Y9D``uqRVhR&;tM-ts%ch&gwbe% z((B)l6*%L~v~(#Aq?M>tdTOMrzY{j6$a`(!qgJ@~S=jMPSGY25loG47ZL%gMiljO7 zqla2CXc7?RS65}kYr2$aSz9GOLV!;kmYTG`5gWjc?9%WT8p77KXKf%q6o+=;1%2I?YJW5xG-z@C8nhajfNK=o0j!Bjl=43BvMjK z7l&k)56KW0>9o%I2HH*_JUM3XqZ+31OZ8i*Qea-^BXA>g2!1r8aP`)1r{P(ftUlCdmtEh1sc;7 z5NZqhfTlo<=w)u9Taa7BMNry9@vX=Qs4^)Vwss!T97_hQG4PYc?HwIkJ-?F?aC*Gori@2cXX;5?26ai0HoPpEMZB3k=1MlcXE;613C)wdJ+A=Kar*nxjc3c!biuS4z77LhKJJ_AXQ$EJEDo7|yRWMWrlP~rfBNs+D={A`_yUgi-R%4yjLJI@NCtfc%J-g&7=wO zx^&l+IzCu%`?7cs1jAmFUKa3qQTZI!sG>IWIIExL8 z*4{V%ofLPcaWa|1rHF(@lVm!?mdAXyKPyJX zW+%$=zZTj$-0|-q>xB+XS^$UIAutec4KU4FSf&}Eq2~yM$8pi!2>Cdojx3%vat$af0RMIOr{(8TH0!$>b_)h6h6YeX>YHS;4{ zDwTpI$JGALu-c1={XJksJ*4T-rG#Tj4euK_E4X$LBTuG{xP}QQeU~5wW&Hac4Yu)d zM9saqVO?VGr&ydD!fYAjP0BGyv^L7GNTiuEjO(R;h=C&nm{4I_WHX2ROG%=HZc?)= zq@|KS0GC<@Ukz39PRvqCoa|}{ZYD3nEoO{iF7C0yBOH3m0WVKD9%{?w-y-8YY$op$ zdMo3sfL#YYUo=dDAt@9V6nAtY5!;rcSSyOyUQL=W7Bx?q;zmxwPXe-p=?fKC9c?<0 zTy1FejG9zJ7DJ>_jYMobOru~q)#&Bzx`)Nzx~m!Ui{Uf9;GOuo*H-hw}jD4>GIWd23Z zSLMs&3Z1Vx9++&-X2%cps(<3k4s3}&`GBkaqwUX(9Tul4c)}rhc%So~P^7M_9fF6b&f92$ zjzWE0S;^B2Q=-@dEFj5{hq2$j`szs1VuS4LY*_9^yt~NWK=L_Se|tD^>dN9XczV2Z z`8?sNUVoFU8jq>q<-nNMXK-WkuBGKok?3L^;Pu%N(9~2h(}uM3)=E2`*P6l$0RG%= zmC^Qs#leJnz0LuLk5ajPl-*=wut?5*PHPU#aED-N!~0)Ce6pFG)vYq2#- ztgd*3O@~%%7)P#e$spaKDe}EuxjTUgN9kt=xJ)8Q>gdniPR0=PdzKqUEK#=Cv>#~V zg~=otLo%%Fs$bs-E~@}l@}dm@t-**P9u4*_+X~B$P_gecLaZ`}68X}`?sMUfXXglJ zBb&XaNe2lvcacX8;|>kKRCz){*sj6MYc-|l6>?QHa<~ap`jRu($~la}8>f{e5(oQ_ zUiLn2psT%{>R9FCSGt#+%9UPCcAj658PO$5Q3x~^yy;So(WNqf=j(+fZol4K{Gc*N z`?|ROxO;!SGxH|rc)}*^mIr`CnC@6zI$S?7qh%6GVHEIs=vnlVLgi?MW4qZ>8dGbG z(asTlk~KEZki33w=@=@9yrD3Bg9W)@@Qy6nbvy=VPl%ZALZ10?I9ElCyC~9eTi5JG zORM~ARYQ$bUmi10IoyNpdjZ;mMwA#u!ABq}ZZh@8=)9i(x8zi?SD5HASz(_biG$(; zF+&V8-76SPi9U`byCw?VR9H1XJ8K;%QjlS z8zk+B{7oQ`P_ci2)hgcinm|B_8%>`D`iHDsy%N*;7pk*@!;P4Z90eN5XyigiprWjT ztmK=y+OKBoyX1yjBFUjn`qQ{DMf{6MG8um&*;$}&1d_kz$su@5TQ_U5tk zrQHY-sy-X;NMuw7pWCQT1s%fSKtRp8Jg!5{aW*56Fk4}E&~UrQ za765``tVr%Wec?+?b0#2HNn9W25tt9{PHFTUzP1Epdu`)kres^J%CJ^@JCWMMsd2= zTtU^Hf=bfx0mjB&RhK$sHP~Z9%JW&rL{S}@5s&_%c;nx8w>DDDZePw09E2^L&WlfV#EtpJc^&PhEUbJ5qB@GUUy z`bBx{jmAXX2+iY6&1i%|jX}^T4825RvSkpnT8PhdudinZj~^!w7W%0)bUXL2cAnkn zImZ}lcH|X^k_8kAfyX5pU5WFXtE}Ay1{KeL!r((03+noVhnsi6tavAg8x288T}K?s zcOTN@&RFC|2yiKj9QuQF8;wL_7MV$gtM=OVmsV<%g$_li9s^cXiyg;gUVk$UjnO2G=uojrqyO*OBS;X4J-8 z3G0+AEfq^ikl;+fv9#&p_^HXdTUnswm4(n%c*lv2zvg1de}D>By=fLXN)yU88-0UI z6e(Bw80d$}u?L@S^e?5KLv|Zm)>Fp*sY&y(d(p+Dm8C9Ay8}GO>+Rz2L2Beh74_Ml z237>BO-yIs`oo=jHN=W)v4S-ja<~F0qbz|kPZ-buDe)haAhJcqRtfkym)dQ7J+BDJ zzXkfitER6(&e-_Olo;K-;AIVm`-(vQOERDWd(Ov+RbrE1J!=`2kKAQMjm~s1{fKV2 zxU#PTnqREqVWvp^T}vDwToC#epdgK`0t1+vgvaU0#h(5gR_wWZc<-p+lo{k#w0C7y z9gOUc!WPCv9#;NJy{f{_KgaOx;!cZV1vs)9+9IxLOu8hI~-KG#qoa zxmJBiB3mnC7X(KQX=Gp>HR+G)ADjGS+t1gx(}Pi4pEv6>z4n6jyDdao!ZvTPKPwT} zK6fQ*jv}Mkt<#tA?1aYM-s_4y+dHyqnYx7vEpbx%{+@Pb`I2-ald^*ur2KKMrqU5| z>Y;2ekgjA2tVtQV+YiI;%=I{F6yO&Uo|t7H<*K%wLSrzXBd+MI6YHmetY`P*`~$Wo z;WAHcHl>*=S@3NFYdutsyFW!adKlVy5}r9-Aq;s2OIKgz7ifn{0O8cv)v)I#jtzQx zH4?|_8ntp}VvO5~Q~q)XpS@5Lv{}rrkBb8_LPQflEv(h-=s@FI@rQUGQaB`+a5X1t z5tc;J{+bFz8JQwz3bHf|eQq8K3~9}fOMlS;7jfFX-$f~`YrsI;Zw8t8FevNIi=VtU zkS=xYAiPj3Bt6$kO$$wtpa%16xsiyVe;T@Wbami@RKVw(#FSuHN!g>8M)|)@(+Mq}z#Iq2bZ2P~+`?KOW3AEMi`F%nTt2!WNi#ieAIw@RA{ zssuWkfetV{O7`rZX)gI);o(`;CxvOjlw0l#Atf5$vMgBQhMFR*qLw5t-%A8 z+O<@KiaFY`ufZVaJ2mTfI0({a$_>-dI&RM)r(rAqW}L808&3t}0(al1mE_oEROD(` zm2VmUHpQt9etCNw?%ltaq>Gn}^G;2u^J`H>C|VO`!41+mio9opN0>;Lc?KE_;l39V z+}o~K=)bhg{NX|EJLey*UcOi`TJed+JkD7J%O=Gi%HOUvMifNUCXjxATT|M!((3!HDQpIipj6`PR{?)lXBob!aoF<S}K^|A(`;4vr%T_B6%J%*+fUW@eTxmIanHVz$^8 zSsQlLG0{EIQJwW=W%#MOenDmhzKnMW zV~Pek98CrNpB4PERSBBFOH2DY#)IH~y2ht|%Ya5(#LXQvil`2dVsJ$q!s7cMqyb=) z3CYk}ILW}2bc_au^O7;TWy3cg`P2C;FNz-+$F_D*UtmjtQ5s!vn) zt45#ct(Q=W@%bL)$lSZv{(eJGy8C6$yqDC_4Hji-JAo!o5U?58Nrf~EX$UL8vlG#Z| zNIv!$D8xS3$Q#_n~DmO_FaoHW=TDKF>bj6uF;Uw8;mh)2>#T2rNadxeB48TO@KRsMK?SK;qe> zNvFjJ{M97(Xf=(&Y*J3*sXOS-x9JKq67dqhhN1b%QC=F{IGa+Fu5|zOH#9*9SioXI zO=3~Pq*&-9uza8eNbtv*(X%s#s)`ce++TUu6~n{5oMq+WCnbi8i;f|irN#pZLnbt8 zBBLQksBPh~wXhcb7YS(M&-Jp*PzI8EcTTdJ`Nlsa|8V|9QoF_RQ>Ob681;cFI5y|f zxaXAd?xihl1lVHzMB6Dd2?)~Ym&f+QB=VfUSgUi6c5Uh-&hK^*yBg#iMZ^{wVk6Iw~3v>XlyqI=dd3Wl>!==}@! z#?g6^N(HCzwvSww&IjZr3SjH29pao}safNM#&D~sC)x_R(Y0?L?-rCEJ;tsdJYVeb zJ+DpF1)etlt`Ca3i<3d|xo70H66Lo_kjuz9lkgLiBH9Ti%;6u@P#Ev4faw&Vmq_`J zcmMXj6J3JkiON)jj^^ifJnWnEOM1Ch8V7HluyWX!!sb3@b~I>TB5^06Io+=PZq-M> zQ9-3@)$W^n+f$z_m*ZQzEX_V)CNjC7`!(geX>IzEMl`iONG}ohh_=uB+={|#fd*3l z6uRK4xl(!9ib`EA2(fN8b6t6=dn~=3Z4zWkY{XG@nYhe$W`(g-rj z8CYpS#-R%>!1*Z>nEo&0KbJdS=od?G&bN?F zHz5yIost8hYmGR~8&xQ(Le}c`gjh={lo}vaBAli~IM|CK2?=m7Sl-vneCWhBRHXa9 z^*8_|Jqb}v+fYI{gh#G%23=7+Xu!TS&X6m!r=G$kBY1Mbr(gZ0Xriz02!1uL^?8m3 zu|aGltxB}ScHam}ToF5j4Q>%<6`ne?C-jUpKDFdA8K2w&Y@EG_n%ji0rAF5oACS)Q zC&=KF4x;5`{^t^N{&J${a=g|bU7{Flt?Dv+Eu; zPd8z zbHwAN^NT3xagG9kOZZ>$zLyKk%A`zSgm#zv@gM`=GBew81ZQlFcHz&>{!`Y>vEz8C zg?+C|of@EAhKZH9PJwicc+5Kh#Y@>akC<(Osha=}b!jFQ{hmGaE6)wfTqnDO$`)ibmM>q1a*5glzN%_lI6J0*;W*VA;lmk;bdb8fFYhgSz2lJn1 z*B_{(^LA+LG!@utzCoA%oov5z*cpYe?Xe-KO8>-_CW_8%nhocQCwFsJ;`>q=L-OUK z(s|OM{_3LBHZz}XP{2;BrNVwRipb+rH6eco5|o^dgdOq$1*4cLx7Rvdmq4g|wIHtC zr@(vr*8J+9^RFAfA_@|V)poa8SW|ALi7O;$bt!UKXK>uU(7PiLfPS3hLt*Uueux=b z72tZ|(VL#~Ev~rwT=Y`j6WZ=^%DSW++1p@_qbzSCJpE97%k?C(VoY|G^%Ln*N^JxD zlJX}7zn5|aNIw*dPlK~a90Nqji&!*@zaLZtDxrn)^Hm`_;(q1QO_`Odp9VzL-q)-uojlSj!WDEsjySZ zO6i9@nSzeggfec#N+}B=&f>Jiwve^!aJ-xV9&$%9$D>?!FVY{-b-k{J0av1M_=HAZ zUE)_|GE9q`hwICs{dwIXK>SCRb;uw~0#s0m2PDwE7B8E~2bSSCL`Q)orpn;Wz4RA9 z^~us2gQ$=5_0$ReT0)#go4LnHcp{GyLZXR#SOIFk4MiEv;Zhkg$h9UTe_nh1Jdgv@ z8j5vPigjiKIxlG+tm)sl{_E^$gJzSx&#b@NJ|XiuECuGLw>aSD)!85+RhIlSM7-Ae z0GvG2M6v-okzf^Xg#V(pSufzug^bl~c#R5(i+J<0x$VVmV$GiBOwMSnILZYciCnz! z(>M4rCI(n)^O19cY)2S1?nd!bYcnvEp@x@gf40m2wY3LEHn^|C;-W#$;0_7bB!@*j>5N9gCRW1(dv4|FZ)deb1LDr&e-!h zs3mKjJ)=UemOXy6ir(Ii6ja|#-#cIlp~ zFP1CUv$8p_3fEEDfL6h@K*cqNja$zZ1n>~W^vL&%8ybfoJ3+Tj4oCBZ*&=|uFh3n7 zdmS>$f5slVq;KW|R#uNY+d3cUixxO9Vjs#!qb21l+)bBW@sp2_fID9bsma7Qq_aV} zkjhQ8#WkiygP7dj#`>7Fq~qyYla=#(E%<4viGg9Sa14}GlJY&AJ~74{v{H(iNgUN7 z`;~wqevH@+V<^a-XJDpl6k_=VXTotj=5hpdwME*lfpzm0>}p0xL+S9b-nJ~pNz2Hk z%}D6;alSSJ$Y!{;M%y`y&yBO7PKWIp=9=SN7>=4xDB;JVgaL~sG@F$u{=mqAqv}(Z{$Yff4Ux|zPMlC(d!dTa#Td8 z^zZ(-)e3#;Dzk&3d$w5ol3RFWMg`JV)8$3mP+@NLiI$B^!}#++UW1?~I)*}?qc;yJ zC|Zt}w$_(6Id>FlV!$url~W&R;1Kg#xdn9eN)E8oCBuU{0WjSdAQ$d~Lmol_~0$lE4x!g%V%e}ar90%R^R zw3R?d@zG^1euj%1YM&biL}P02|J|iyv=ujb3#=h03D}9Dpz+6l@;}Rvy_DBpSA5Sg z+ee!R*@ZmOQB}f#0)+S|2#1S^lZV>E9Q8Dky7Q+(xQHU^Y{;DBxfUs=3{M79HEibX z0FefH&QZQTC3LGVFb-L2$_tJvep#kX?yWbL>TW>^uG|T@7(7{%fCN5ytsr4UR6>~KI6whSF^z_*Lz)ZcBt`86Lud7a2#y;-pKL5myD5d#7m>6$+u7x zz<*WLV;TL#@+R*oq(Tr&r*lP%>KOFwLpE}S>xLqf^LH8gv0F6~?RTt6A?t-k5htEo z{X%iNVxdM}lQyhkr+Qu!@_!hBGi-``3BvD#;toW^uq|?Y*660grN+U(ucXE#z)pp~ z8A4rwaQK`48L}V7nq+H{$?+$x4!UkSRLmRKznkMr?iheq8vV&5!vVqOdKY4%BTNWqq;zwAUxg5%qi?#H0xTQ*t z+{|UZoW7z8dk}lJ5R98fMxYtkT+|gWgN=RU#%1f`+IOYui`FvhUWF1?d+Xj}){EA~ z32@)}e;PZ+-(AI@55a?yuM?yLe904tj zhtrl7Be9WRis$_pOQ@(4fe3AiK*RG8m;H4y0P3o{A`Abt5%knKxj=f!COnEN94dcI}|UVlOMxQeD}ccpI=O=6(^eS z*CFeQu-psx;OEgYW*7>e~F5>)m9G9v4$kB znq)YJ<$QwY4!<@Hnr{eO5vYg=`t0s2l2*uld@fF|_sZQ(C}t(sEu7tAzOTfdV;G%4 zkdYvkmO`ykAcTA`&>Ntli+MD&|Uf)xls>v)R^@Wk> zhu<=-8TX^;xN~18FF&+qS!FfnFCJZ2f$C5c21NlC$S%YQR?$DDEG*>gY}yg>bPhn- z@bRLrA@}v4Q{gTukNnsaQ<@soFc;=m0d_UUMaD8U%-_zaob}Ibtsg%szhO+YZhC@d zh!}k3+9?eql0^M{&P(nUo<9Y(TIBt8yyBEtnZo!)+T7xgC}tDB6< zyZ9KwFp#H=d{+jIaX1!>!Eud$xXx@?ey0RAb)gCYBms-jaTOO55sEzpQeR&G^*3%l z#-EIA@}W2|CUFV)`cEctw*=jdjci2>I)W8lKuvagW@H(FkG^pEYGl09w{CT;0*hfJ z>B$_7u;mYi;iVJRezhjwB168kIc?DZ!AQ;diz3w;7mbNEhn!rsSvvLC8_RpkRE{-^ zm~V_lMr_up+7a&q%K2qViP!o@%A}O;fs2Hi_N(6nauS=BP76=u@QIs4f;Q-wP zgbi%#hN9Jkz?#4j97uxu2xxj#P+snpgFC{@a{wG7Cei&;6DAdwAc|2(rXrPv^A$m! zQ{l`XdtR5|CM?q&r%a6B>M*Tj{VIx7PE*pD{CGPaaZGQv`AC2D%LaP!Dz0mP+B~L) zVFI-5sL4==9cZxMakS1mskjd|>>!DFayHpI%5LtzUCH2WuJaJ^2yY%=()YyvR<}z1 zO0h`rQWjrALDdwjkAYfI)=A1!R&dGtD=%Um&Y%{nOj;wrt86#_W@huUW;;(_IG!|L z0`~4}yMakYOiYCIC>ogA`s*t!5iBbc(VBFZM<)*5d3Ko)%T(SfB*vt1rR`sqFi#Vm znPz1TmBeR!a~rc+hmQLJD8js8e zB#(s7YSW)W8x7VSea7HvxwuoVDXITjp*FP3It<;bGF zjHDap8yNZUyWefa{J6cS{V3ru2gP-kc3LDA@Mt*y+f@N9=0YOC$kljz>A0U-;%g4w zNvG))XkowSKXuSb%^g|||9d|DZobZ#l$uItO+n|UrOI=^S;LW>{%8@I*zO7Q$ej1>bbJ5%lI1y}Sk<(86dw zVFM$_>=$Yf_IXG@;$_&ksGUxNp2rHl{zXlx-=sNJZ9*Tq&K~~tyE{#A?0;i!oZ4n4 zd(3~6TGV*T{a6$V`B#gD{;O+$daCspKMTI(_lOL=o6gTF(VvL^@Buv;bO>@Npa1c6 zyxQM(UTb|kn>_Iq@KUwkNWWfWvo_y35pgZ|QI>2GvYnn1NuO@;AGlS< zkEsAySw^qdiwwLk^NYajH`Ha9wL#D4&xlf)D5zD^hqHt!mUqyt9UwV)BNWd<{Oz^) z7hQo;%Wr8{w0qG=Y!k1zV8)TP4Y)?AAtP{8V8WU0TX4{E&-2L@5i1#T)63%i%y8^q zb!~A64WB9WsQc?J&Ud@Zu70roP;I|xv9lep@S0JyLN#ltNNx8SvG|Cn&je_q> z3(XuK!vc=VpKT;4{gdj|ClVVKC__5#qg&)csv|Dc!U8v{mVy9-db;Jze8NQW)Kr!2 z7fyW-N8t9w_%2h-YP(OTymRJ3U)Kb6ZeEHE+6{{UC5+didQ)0HQf9fJUuOx2=4kC*n zi#P;_B?~)aab7Pf4XN7&Xn*bbB?okblp>6iYC;*K)Q8*0V1@qT|0ktVMpJ_vFF#&; zQB4-e;+jt957b7!u^HZMC@Yih2D`1oJp&~DWob{V$HEjB4ZxS0K^PFfY#R*JF4*iy zE9=79lLKlSN4!!4nAoeKq0WeR6y@72v1)39&p37>RN7jwBeZ2#RnXg?TJH`lOkcmh ze=z+oP}Te}9WJ5WMZOljgF3~6lpNiFRCE&A8ci{-VRZems!zTR^1|jO~^M{=yn;tI*deC%z0YOoiYv9S$a|gt~0m z)yX#!_XD$|!z~UGz))2RkT@WKHaN3baJ?$9pzi3QUtH?k!!ItmZ;mM)680P`M9^=4=^sAH7ubu+i?L?5}XM}jA zw50I^6GZ7vivaR3VxEU*&!d0UM}7RB^JY}KDRO}UW5a4GT>B;%_L8c=kOZ&DftBgW z8sAReKZhCD$%i2+f!!aT&w1e$p?9_DY6rrgu<|T;BF^MHaqw%1to4w#s!(*=#CQRw zmVhDnHN~{pYyxgOs6!P|SnSqnY=vi}QDM^8G7d1)<|&ozoH7odJ)gvF1k=_Q^rD)r z>2IpYS`E=0gC*~9wR3Y)&@~LjHqetxW^8N?Sp)(__`PxcX6yZ}=m|0RkFJtB);4ZU}c4VzlWG7mH~b%5FSCi-R5 z>m)4xHHq#up;A&0|BFRm@z}5UYLn>|`R2T8ZH6;Vn!-2~%bx^BH7Md0#lp?p2v%{vymH#O|Mq-!{>)nLuhg+})f$@mg{`HrplW?)f+Ne#VDWuCBWOX3r>q4l+=e-x zA&e;4`6cg?5(a?^*EAi1B|f5D!Ns$~_T=^-VD-Q7R!I`=+c&H%0i?)@a4;D*L?}>= z>_PG(nsn#g9n#rHjHo}W9rYl-j~M{ndyqE|D`lwoBVjhvCoq3;B4`og1ec>Cftf4T z#yAXCs}yACm5Lu=+6wmN{|9YVEAhXht+WK-g~kF_e(I7ImsXoiZA@kZb9=3I;a&;_J@eIL-(Mg&JVV{JZ;309xGah#baMa8K^kV zkw3077+j)&ik;!aqYOM<=Ezqt0e2*#YPBDZSybrcTjOPT9%&F~=&Ly842uUIgD@?r zq0|@p#xko>Q6$UtPM3WZ6WM=ffae(jfhU{Hde<3f%E#Iq%815Ze*%w!mz<{W)2uj; zB%@{Ju#yXjoKxwuHp=OKA0S#~RzP}q-4FHbI4jmf&!T+CiLGw6Vayw0MzHA$6qLm> z`I?=F&PH$?gbeqx9T*_mX7WFzcH@h81u^k@l}U~qWHQyEjd~^!u4#?FstnPS`71po zlO_BwL|b}df7V+QMRblZvS|VYa9Wp-C<)kp&>;)GcN|>iIG6fw-ajxIOy<%_O=v#q zm7n(L)P2tKkZ~u-Pi#pl8`1FmFrxR>E-3(ot3L`=n+P2Xo7y@tDI)M^)|3i7`#JJ~ zWB*Z3oI^1PP-peU_JV+(%ig@?(sOz7f;h^t^Dqddp-dI;Nz(2NtaB<$kyIjsIFn`Y z&{#{@uV={n=M-2H9*w-el3WH%67}9p8v9n8VXmCuF=UN^w346XVNSpMz?uT|Inc~5 zq|r<*sYV&X+H7j!x%E0lXxvX8h2z8!?s<3v*r7_@V>YLzbG)%vO8-d9S>@!Z+#AO|;?<5=HApFx?(cSP9#$ehgrhtKpM{Nc zjDLK)HO(>B8%5hxs;5SB)fq%;s>Z>A(j@9@MP25CPjpXzUgSOoPbxfwI!f+3yGVN ze}$rYr=e{|Q)^KWZ90{5)2D?jdoyE&b#IjMu7p{bd78TkLV`aiXv5Egu|iNDRL`!L=dQHuMDboFl15^)#KfivT4 z2LCaVge#f)EPBRe-{vUDE_q{p_wIj%uV$F*|8Mwe^8W+A%CLPazp|*~==_w>lO5?h+BOJYxv>N48FlQ0@(5v{I9^L)27agmIxJjndrEej zWFh$>#BvK+@CeciYBQBJWEHU4(Xt@-$G5pVp9DoFOUr4On$W$|RINZkkp7QvM1zi& ziZG4n-!s3Ru_E})#uOq?+{}=xvzd!cPC8V>YaRP0iq1T=Z0T7BFIHHZ5v*^ox zJZ0Zv;BLeXzz`5$(!08APF*$nTG**mQSUnASqwH9ZEpS z)8=UJt#2nn^x|B*NuuKs3P_&x$6ra6X)Jfz_S%KwF7snb2!fibMqATWkCOZ5-KI{SZM zSixuMx+HE5k31ptd&SsJ5zN61cKc?*5Trl1kB=Zpe-iCLIQI3Nqmar3zG>6vm-}ia zxC(#8u)C?7h7TfR+3-H6Js?i>t7AD9H_`J5?rY#c2(WO*gNihlF~NW-3L96NfJ{V( zgMIHLvpNX~_z)~Tggw{~h(*8U`epH^2bb$|eqv_sf8)8~Zt_W38T!!P&J1OSil-%1eK8E)Eb_n?^N(1kL~;C_hA` zK5^vUv!um7&3VEKNTMc%4{`i_iOl`l4@|Hy?#9ShS)ASz%vhVHM(cE^k=TX+KR_*; z5NB+m-eaVXJdV3&vQ~=bvos&0RpnJM9=whb=7N{0r9aisge2OMc~qCJ_U6YF@w^63 z1SsS_DX(kMLl4Nnu|j`3BsP-0zkmC1?iB9zdrN7~6;th+TZChG`gyOAv*BF>zb5f5 zR&?7Ag)m1xb3BEZls$9Y#-^;o>{C$L-zISOv;N)z>Ut(!LnJ7Ap1s8>X2wi28ruIE zTzZot|6-K=XblHq_wt?_&d6?KEjdK>W|`J8i!Ba@W1WPqd{oKmhX?W7JO98yZT-1C zIOV;|2X$x%%#~a-R2}UNukb1s=x+KEJ54XYCXWuFhv^VzhaRwCw5*q-X8n3O`f%NTu0fQS)_Hk^@CrmXTWo3OinF&qi!W;- z3%5CoX8_`{SFOJKXdqk1tpv zpKmIdQnM@opd)MT(^~+OyVtf=W~Kyj79Y-y!wOCQOnm5g*?K2K(*d0m_02|@f&8pe0%5=h$YfeY4=e^ zjp|(7C9CZ-B;f;Yb9dMph*{L*>)<*MF=2smYKLmWbA=9<9gM>yUNZL8VB*-by!=_5 ztN2>pH7iMj+h|y-bQJ7_aSqCKlm)u<-Mdo7h1I@b)c_9VV`0R>eY?g-^f&grm^U4c zSwEP(R4#9;9Mt$4bJ$`l4%VG}k6zilEA@v<$Du;f^|hGnIhgP|Ky~k%D3n2di5QGu z0Esl^-1dDsOnf=!F_AT7ya(rZBp#2!;EYaF$>|M_{6mK{byxZldGe?4v9`oaAAC7M zVS+M9(B~R_JUiqrWuHOwlV03)hCfDwTHR7)!aaD4@&V{SLZ#YYc>FJF1UO_0m#_=( zWQhS;jz!-}EJ}?_mh|Ji(W7fg%~lJTL-<_PzwTNu?qxmE6$87R4S6yhaGDkljm@|$Jk>0cCraN?OCNzk77?e3r2G2=7bHurR8H`T~GUY#?u zU;0q`_`D5Ba$dJM?hpybE)|FUxwn1Z;ofoTRi-1C;^4c``$+P+XmCvna)l=FCk4;3 z1oM~(@Jko#90Ehh4&*79@HEOdYBlqS5(is6+l>raal$ttw4uc-){E`U&rtNXT{uAa z)zJV@n}h^V8Hfr!$ae;rKSd0~k4mHz?J6oHXWwEyE@s18DI0%!C7} zuMR7bDrfRHx$Wd4cDvYY7n=@06jbXXZ^ieCc8j1EU zjxOHrXlp@^{$tGG>4j7LoXqxVtsN@byz(`W`pt`scnPXWgH;@C0N)+CJ0O7EAUGiR z9|)j%2pGHKhGF>4-%?j~#n?ZJ^;3^dzpsEstJb@!f z;n%Jxlb~0Giw(I3Q1F-RWCZTDMUh$K`lIt^XTWA&f&zDhh^?&qNA&dToKx`ZvWj8< zNsyv}6{5N>H|$RyEl{0I>kL+&X(ZVIo=7mOH-f=E#;Fa8dozHc?u#dBO-79!s?`Ok z1M|jG~B+Zq4wLCrWPJ&bsNgX~PN}5s;QX@=L9H#)x zTrt4@PYGtOFp3Kpq`}NpfQ`(Obj~vZjh-i+Bed3=ebJ*^a^62DE5o4Wg2Ld zYcNCfI~Xwh`keukoM&Uv5whh8la z+K(c_w!;~+f%}e_ zW1dDD=z&4)Q-EMO?&n!*c!a*DBj4-bdlDXbqE^cKnT_ehDcEaYNlTC9o zf0BeB34N`11^)PU##<)y1OTf<3^7f@^5!Vum#f+eoHf=zXp{_Ei2K`M{t|GTjhz+E z0v$)2ja8lGZ-c!_4;@n_6By+NN6&@4YR^3wX6O;r?4W zSlGOH%nM=idhC!Dpz5fu;&3*1Q6l4W)MT45_j_oxmR?N037a&DMHSL4LNqx;Emj9d zYakr`ctv$MEKZej#ZESqn_u`lTPI>Pp+#UCnM zE;kp*8DD>+2`JenY-jl$apBr_^vx9@f9CxX2}8m#Zlxlu7p}lG_)V z(ie?!;zCWk4cX>LrH^`)J~5g?7IWuH`n2y&clQ7^e!kqXNY%k2ecu8frHkLH`x0- zn%rs=r_fo1%NIfkwXWwwg6(O!?FTuK5Pxf3-s4)+_BSH8SIRD0%qW>G<_fw(Adc1b zba({20O7Qiw`mW*Y+@7*GzYV&?B;ybA2^T>N?vW6|L3RuZ%W*%p)s_4O!A?PXN!4X z2*i8);HwNWmQao>pX1z=8Z}EP`mw4P^L}0zqo-KjPv9%*X0RdxDWQRsG=(MnJ(Uf@Vm=t65LbhF-|V^3Rxcei z3rcaaZ}OtuzU6^Vdc_cPT*YW}TyyCEt=zh!7Fe*6<-vcJgGo)s$B4P_xAKNRru4iK zttr{^z*V4G4vAD0&Obre5l@GKpExHgCmDONBF{L-vmT|bQI#r>1Y87Zyl;h8(4^v} zkN!9+pNNJ(fL1~eq3UAM3Z}Q5_{zY0)UW>S+B+Ik6J9WLlrv^rJm0^@`x|^-=hF>uls$_%%=I$x&o;>l6G6>$Uff$uk=W z=SRn`QD!ooqIPKZz23u=+Pvgo9siqc!zw>ze3b7Kwir3f8^WzkrP1EWT4ZGtU{ME1Q@-xml)Dsm8gvj*?+mdYAY(IgisZ$=4jLTMz4SQ22W@WJc3|^SWFb@#@hH zhD7Z+liu;9RvrJ}Ci)6IRIkk5D=SehxV2eu;}tn_^xp*Mm6)XR(TYVD(ViCk)xj5D zH33h(s@R)`Me@O2pYWftoGf%%EG%?WtcEEs=52O3eRW|ztcJXdCj4%R^gV#DTUP0> zELyq6cBT({A)-nG?GvUu3Wca}Vs_SdgDJfK8-vF#WOvgbrOV8`@D7*Li7I?x6X<_b z7XVH*jCY;XI0=>G0;k`n72}QKIpJjsskTZIg`{A<3|41@a))_ z8d$in#LMX!T-B^_kZZbj6Ds&wx{k>zOl%W4vL!+mQP&}Caa2Ex9g-4Gi=vLLL~ux# zB9(ja%W74g-zhSNCJDriT2arFT4eQBaGf1CyK!A!{UHgos-`4(-AnocdP z%iJaVt;9XhT28?|;cQLfStfvRj*QYc+``(D(Olm>xrZ%5{S1eL^ll97WmTa*S8lbd z;-10%UM%t=d}qk3OdTgio751_~$P2b6g|j7(;66U3tc8 zkDGu!qh|6nbN!;X`HQ@zysUWB-{{`y6P;@ve3kuJR(SEzE;AkGh2J)c{^9&4Fb8dsyXTkJi~w36D=<8 zr~JZZXXizPuM>;)a6h<`EskFH$;0)zRSmJsfbb(ARquJ8!Dke6EZ0oR&LufCbXb8@ z?=ct+ni_Zq@-=8O`Jf*_^;seQ!0;M72C^% z&75ITlJ=&qP)Q2Tl(a4XF04`g98%yR#R)`^r9{LY?h=j?dg zH4Gb1uS~}2)%R6bd-L;|E3Z^rr+F(*pS@Fikd#?Ohpo?VHt za?hS|u|CiIlcNfvF}E7w_1LjNNj7nssG1cLiahe=aEwb7#RX(deo2}dvpH^Kv5&ha zs=}nh3lhQ?!wW1qbT@#AQVC(x&g=6<>jY~;z9MrU=ZyVrfWjtQ>{y+`ZHbq3h>D8{ zZ0J~N==w$L!l2Lz?aD9e%BnP3ZT#GwQY1@93li>iaZ<=41sBXUzIn4$14L4I zr(zqEY*I9*H7oQKd6dd68JAd!GhzV)`J+eVYUEcdU!KskOw_uh@Z$3|63pSgZmLF% zfwsM%F{uW-ynI;y)mOq)_y(pAIGqRd;kd*~xC}WF_P1K~2H%-@r`3ixpUazIzbEi= z%4ZT3sVu^QL&#MYM>7A_A-QD5#mgTwB8ufUUy{g*wVTP6Vl^w);7jBfKV66|6ed;t z={~t3`$V6+8Z-vdelmoX4na#%ldb!@i5fG;fz8f)Fvx%*#DeY^)$)~n`!IPm4$X&0 zKP#r8)R~+{$`q)LIHtPki$Fm&s3W+xi#?4&*po0TB>weDQM9)|;W-XbI;3{LkXe=v zeLM>NwB7S)<0v-u&ReG8YrEF0l2qE7l?!ot98_@--8qlxa61uR;Z?{Ai<9n=&#ZMYR4y^pLksSlHLs(1AVnXma#G+k04Y z_G|t}$uEWXQ@s^WYF;tE;oT!mzRu)cpeT04A$Pv^lOn|y1ENQU(_*k0b$Z?b#TF~# zuq>B^lA>P_4Nw7|mGMC&A&<6tISWyL-ex*IkCv3kRyBAegEXc@rmXd=t8@dktu8Bv`5Bex!xHcs!GUpc`I~FhKblM> znZLB3TE~c;M!qnyeNMsJ=f3m6(M@xW`S~HPiBw7pVxai-UQrtp429Dj|G>^ z>Y&kLXKd;U6e|P0@1=n+Z_>bmz9=nT#WDZ;An&;L0E-99bwHM-oCFImnM*RdpQA1 zg4oG6Iy?`xjns%EpY#V!V9e|Kr6_E*3STCIpaN;0MIaf|qC7wLy?VavLOKWrmx*c? zhSfUylv_ecUk(oZ->xowlm0Rc^6a2(`l#v0-hj~mv9cZR@PD!QmQisuUH>l5;BLV+xVr@l z5Zpa5cyK3paCdhNPS9Y%-5tUNC&48+1kGu3-;cb{dH(CXYn?CW!&$3(@2cI^)jd@` zvudvSP1j9T*RqdwywZ(p>iPuO|K~A!iTUBZM_e(qNadv@G9-PU6ij#$&d93>}zj< z|Eo1acVpMWY;wvZ*4@*7N8($Fdt;!(2|0#aNkVRJuH0MmA7_0 zJX7^vlFRzTJLzMLiL%E6qQ+OrvGIOot?$a#W*K$oW{1OU+fD4!lDN{>@@#ojmb3ZK)9U)y(l1M;wM(VLN~N1izpjSIr<2K#_d{N! zX#Ps3rt{MIetB*6E~#Q8=FRT!skJoo=9$A4C0a?9IyvWucRcQ&MTfp7Y;h}R{ARX? z1}9L|_Ke=PE#LNxQSs_y!u6Dd)6LW5hBP0w@2EMHE(V9Qm%R8nkZfx*Gni=qM)!8c zVL+i#(_2Nq5%IsdFfWVHIyLbw$h;yM%%B%oY=W(E__0J4n|r&$ z4I4fsXKF#^5EH%94HUK@7~E$~+H2g1zFDgm=BV>YlHY*fHtdV1O218+1YbOT>SyMQ z3RaS)mI{OFMI6V0x zq_Z0?K0$h^pHdlndPpMGrP*peS-4(du#}*qRB=U{J(OTkt3XT5fF6j}g23G^(lnp@QQLaKeM{iac_NyjmBa2_|G7K1ZDiQW7l}l(2=B zK4Ts}C!LA6K#@2sOA^$vpO(g5;^!vA5~lcg5UYotb++S5ouu49CrvUwzZ zWKd}#E1HBcD;irZD_TJXp<&s~Z1zUbL5+5SMqa!jPy>e>e&JG4yl-7lf4g?`1pKSg z4h5a~$$B}sFSKi^X|s0!Lr0v1amQ4zvHGhH<-TV-^~Wmr|H%Wks~$o;Pe<}tZCYc$ zG;?BNFcMv6ONh)!9J)KkTV|VXK`k9P8d{KFZ%qGp94KyD(-VjG0lv15_%m9cu_@m> zpgd?_rj`KMY3~l)uikAW)zaN_B}4*g$Qp@=Yqsmely$=at=&2mkJ)DI!I)hno zSrri{^K>g3iVy7-6{mcpiY<1?A5i9kmrG95co@gYH@Jbuu8gJ7fyONH8OM!Gp94=# zMbE9LQ2{lQ{{)1Kfxt`}pWwLfV({`q%Z;^;&o-}d6yG+j`iEPeGGK4U-57x=a5+=+ zd9NlM`t1BH%FbT?60{9{@xggLbopUi<%ja28w8c-OkfpgVq68sXb7mD*QIaUYxnd} zY=UEd@k1G3Grda_2>3m?{RzaCYe1r>%mytve{f}-WsLt)u<)Vd5=|n?8+i_w{tBu- z2roJW7wsvSk)a4e=mp7;1R=P>MAFKkGfBqWBCkz>#-6j6W`rVtNB=ELdgw-Kt23nc z7LoXC-S{F!R69DaZe8~wI0-Mx8_oIU9*}t-0K}XS=P53X5VNY%?*$=d1MM~979BuX zcaS)SBV!~3uNs{Pl=&>J$ur{JG$K&rAcYen14$%a~5jTv3nh=Sjxb>4F?jyP~8t^XBC+K&U`Nf{4xT(^oA=UL-heE(zjKX-VXCx!G~ z%n=tc&YMW$Fj6TUdQ~@}<71_Pv7C@nv#-0@vXhV-b;_Z`z*|J6&G z6ML&GGyE)xdc?7ogunM#(@dr@WB3SrXk0^%V{ zu3zAC$>n70(j{3+=E`Hg$Fsh5V-Is>m1F1s=%Nb46P8@-B)eM}oGd9wK)d4oJ!&#U z5fP8`N8=2`!;T&?88EMPEGqi-iHDR|Fn3+c2DrVXhc1?_1#Mj)z^=kwpS}WjSaj?z z-~5teR^H6nLz5n{{RJ0LSLN@zlCK4i2>6NZB6&1vLoSw_>zAGEZI z?JMoaG5nI`!(wiG92^^gcY&$jDOb3lEfBq~MQgq#x(LeSn>RL{y>JP&18(#5%Lm&6 zlhWBFZ0>hN?G2*5p>=BA*tSfAx;&drR2B(iaoYR!ODg(tg)jIu<_AgCDpG+LSF9ue zyx_?9=nQxhlsPDe*g!wWlO@0gAEr%(aiWl>-QTM0NDSQ~Vkr8FChP9CtmJp(VVENe zJTDqsTMlFozyd#$z!}uEIy^w7*Lwl++0?v{c_GepAN72hofk3NhRaCSMDjn}C8;Pg6UjaaeMydoc+@1c5u z&0I+NFGs>$*i%6Df`swEIN(smAeqhpo*p&eHnVtB%dHm}LW|9englj3g+pne)sZfT z8zbDISS_6CY0`2HlYYJ`v=^kdHm`3iT~Cc$N6&9n=#rwU*u5iHoXZ9xqu(I%7Eoo8 zFglMFP|cAro=+BV;`7gs_D?@2DN=uITk}G6L!>57Rm4s>{WqU3BH)(w7FuP27kwa1 z2b{zWJsIc*pesd4n|Ch?6m+(BB{PH#`BErCXD6|nQrUHT-yw})BgjMMy*LV5vA%xI z>YIqEPsJm#xBu8tImGPj!EHRCS00bFsILd~HZTYoNb;o&R_G>WSjKX?AZv|`OjfvM ze9l3=Ksy89G7Cz`;KGnSe&BKF9e7frcJ&k(zH4sn{c6(R}kdO*7G&(8cHCR>gu+ z2l{J09UN1)*D(d0zIw+KW?l`yX{@Ff_3#=T?960PWDA-ve9y&mP zrngRko~E-sC?yZs1a4G6+|a%fKcE3tV4`5X@B~~G4)0avY&H;e~CHHjWc&W_e@ni@(0o6H(hvK3tGp( z+0>*TTb+~*V`CFZ%pGx4rk*dLYKH_Fm=OM%@L`Ga_^PV>Jr7*)dEN6x`nL~#L+HOv zII&&HlGy@T*prBW=)d_zb!D~AVAN0TD+$L z<}P#h%zrHRA1e&ld*H~R|Ltr67=VT+EwwU>kHF~#bflBv#ypb1>Wx52@wwxgZhb|Q zzwP`6dM;}nxJ=rWots;g61c3Y=g~R50^)t6K;`8Jpj=h(CIoN>62O7HtvS%LO2bRWUN^&?iEFKAi5{Jx$E}nH@7!#jcMI3VzQ2^K}ft(R9LHAKb zT21FrsxgIMZYlUERC z0pTb?CIXfwp3L(XzZeYNM@M|wv+*7bPDI&kI(kcKs#MWXW?xj@yJ2QhOevCqZcJzf zm_blF6_^;h4dfB-UxS57pG~=i)MXJD;Q#W0RTKdx_jD8l3$5(&HW-MsGxmygP3aE&5q5{~6 zBjtRWc0UQI6aD9dZJ9z*aF@hZ;UXa4LGi<(C}H-L(Llf86QIJO87Fj$bu;6G;7-5e!@3Y*5S1-stgo+6 z-rO7twUN}d;oKN4mfr9GdUC%@Y$6j{ReBFC-W%8aZl$3))tdhTI#CU&sWtZ}TD_qSNLG@skLhkkjO;yiF+#vB}HSTb= zZ3I=uuM~1D#57wyxaVMGPxcVubP2L(N{qo8!_TAP@YFS6@D?6wbbDYxf;Kz*Idan> z7{ieE&Ea`^zv6nx_`g!<_m8>(l$W=Nk=#ku0HK!Y#|6S4*3lzTgh=_=9IrkY zRNpTP3*E716ue3+rIwq^SWUfkhQd2D(CQHKAa(xj=JESU7$a|)55v%#cS@5;zKLpS z^2MFwK_8Pe$sQV-!#(?F*R{2i-RAqbr>oEVeYM7Tp=g!twmKokeT=BYTAL}MA$q1i zj+@&W*V{=9(1$3o9}BgpKraknXxS3R@B+p*ca|L=Q+9i6#4C17AFq7Ge(X#r^Edb5 zbflq|v`70}aZ=}hAM1Ikz4Fxo+U2p(w#OhBi@j+4k)>h)-~IQMv)7lO>$`Q2t`Emo z1C28Tq^>{oJ#U{hY;c3}DZ|DN4-fA*$&HL8s!Mk>L~2f&z1j4;uaRL^wZ$p&(j2;q zGjb7K$PFD{#U?Yb%is#Ssj^cu;*OUf!;2KD%yYr}9tCu(bv|W&csten!GA!X9n3(0 zssn*v{`F*7>uGrRbXgmCqIG(VEc$8V2Xd~~sWE(*I_hI4;!9mzLvj?KP7WVI>HV7% z2bm_tPX<$`XN=Snr7OQX^8{&es^prm%UmT3n|t*yJvvC%1Fk%)E;wpM@dV*K{m}L> zpnVCZo1sagO*EOR0D<=Ud1Sk^1E#&^R^#@}byjdGcm9 z1`N*tRf`D+RjC2328W6T1>vyCTKs^1ve-v_MwEZD?z!*x#XCt%>w#efMRwCd1BRI0 z;OkAP;Ty3~{)OZH!4dG)2hm5D19Rk5XtNpNlPGZ<8aP{gGaTq{iV3K_M*}%HC>)ku zJZIlzmOQ}&(M~UFTZUz)-u2G15JC)@ zpEn(YAzVt+c=8M~?wJjZkB;n|p@}>QEIWxXN*ws<#nA&-;R)#kn~*F`9|J@%6nzZQ zIeW-?h6IB`e%MKLe#dgO{|cOVVis0+L^RY-q`>PGF6^Dq>l!YYI|pf{(vviYPBswb zOWs8z!}}@7?QKR^-f=*8U>QZN2xGX7U{D&h!u6f^@B4GtwjQ(j5&piHKB7qp{EEXS zu7Iy)nz>b|cGKbG9p;5v~!`TT9wVY(J#VBuqLb^vRFNzL+lso`Y z%W_!KR1tF`z=?+m2`CP$x*jDZv}Cu~8>3B^+bZE)GYHm9SoN;aHM|t-eR^XouxG$e z{kN5$X?2wDeJXGqBxKh>ZtBT~tmj(FZ}eNrxU&fSxHuQD8)fBk4KBxs^Che@ z<`%v*90*ZQ(K}8Vzb-p;c?{8R=&U8?tQaxkg|m6bt1<1rY@FIDrm{i z&v`S`er7y4c?nJH%A@u=)%mMqz(vgP(xzAY5 zm|uYrHSA3YU)jWNvqJ-V2Q_{BkI!O~s6CXrCwiV|87xzAD;%AsmZyGSR+Sy@DauL6 z5jO7Q4iid1MvFub*H2zj611fy*e;6Z-$bX54jCh_9CPN(`I1};OykkOWW z6{2lZ`E_Ta-zppMFp+F0Tjzc4q_tVt^vlRFJa2nOTvE1@#JkS|q2&v}-M#b>NKdz! z`i0au1BHnaG?oi;c)Gfn0p-$TZV0S4eNc-8Q9s`3#$L$o)#GFR2SJqxEI8bKn1VH( zFXUIJfdyo&CUZS17;J=zP4KYGfxzYg@4W}!sA>V9QF3+duR^Qt;$ynmLnnO3!+fI; z4gEfq;BO%}v*OrWG7w0fqUc3W7vXnVQF+%S54=fwtyE;T4{TG3i)Nwv% zwmk`wO~L=8Civ3-8#6_i1ir>x9|n6c#>1OL{-Vrqiul@B?n;q4gYu)*voYjh)# zEtGBjVjgkxevbCdPSaL}&9ozKJX$eSpS5X^KRZ4u=#R5KCr#q0r@E%}qK%rek+vW{ z!x!u7{24Yu_I~H-hk(lpO7EsePR|GUCfpw_e1wtk?-2S74Qq8@cYhSt3$!&)c4+7E zY@ckJNWf=+hPiI#@#k`a?mY;cf`x-39&8N|%Q1(ePl|?uPsU<@#|eY`R=Ua0buxTK^#=BS z+b@eCb6u(pDypIIoesoJ}D?yTL z^pUP;!PaGI`Sy7Gibl|yF0UtBc<8Q$B=0BK=A{w;cQjbOIZJl1qx8w*#TZIPa?^a; zeH8oROW)S64x={TB1Z_{@5}{WOS?nnX|-BqozZ9tuqhUI130&^&=}TrJcs1nYuEhB zbaYqZxd2u(!RZ_Y#4oA)VtibrD+FeO>A30W7VK68={;~z$ZAme6!8Dmc?6Sel5)!q ziTH{)LC-lU8@j&I%T|481)nx1G)-}9g1HA-<=Q&_9OGYt-Ro2tFF7n zATzlTgyf{X3(cAZs&@b60%mVtZoTTs!wcSId1D#Rr#UbPjj?C@M<6Dwi4l8kA}gWJ z8v@${FgU6l42G=+V{|gzCW#0n)h3QlAMfMS6t-35Q{RHfuWDBu!l5YAV4zyZ7vZR& z80-<^zgzt6Hn1EsaGC5djuMj3EJ7ff-BMPL{K&ZIT7zh!)fcENTpzd)ntR^Zi7XJnU@M`C=Bw^ z;@@iMEdVi+oaWoQxi`!0f{KnhU+)jmcEp|LG^dO?mByWV-9FttOxd`~j=z4`V3Kw> zPnwhV?&kXS=?J>-^SZNMr4r#$o#{b?sh;Vs*6gxp=B80s+~Z2KQ`QdXB9LzbF=+Mk zD^}H|2XO{p+Bn7%<>DT&9W|7I(TmP`boLS#*npWm1el<)Co;G+i4NQ?Ay6*qcxCpa z-CM(3Oft~9b1g=NDjQt)k$;XPxjCDi^y}?5#N4F2Ss40C((3&AZK{y_!Mn&cI-Smx z-Ww1u@_1wAm&2JiNEsJ?QA6M-Uirl94QL*0=hyvw8#*3Xu@wx|lcioC*5&60mItE~ zFtEHB<}k^ajV+Ou+4>S+0Y3~|6Pt6Y?yY_{#!@diW%_7G<5f4WmROXIJlEDxayo+@ z%9|8n$jP1YD^W3Pk4T@`oZaqwn9Z{65$%AAf}6CF;Qd<;C)=}2?3!Xou5k_X7re{R zPn3{qlXVt5T%-7!T!;BV$FOxBJL(zls#KFDffZ}a`;-#@*i+7tKok0=x6DFc z9PzhO!4)zmcSM2vaKOUYx{^Pw6n$|-T&x*Fc_PS^8*%5fBXZ3ear=@5PzG?diYD}fd-w9`F#=LD1O$^YvN^_9lmF5XzjhV@_Q|HmP(L$UJJ}9rO z3u0kZrh;ALiL<|p&#Nd{CI#vDwT-x4Zvjt5o8-k^M)+wb$-&8_B#$=0+lLh4vCV_K zjGP;z*F-$xJZf6_{wvG&4Ewk~Jx<56J%e=9%H{qJxU{5y(~fw6cUhN5DM4|PJ?p{j z;0j+zi#yqje={ZP*E|m{lM^Rzmh3ynCBa$sFR3QpQ7?5BiB@%pSEabVL|C}u#PF;0 z;*L=|M7N6Ky&Mbuj?lEwXq)~bl3_FpIjNca+X;A%pqt7hEI?7(R<|*7R*C!Q&9sU_ zS5k=0SmDjQ;$#z^t;GTTC4XCtNpYBrzItL7#$LfiXg75SEn!w{HVa{3IWbdUDp*<| zuCl2at%s<|HoYl<7x_<-_5_OM1PLN_{^KAA71amBhRrElGCR<3P!$QOdws>jbs@wmvV2j zWGL}Qu>7WW7He|bLuR!kJDIrs@_=PPYxvv82eqzZNOn#~4@OHfF4=78_aBhE+H|7G z1S5A$D~42arOk-6QC{rU>{?v?*Uh%(+S#clm3!9+WgaqhSDSX&l66;32>jkkjkx;c z%|zXzdin^Suz`Q43@DE7VIi1Y z!NamwA17DAgL~7gcU1IagPXoMnT}%1Ckig$2P!)pB!>M3f*ydk$Tk@bmUPq?4?k-@W={1ML{0)ze z6+3;s(tvG?EJcmcUjOg8{PBzJ*pc5;FvoSaoMy1cb!@e|B&JPON9~wq-7{698Q)Cu z%G%$vwH|kE9fkc#h)(+7q@Ax}g?@d;En0@G<`qLMYBQ|pn}{aS3m63>?LwOsAn!i{ zQU0;uM{PYI(4YwkD|rqa(h3~X*0B`=L#096TqZYJC_CvVYrm%Kx29|#k+{N1C$LQM z&s1kOtub74o~Tbk*X*S@$uIjK>8F7|LdK`hT7OYbeu$`YTO?$w8CDw-5Ej zkh<+jcnIz1m>&$Cu0rwIo&(jDECXMsi42bXzp0U-jm?KMBY;j;>d^V08@d~Wg`*wa^NCUMhTj+^hqDZW zkQj&5O@ra8e!x>nOXQ^qugb_$ys~YOk~IkOcj^xc7b$4aFeGgwV{^!_%!;McEG-MK zEI>Asgrh#1(hik2? zBd3J^;h~E~3GMCS1oKUj%ch&m@LEciLZm%AGTtAlofel83kM?b-oM`}(AmS2h!RWw znAx(bH_(`-PJ3>Mn^ zn=8QL3^U|av6t4+{rx*WTaiHlQMrDg#U?Bcpsi10z_D1wn6#T2AXsr9pRJHEigusRQY;p43I%p`QkY}T_{-l|*iKvqXc(KB??JaC zC@iSK41uCQ@F)-h#U~FHP~n2;*0@o^m>r^xDG2M^%?8Ed@uE-=0z+$M0dk6u0te&a z6ctc+0lh9H^uB?Z;M}K`zu_%9FRpaniZNTufU?l=O_T%xr}TCzkx37JL;K0~GqA zBKYKtS(wpUs{omGLcfG!kE^D#@6*$-DYK9T-DgH#Ma$B6FsOQ2vJBR~p#C&SsBNlX z*dM8nAl&ozR-EVhyJ_3j7k-IzE5U|kPNOe~pr3mw@C$80ix#3)Lj#t?K=YJD$q* zNqnk1yUudn#2)F{b|j&iVFOl>&+pM(3D=J>Ku=-|%W~+u(#}OW#^IFzciwnuky}e6 z*3F%PrE<2dkj!L%*zDixr`iN&odTr;tJ5&y=|g-yYj*|p8@oC>Rs2>8Iu~6+?9Ba0 z46UC*j}dn zQR29?Ad2UtPEfeI~fHY0AdQ^>Uo!k5vGaQW8o3sx2IMG!v_3Y@|y7U@q31JzKykS75C>gG9i9lrK04etZ;4UAd~ zQA#%gYTAHkbg#z0XyU%+s$mJ3NDP1CqLYwYT%y}TyjwH`>OW{AX{-BWaj+&j69Swr zR4pDAl+HW|(_U=G<|qG~maLE!IZGO5gjRwntM2bq)FMSl^37ndcio@OiBvr}-o-Wf zUraG@rO1-z9Y-3jV`N=7vpI9MOmu%cXo#%U0OJq}6{?s+lB`5URGmHq>C(~9k9aE; zD_-9ZpJbke+8>7qdS&dyC#45pGXNLX6XpQ^NDg3%Hl$zC6wipDlN6;T#7kZB zp(5t`w}V>?HZxpMmL(Zk0W2tfKu-;_Ad)jb7jp=sQs{K0I96}@OJY40_W9~ z{Y2Hh^QrNB8H)`s?6kezW^z9-4gPdtJmAee|GG!*bpcr7rR!M+j!Cx)0|ddv9@wM=&3Qp0mioRX{-`a;cMgC(3#WeFpjR?u$q&CS7Z|PIOOXhOZUo%@JtCr zkDh+s;*DF9hHKInvm!~alpGKRZ6d_^S@9hY0>B)4;_oz=DY#|uF`Hg_n@BvMKaju4 zq=exu!?18Wy|}!b+WztVMr4b3>hbRB+F79UH{4KeRMrg|cGHRSE+1CYTk^H*f55^` z_HuR-I=r=f-n{kB3Bk+Q{L|Pu7&H#JFtZMu?E%Xs;aAQ>zhaMXjlkUJUEScVl_2q> zpdiZ2{HM2`@TXqpskQI7D6S1j3G)9SLQ-#eOc|YUmZgk92ZOWTjSMwX(Qemz5sc93 z?XtI49u8Qzrrl=rn4i%iMVOg|@*yrk5(S*B^cvE)klAK~!B@B*0sh~|gj!uVYXd96 ze6%V}cyQKV0+V(bM_vZ`|A2>0mFV#gQLU{D3K%Kbi2%4@e>M!&4lX7~seg;a=X>9c zv~d&6zaSw=$7y?Km(jH{;uel;fIrn+ig{xBn<6qp_W8cowt|EQoA8peO<=HoEf`E( z1I8dl&Bh~O7B$0XE8qwhZ1yj6K?(o($zOH}8Pw8XJCk6vj|nTH$v&+PpVi*j1+FP2 zcjTGg=tIsm@gYNg@4RU9Ul8FpmNI2`;p10^tmHL(>HBB5mzU1*Z`Kxdrp+y`SF+Fg zZUWes8>0u-x(K6vp|E^zb4x`*N&4I)E2#!I-neI(E#2n1g}P#($h*rzt1C z2kOLL3bSYpQHEW=K9pu@U=y#88^!+)4&uH#2b0rMgY%fE)x-T)tD-+*5=p>~2RXx{ zTq|~D)0Th<5UneF_||xHnA~9erYz2=?pm?JJv?4eL zQlTS)My96*Pn*Hfe~Gqz+{?ylE&7RgJ=Wp-@kaj6|!IZ!Ux&!TiEqvWn7`-*!GXUo4LuzHyyb5DfxWJHB!f5MAy0s?_P& zPmEw+G6nA5h+eDNO(WoBuq$V~N!xC<&br)Q>x^F=FkVmAuD8p9*F(NWlFz_`vtyDlb}49zP4H%*=4x*k9WN1dj2C?k=dW z?SmquFn?pIKdU=6QP0I?aSQ3$@z{N|Q?Y^eS6t`ENBsXV3Cw-ldqe&IlfeH22_%-` zKGG?rC4A2pGbKVvSV~3hJz!l#h(Sf&7OU5v%&_M4b&td{W-?=8uf4JUrm)HJhwV`7 zStevtJ}ZLl+vky6#X|gaUQa}YqKg69CnvOlw4d$5v7H{fAFW)KGNpHYGfCwe;g&Tg zLmFL_c?7$Z=AC6YIs>Fn_N`oVbES9pt(;?>vE&u|#AQ4(Tv<1ycVS`=R`4eSSFjbX zAnUsF>^a?QliW6mH&4mgH>F$cuV{B483az0M?62sP2Q_JujM6PJV|M~;?DJrcmpAh z_kV@{XKf8inYzGD;fa{Zxs~DE9labAFGNuD#RqOdBl)6>A#TAu#iEN#Zb1i?q66zA_sH}nW4h0I6w7XlvU2Xew6Fo|b ziuS2aUN+8JG3sVXT;p`FGQ2vrg~OF>U3s!kM`O}|&})5fSD!&bQ_`2&)o^uIdi@e` zuBLXbI1_txnKO#qMM)`uP2w9g$VFXM+I?~%{US~SbYq^Zf%jJfhMwHJWxLy zdNNlXKs`ft2(@uvQ^nW(!5@55P0V`)JS{#1yk-l(d0*TXlAoM|X@LEl;pWOwaj_fQ zd4WZy_^T4>Rr={k>C_xSmdJNOLAXC9cf zuSmGk^p0)OO@(Q~-m}M#f_Xr$Kwl8mexJf;eh!tZo|bUAo5hd#7CW#GZAC6^l|%>O z^Ubm#J-;E_`{mTHR^Zle7C0&O?D;K2rS)hv!G#{Qa9sGr>-!SyrggfH$l`IY8Ja)I zE?Y@(RL}r0?wS2H{Z4Ek9~S5+BHU)HW>^NO9T*WHHa!{rz8dBT`#hw2olOOp7{4wj+90i0i z>+d)y|6!+aeB{~Hp_I>JVz$!UkL2dms$#Y#p&&V>#SodUfQ1HheAy!sVY$O|C4R?R$plNJCdUb4%nJGlz&eTrup81iHdJW0A${mLSu6TkmdqBkIdck#WU#J zw|WMB@1H@R3<+zm)R7a%GZ37527-Nt1ka@K{w->JIMNXqi&I>f8L06Fi>l!Mg7R9L z(Mc81n$9iDag}p6i*@9vwP6GzSlF@{Y$a4?my*T&s35houb5IERs@tznPNMC5TN=q z0<``Y0tCK1bHJ2<{>lC3ccobJMPFJ6h;5(3fanT7B|?EbB$ipApP`@hGkrV!gZebF z0Ms{}38LMkea@W*{?RWA{LwEGNW_^8EY4cNv;CGQ_QnG~ z1Zu4rC?!GkP}AsLLG1u<9>AhVz#HO~@s~<5{U`sk`osVJP(XnHE&hxDC5Jf{|AYTw zU~E=A^FR5&`5(_8{>P;FpZxFLf8l?g|KxucOpOQg9s&>*LSN^wjt%@*#}spiY%ofT zHW7J7t-7`4L3TnA^fN_6^eHm;zKAgaa~C=Ht`O=DZ+9HuTU_I zWQ-_i>u4pf1BCnkXb+2Sn3DfPdI)`fnSfP{i&m)7DxMt46RtLffaK{fjA~A^^=}Zc z(`$y28vkFS!@nsYq&i5%BZcPEm?<_Nsb}A)?6A+4+aCx>P!}Bx6P^ucPJ=7v3~yF5 z#}aobGr4zha{;s554S5IuINB(^A!n+)^wNRWa@|v?xi|_{mTB99ggn3gElV#WQVqT z8#8>NMrI6#9W4K*Hay3m#+GxCOJ38B;;0&wt>hS7AWR~t`<@D|?5ORh64UVu!rICj z8}E}jdDJwX_7gf#$je;(>gR?Vs0;N<_>a)r`wrpAcb@TYWmHPKNmTa$eiB`#!k)#5dl@aEI)!^#hX^B|VfZ=iR% zZ88c1^HHFk&j&WbSG@lxRbgp6qWM)__imPO9~}8sq0!MqkgYn?qV~BR#s5uLczyzz zwK+QJz7zg>OQ@5FOd2TMg>VUIR#5hq{n4fCD)0zPk>O1Z3Ldiq>{a)wqonQD;V#3Q zO~qs?n&`1=H9l=rBiegYWU3+aUw7Nv&Gf5?Q6yY)K6tlIZ>suojiMK9r<^^@3KNVp zON)QDgVRkZt}nO--8<9l;h1Eh{X;0Abc&IIugT$1-BmVMmgpr_hv%usSRD;)Oi#E! z;XgWZF$SO!vPSt5hLroGI#n#s#U%9{%vFBrQ0U?GXU^R9<#-nu>^~9c?~4rWFP;fK zeczf4f}+!#WZDvRKF*d$swB}u1=T}=nWUg<%djX`{0kRXoDv=RP+C&}&V}mCmOJM^ z@LJkS8Yk7hr~BIE&-Pug1Vp*JNB@dbioN$(k= z2p8B#KI+oBn=!t1g5VY9Fwdpyr4NG#LW>+A=5S<~<)Xlr60Y#KeHDw=;+zL&*_$|` zc_V->-HbyJ@Q{=}AG$@sD&oxVTrhN5(ZPXAyJFDTr4eIDE|Ps*yZB9E8V3Hsk3sXQ zfDDk*Eg0)#Iv4g=G$Po*{JxxQx-ELa>k(N4C4=T#ggp)3Qlxv_oW&h(V~3<~I26Xe zKh~1`L4JSP;y=Q|-_xzN+S==&Kv-hD;GI`co2gy8KGm0Ar| z`t)g}w>~?;|9d6j>E@yLUR+rGQUB2Uxa+4m@g6xd=?}TadXQ7 zlX@nYu~G2ZUKBdfT9_~JP(Tw2@WIDZx#^f)u)k#z|DTkD-*GDEE>LV(oTzj}nbA z4E7w`sUS*M0c-6SYx-~lgzQAfaCSi^7${@t^w%r-DrM0q$SJC#O_wZbXBhB?6#2i- zm40TUR&6js6p@nc-~%$NH?~^k&POOVJbL_#RoKDCxVA@NaDBPw-{db`0RCAlSdDq? zNB)bpfe?@fQdFxT$gm05oSdXR&OzV8y`{*PB>-Iw&OVlf5ujc*n z!LEzc9M!*LCK}2j9K{15fBxv$P_FS%>Tu7x!0wC|C}X#tU1%78v>z*C`IDS5$#10n zVMalO+(giktkn9i1TCe0mfZ(DIy;b;|NP$FU+OK|qa{JMmcL2_-JW?h98j~`8X`n_ z;xM(F7I!%J_<+t!i9W@qmNaACByfEEaDDEDP0Eur1y;&6^)-l9eqY;|#71%!8j!Wm zMaa%ybaQyS9ikwoe=zoF?peJ==iA!MX7=6*!nd7bt8W>Q8tB`rW&sCH9F?B|e}RjK zMx1Z@vssAPB_7=sZ!W;zRB$>3;DAZ{Vv7I=v?vL>4^%)*f|=_D>+((%}c26D-dmYA?pv2Y`C}XJdLmg18R&UnCoxWgqcrb-> z?SiU}UOpt+FS2ooof8ijZTZ?qU1^Ba`%(!7hA~6ilW+r%3`U0<4CPqHe8digAgeq3 zE!Fj_OT*E4w63Uot-zY+2jY1>DDWI#>_7m7_m?~T`lk(WkeuUf3q`*_gaEbbBMO4n zEPR$AA;g4{5aQ1S2|AH`GE<(UsiNU>_5K1Mu~*HwCo9@3Bf@+`Oa(Pu3?;^T!4m)y zi_C)l*h2KT^MEs;8<1Ou^`;cJo;gD5v|a z>g>%8`P6}h0YO-ms)qz0F}kO}W!mW@s826=foiZ3G^ZDQtQWkh7mV0nO50ntN0Enz zep}^8ABc=7Os}7_`e|06m%hzG_0H+d3AN9z-L~_}K6SfcXP4;jix;HWE?BRAMJBxc zw)J_2>=f0H$-A5VxHoAbD0S#1>b?wkBZpA}6V2#xu`iNR6e(J;lZN zctt&CvIeJdMK#(Xf5zMEMNVnyjHg#-c9B89+MMGwmLXjZO3O^Ef01BwNCQPPoVv*M z`>)svFKe5*CNj4={ww+W8}q5+kq%rso&zw@WjyDq(RnZOlow5^bZ&()m4uFA+a_-Ce&`JHbwSLS<*e_C^593YlcsB3W!Tm^H)c#~z-H zc*eP)*L!X?!p(h4I{btFguI<|L|P|vvt@QhJlV6dck4!OF|)EUo*gyc6>DrMMC;Uc zzJ66URh=y9qDi3c?2q~{$z?=9VCuyF&ef$>T|FtwJbNuOTk{9*w=L9$5#Dxjhw^Umvfay4Rz zM~o=jM6J%zPWueIadM?8a8iz7uvH;D%X?w;2|q=6E!DJvHSQJ&rt#hb)==d(v``u# z*v35d8awS^05xX)6%@7zHog~e^x}53Y1LM-H}-tI?M|-lyZ?>>Gc$e<#s2Xck)lH& zr8Ssdm9i%p`@29t$jjmurS49#gn6J&%GXqnuKQCp;>>+Y zMeYF}P*?PaVDMU0&zUnJi(*`HUN^EbzFzvN$WOMGhtE5R$kBlYV93U&*8rsWFxf;| zuE!Bc83yYnX!x&GfW(_Y&WafjVxw(a;BG$$?jzn@$lOA~>do*;{aFOmAF9a$F~CgF zv%*HRcrM~)vfLsqZAXQi6~k{caDU$PkL^jQ&8Q&K#6A}$<*4TM*6La%f+f-3t^1HD zJJ@zAzbI1jXE?GNnGwydv{e!#RRD3n`1IM}HCR^J&n)mj=`#y7_d_6}Bbb+| z*EOgif|0FNIZ8zg(&Yt`)9n4xwqJnQU(}XFSMVnXIBUiQoeFyX)`SQfyEMMhROE)M zW#6)Rv)j`nL)p7qYE*aC}1_8GAMGr%;e%@pB_(wMMb zK-(&=?u;q!d@t&J<9B`I=PaANm&!7_0`;F$gk4avh+j(D`XA=j1)G0`eE+3A1Y;ku zEy{L^&-!Ot=C-6(*lpI4qn6m^(vgGtkM;&)3v~j$N7cuK?5T*LeYOD|7#OpGxF1^4 zxP!Ub@VJXv)40pH*|hd%gN7f@bE10I0zWyQxnGsRKe^vzO}jRb8FtsTIP>nzuaPvB zy>cRqrhXAv9KfrkOvg}d_8lioW*CfR@L=pw$hX5+yeeAzu{S~IZeT~#6bjfj#+pdI zw$v(?Fl7<4tT>ye;#ieMt7aq>^CdHmrxBZnc7;+V+Q1PL_--2CvKV(~5Mnn)DK+p{ z0hs53@%@YZyZ(p#n*$<&%l&^bfg9qu^@1aocmE;(a{nR!IzP!j!uxh05{S0kOKKYU zT?@EJ5~-L7^pWUU47aN}U`-YUm4yVjfTx3ky1?shJ`8Xo*)`@m1m|mmiw`f#x49*8 zjrlrIHDkiGc?QKTL^@PUwha=oC!+`2FLrkQ5BTS>1yqv9Hhoe0PD#Qq|GWHeTQ}hP z(W6H#Cz_1>8*L^w3Th2w$R@Mu(YKTqWEN`I)G?bKm+Ax+3fmASM^1?^-Wt4*&ZN z@DJLK_QZ+HKaix`18+Gfe~OI9oc8{=Jrq6SN0k9naT$z zUMBt%`w9OO`{Dm1E5nlnG?GFERxF@=c;HGA9;%`iL8J`hg6Y3}T zXD0?cq7ff5@K^(|V$$CkKLfwj3D|(VE`CuX;@{O1iaZG^p`WBjYzE-Q#MpZsvjalRmVe~y9Y?N*u%QA;#-q$X^U#)W z#Fj8o5Kv&|wL-)JY0cQ!d_^)6i9gyjk`X+a1fGgKbSaQ0Voh^(FOq}#5Y_ci%J zMTng4!0hkGpk=RnxWl#c_xl<=N?)Pem{cUk+O%(;ui5-MK|ZJDd&;bj+TR~}pTTG% z7;x&4_DB>8=ZmcII}P*ae+nm`0Nvv>DCMywVk3n3lDKHS6?y%42=Q~O`7;xq*)q-1qPP7q+giZ`g)zHt5aExa7 z?}6>tLDpx3!|tPQPQiO~uI9~VU9L#T>oat4WggJgqK{_34?Q2(yF8h@t0L?OJ$o2% zT^Iga(Kv;W?6~*8GmW|S`zR8F#&@{I3Jm9EAcTX;TTq&Tc>GU2M6RvS(`tUKRVvd| zwJF7y)2D!;$;@2Gqe*@v>41NW#xuZ((xYZZhf^ub!RIlveR;R|^g*`unca0M9&}X-P zq0E!)AOPo8X%vqLD6)q15O7=rpGj8fsfBj8iJ}Yz1B>{TwzD0XhUDg~BWb@@=v4nGIU?|40A+KZ^dr zE}Fy2>!Z`Fqr+0VieTmPkU+tw1lloE-8UbupmJZWBau1ua)MUTz?c1?4ZLmG<-n!@2r(y^1 z8iSS)!eAC+1WOEpiMW`88okt0Q4U=6d?ftBA^jHg{7nN|P*L$i?<=Fi{}=x(aXE5ADYn`Pt?X(#ckeCP=lW`4?|VMB|GECs3Ke zG+Cvs+GYr+;E?5lY?{X*3?}#-nuQ&3IjXgrZ$LeGH6`=`Q*u)Y8~ zycRYj9v}8kTkD*EhkpMygz3cEx1jw->7krLSi|X(duQOLPG4TkK3s*q3Ih*23n|iB z>niw({0Zm{6bI!4DL`^NIH)E_;CuypKi%7-?bQ26)cgt+H|P`m1M+`B@b8oV3*Z~a zBzQ_eWC4PIK>n|0RQCn$-s>4u6CB}d#Wu~*VZI}kN2fcd?&aF)9MX4rXC49J^48Pp z-Gq(6=l=sgSnKR9s&^S0xi{^?^CXD5RXl0JZd83KZ-<%~PX;t};XlDYApf_#$46=M zV|Y5+VD!%o@W~kx9gs?Hw3asgn$WDnoy7RDK#^PXn=DJLcTm1ofI0KoK4hjkgxpvm z#fXN)(~xeG~ZmbK@9!_MhFc3{-p-@po4D9>47*>G74$wUwQ_BeCy}tLjGMJ4Pusvk{7@V1|=^M zP%u8S7ze;f;5+gA*1f(aS=P?Ye07|oSd$VT#%1Xt0a9%);Jj_)VZWm=^0IdGw7>i8 zD%c$)AKrfxHE-IGVW;5D*WKBXBPKby$M!SnP>U#ScaFgJd=E~t!)V+586FBAaP;Qo z=Sh+@oBHhRuborgByITj9BxG)|6bmcVr@}7ujpHDO)`#f&k(7lc`v`Xct6z9&zEz+ z5dJT4{Xy5fHJ}nqGWX*zPzi1XMu6$Z+g-$Sy}x=~nS-R;d*CVmTksDE1@@5b!Cd4C ze};Z_2tQ-JpGE2e2`H|OW_IL%%|%siCt%G#s+E1qvw1uv>wucT`@ja-U;?4vz4wxM zK3dJ%bydn^t-8A$DbozRjF<}ykU3B%g!4C@i)4T4V##|Iw(s*>v3M3H^BPS3|? zk{U-~8|$&T1=WZNvV0q3;zZnp(52t&LC3wEhYI{cfng!OhXkiP4*Zeg3e-5DntsAU zac;xjZ?`83t|YO2p&NzY$gvkDZ7YZ6O^mx#MjC$$g#mG<0f`^?ktYWGe-z+P6POS* zO}cjWzK2j6$3Y`pA5tzEDy&dw=bPC&uto@?WJ2E#waPycpo#{kjnC}caD5xj(jbWq zdd~D@s3_s~3WbkgUk#>FW4IGTUjwF5JEl=H<__qURRl8~?}$Z+=vO-Y$hx!M%ih#b5b~Io$0jI z&vViI9saGC0Q_KfEHUw+ucLwVppR$LCPWDbGvHn;$oj@m#xyN<1Kqp$( zI?W2s$SY#jy3^m{a_N5vfZ!jH|I5;j0qD|sGg-rN0o=3jsv`)1;KHW@oV7)6{lhBB zqX$UQMeh+UDft`&#&?gRJU+ocApf^nvQn!iTcf|Pr^WZ6R(NwN#^8azi)VTJFYph@ z|4|-NW7W>HmaU# zAXJ9|j>fgq5n7bGfjCd}D-6AL4=j7?Py-q4!(gg$^4M=GAf2m#%=ZQ6@0PtaCPj5H4x&90M1M+{8T#FK1 zGtu@1I6(cESNpT|G?}=g;i>gN=c=i!a?62{EGg?KW%hhY$j;{S1*IoF{O~azFH=u; zFK|Mfkvzmsq1V&JZ;O6{Q(rmhk0;h7~U)pcyO%sGFmj(nw zZ?rR^rkw*`Ae-$9v&|RXex0osGFj!!v3;A2-Hx?bfIWWp2KT=cz+%d>+QR=?`&IkY ze$R#`RDjwq*1xo0Zw}d71-T956#dc05YLp6*mL?p%mX#j_KkY7z2}CT0!Nst_38m=Qx*#dpT6z~)#SXQm zgse0R_jD_orbv4ZfIS~D5}G-uhPRKHFpvfAKa8_|#CSb@1UjAwQ7XM@YCVs|%JoCU9ur7TwxYsV~-dP{IarP_~>kPV2r2@UJsDC>Z z5qPU)l9>#MSR$?7SA)kWGnRD3e%^khu0P3)FvkUVdcF@kJ`X|H-P0 z**k;2_p+jqXs#Vr1=4-r*yfag5g&mF5VT!`cSx^!0RH7B9aFz}*Zjl$mDga&e-13l zIxor^8;aSH1I1r^<&-S(gsivt^^V-vj@)%ridHi@=O*F*oQ&70ZZpoW zZ+Qs}*da?HmJ37&1H}UWudcgTUY6(FgYYT?U5B39N>wJ(OZexa)9Q$Oo*u-+CksXa zj2B^ot~2^r8}2iuQL*}JOmzah+%rWEf=`|{Qn_GWut&=8c=Jrd50Y~4k)udI*AH(g zf6riAV5+f)3mCB`i+Gu1C0c+RTg(r6DnbS2d(QHiSxODxAaT~|zPUA-!K+DZ@Fbk< zQNeBMR>xt^rNJ{4S1ZC%65)1frnF;=U|KLwX!$D{*GlUPRe%xik5Y5mVp%FY2?#P@ zJnnPznF4eKMAGQ?;f(bJ(lJHk44v$ly9%R7-nnT5`{388^5NNskQc>W797$_Y<6F0 z3Wu}U{UtDZpY-AR5(sk));t8&!mcIfpW#TN>^`ART>Z5 z`A9DjLE8uWZ8GL=%bUE_+t(1#)biauGdL+h<#6)s7W@p^R}HR+tXJp!2b!eO=KRkk z#JD|7l&srWXgHTq5%7}tNXo9vBz)orDkOhU} z7mx%WT~_*f!HlDOYhn8_=PlHee0Uf@Ou!`Z$U-bN9F=!ZLoC15cIBDu@st1Vuyh0M zwtdcTjqr@2;7zhy^7w(pPTSA_zT28>@uKtEDJ6foZn`#&0gfPdWzyM|X23qCaGb(D zYJ)&*^bJe&%It}olc*aZuIa=sdnUEJvR;sP+XYs%)-3-9Q8$&3OZu+{3OA2yWdIS= zvX36!UKE^Y?e(q>X!qY5vsRWz!~2CFcus|QDc3Xs`M|qy5smrFO@&DgYwoUr_D|7_ zV9P#__`q*M-|6F$so}-p+=jLUI?3)(;~OV3uGTfX2#21O6-52lmG=@xuv&M!K1_!j zveJ4^eLjxiJVO|aVJ|;zE#llV?$ev7Dlj*dC*GzZ1$cMs~pMGvG;;jPdg&VtAW4Bz?4I;1Wj6712x)=1@syI=2E6Ugg>W_5>l%HNe zu~a*i3>Y+d2-K_rss(DMHvFbsy;I;v5yxI`Nmf&UcO#DTI(7;2Z@8%)4jY}Mo|KbY z)ooZf-KNlozw1R^x-spX5D>g^x5jLli4 zn9R{GmE5Ul*zi_1x$EpNH;mm`bsjEy`>O{I0rzK9#7e>#;Lb6~_4`oI3hNHPxnugn zUo}j!SviFBPO9)6#l34dkp4~zxM%wF3Ylw_Tro)E+l70`G*-}R#sM&F$UbOY;P#)v zGTo{eN;flEX^jE?yyR0G;l7c;n7zQ5yTDijp_yJ>;$k8Esq(w=UP7^9%ng=`Xg$C> zt2-Vy15|VORlMDj(*QV%8%rMqJQ@q{sEjsn)rT_53iukA=@reu(O!!>a?Rb#Ri4PC zd3oA^>k~#XPc!U-jSRA;|f)j7A^H>OMv#$N0Ww z7%h@C;hvd4#C51J#I=Sf#5JWjL|G*-()ExO#C56+M4139l6z4E{*3!{l~}y!D&lGi zjC1&ga677SY%QNL(FJbi-%)e}VEp?OOFSxNd_Q9ro`KE*qwtwToN zpop>hV8vX+nc?jz!ahUWdSjtrt@B?>w9nkIw2jAAH66F0{#x(T-3}t~l1}qc61O9& z`ZBKJJJ!}TLJ+q9S-;`Sr6|$nXD*cUe7PK^N<{d~Y7yh|>zYLAt+1!Y6-1>G%vPDU2!3uxsXO^8NYt4Q@+{zE?2qLhw;=V>H{C zT6qzqpmj0H;kJwM9A}t#>H=lt#v20BunX4x`-cBYa?is{n$h++i|pf61#yI~|G^dP zXrnShdh6-vI!5d(OsOGBN(ew%TZkaVVSt;q+*^`>ghTiQq!h1Be^II1U(kn&y8Q`` zxv7!(zJsb;s2#JTqbuhzOG7ECA&=S+Qsa19{=0yHzz49FUNWrd3w96?Hw9baw4}Q| zcYkw94_hG-Y(Xxtbql7}r6(C%R0sli?I1l-{wja?S}E~jEDgs0iu{f8=9^H2tJNofox50U^e*KOPG&tFgM@ zm{M2h3g7r=NvQIXLum~&#~tyB&YzE9!-7zYxIU!9iaG@XLSycAm)wvR0$BLL%QFQEfAfpa_O5H+J8#SNJ9!``V^Se5$*bm8&Sc+MV4CsBd=Leqk}Qcc&yY3cLfs+>x_ zEn&dTgpcZHHrPx$=MrM_VY@vhG7of|FEv*kdvKVWOlvj;=!0j-JLnd6HzjmdK0k0e z^U;fd-#Ez4GSeBpbT>Eno_`d&KW_c(tNfGD`{se^5N|Y|G}PoCYP}@!SF3{rg7xLb zKP3kKxIKVSyD5QU9ap7H-_C-TczNy7-zsQ8_qDtn%u*Pd6V)FY9_1+G6{*?`F5Ypg9zXF{v@*pQqPXfc8Fa z*SbGShUlcZe~hc2Cg1Mi!%Z5=LgyO~J?0u*$lr@JT4=;F=k2`D&Z{Qm{=#*JKd=B7 zprqE16KTyYs*GSkUACk5^gEPI4T&9ww>!zYuxsm;2cUu+Ac`a>;D}yxdj}7Q+?H!p zM$P-}=3uB=K4D`mz&1Va)sZgoZC_nP zZrtZbvAXG#l&7Zc^mDRnQ!6z|gA~X^5wrJ>Bc1CfP+qL6rgl$u+h8W;g&TUmef1M~ z`z0bTuG@ zcq}=O~j$ubD zf1v|)bKN7JFT_xcP>!%H+$=TP_S+M;t+Uo#0i-&3Y=NY7j~}{xofaG>H8u_&40pD> zWNi0>4lpL*czC8h~-FDx=!RHf&=)X;P$*z>&4UR~dR-b!A@v{f$n$V%;zro9! zS$ccxZdF2e08VET7}M&Q2_F1x+)@x@qW^rjo9HctS~F0(DdCwFfM-4kIgFeFz)JL1u9r@t6Z;2UmZ;qk8Zv^%YF|;;eLhv5~Hoxe>&HW_ZznO*xQC4{|f z`}gq!$oB5we7`4=)iw^e6|Rh44VnL8mzbI6-t~*=NI`IYICd25qj#8+b4Ac0dP; zL&X^d&dZA@-M)6GV_N9+FUZ-nWLP0+vQ=6+6>~5E8j1(gHP)_VoF5N&-<^EPM9GuG zL14_NliQROL`Nlze+hztJ6YO)(=CvLY}V@3GAlUkAv zHKe>InXcjK#!-e7DN0ZIlQ2S;JV$B5bGfwa!$RyAnX?po0t}h5Bx#uC2byvCJUc2A zxs24Fk`8&_2M^1{DX@C#bGE(4-aa|xjad}_&hYzlT-(p9-KXcOX#OrM2FJ|o zT{AnI>aVP}&xX9+#h-U}AB*FV-k53)!&06RKKsK#Pb{KmL+fq;|LN`=Y?sD6tiM%B zSCqr+VLR@Hf9c!mhe~GEd`(v3?3C`3Bv(;h zIu{{}eWW9PZ4fV|T=a!7J6@qf29STvz*AFwN=YH4RoQAIA+KozFHi819H`H->)&Gvb2k7FzyXbvL;z zkKMq2Ei(ZQoh--Hj;VT9H{zM3l>GW}UI=X$J=_Sa!Ar_tA--HGzFZ~#bCERK24z+! z*oZ-deKl}~|EkqO7K;p7$+LY?$(u=|h5s^>09YN^Z?vC7L!g`_=3Elw%$n?yv55}b z)ig7@xpfU@K)&Y-DR>u3dULA)&-AJ3zOYwb+-OO*(JzE;PeI~r+?sa|b@eRF|PuzN8V>F%)VU2U}Z*`-2I z^-Wq4=^!_g3F+z3l{{%==y1 zZA!58?-SL6z{jt)!(6q8*QD!7j#B<~TE*hAq|$5Cvj12-;9Dfbwn2(+Y^Y7;T$~-! zS=Exov#~N^u&XF4($OjV-!uiY=5Eb3Odr2so~S6s1!?MElOjh1OSfN>%98vOe|2QL zzs$kiwZD>T#~YFpHLH$w`@LsfSEJ2ILG5D|r5Gk__$@SuB^jbC`YEqAFOhsCX3^xl z7JR=hA2QLAH?lk)H+jE1jFCxY7fUfrf39yhS$zM)`>tnA+1=6fG);%B9fX&4cjqhy68b&XE9T^3K33U@pTjtDq^^U;0hAp*Wt9ng$lDC_8a{ zHnDlu`?`EqOawMg2C1VHBiY{x_MM`x?zZq1Ra^U6*H3y&Dsq^KYLM$`@(I0bl!>u= zvF-Nft8uHRZVPeuuh71@R&)j|xqTJbkA1AArpwdHc?mzK(MHZ!**(wFpjTra2DcPL zb;6=|Sp@&o*FCI~k^Qd+hJAR_WaqkL-fy*sJSJ4#;R6o|7L8qR*Q|8g`~KpbE~dQ7 zRf3^Rb7@Ns4FX?3$WBg?a>klogJ6D*9(t00EHetakH>}WL+kVWAyT7wXPG``_ZJt< z-1Ya%IVx@_&dSjl)5JQoiLGZyHrh=TXfZ{iPLF8-M^CdABA4c>G1oV+vknx+le2TQ z4N}EhZ|c>@^T{K2(#+?O$`*ENJ$!`TSl%a^r+W>0j__A1xkfrsdl$|g6O<`&Znu7? z9GR>Twl_y`7PXGg)DB{I>+B%-c;z=#yqO{j3wre-9(3{IGdE-Z7?Yu!vk$o+YIvrj zD?Gp|mr_W8R!j<<(H%|qSI?kcXj-qpzNuCf-q$ROKuw-C2URU+!mUf~124+IyhE1v zY=FSpEvJA_ji=w~MRiZDX$&4k4bK#W``Fji2%LbPtJ`}__}R{rJ;*<}&%L&tdcB}b zh;MdCtt*+1Uo=KKu6k^fzkh5j{G^$~h{;29G$n$T{G{a<@yi)NABAD|L~pf^HJ<%6 zSk#%C^@NpX?zeAME!}ID+;ANwOZR(k_?($W>spqNTySTBac&PwR(4-~=h)VV;m+H} z-$`Ev(43h2nyAdp(fz9#Cgp2^p`PkH#dX_2l3~iw+t8JmVT0L-REc4MW@^^HrX6(J zP&aMmV!HDCU|LgIYOY<1plx*;E!^DC9qsu82=&bO)`YdIBCeL9^qYF;1a$w+k=^UW zxox<0;QM@|p7_Hf>t)3$-9lfw9x4y5;{&_Jx#IqPFR``#eSNu|Q$5&N>Y0Z+Na94C zdg*ZruYHx!SyOeerP5Bnh&M4H^KV&>4=H71?f3WO-uuH;=f>{?Db~_#MYC~(u*7h7 zPx74RwTSZ$1pX@Bp2o>6DyyX66wPKM8AT99NX{voW9U{QiHQ_SS_V<;rCY8jPET*@ zxOy(t(IjLEmx}=(7=eoZ);MG+d zZ}RU&=NU&;Ijq;?>GVzp991cWISCofnEH;?SGhUr| zwNxmb(sosJ-bOa>r7anppIB?VFnrI+$T0L=-Tr?5-d{&o`#Ls9mS%ZReiGR|^xEv9 zb7CN~VOZT4YOomza$QkK= zXVHF|RR7j_^}IfGI~obAlCwm+yc?GE=*nzirvO^U{jr!s!AIuP)(=4VBT=b84`kwp zn}zX8siiv!b(nV21n9FL|7di>)aiSK>yE zDdtudB~|v`7WGFsQmfheG^K9+AFfGeH|BB6!34X_@ZURQBp7zAGn5#1zxQUu@YEaB zMn9rD9mbvYh8weF5PQ8IQF8sLNqyt`?-MZ6sa~iK1fwv8RLD6&cooz)*4)Z($TXoI ziYZV*gpq&!!YpePZ)EJJkxm>ZiBpj$s;LmdC7)^)o6Y{vO}p>$aIA;XaPJwT$L0xb zaF_QVG(yv+qq{JCA+(@rrf&UAz78Oc(&UCnpRb+*|Mipzpc)1CYJ}0{m+$ zmR%T$tAR!Jh!5eN{fH02uG<6;!GKE^geTfRI##FXuCm~27Wc#fJtNffnlGLhxtjMH zgd~S}&cFuK*`Q!Vq6b$n`oj^U@o2A#0jw?&nx`>-4#8?87k7+H)&}@#=R$?H+R42I zL>-)2iUNc=nwA&_*ExPNd7j(B~;H;UjRd-WI~bSmlo z=tc3i+jx87>GLw!18&A>%fWhj!{6y<1RJh;_ltGxCFp+UyY~BdNq9I|+xOv{P&LJh zC7ueIdRV*T-x!)I7x|l{#-tPv(`NJ zH7RMoiG!q+9$LKffV?I_O#hLbCnjE2gy_q}5tZdxX1|;ozIDpO0{Xh6R+J(O=Z(S&T$^d;|VJp(CNLZ9QhGuc7YJ8Pdsl1BjEEKYEdb46J(+!RWcD}5g z<XF&rRlX4+Y`*p-4<>?p)X%4vI4ed?Em1=@ z7;FW=RT+;L`&Jp6twY}kB4r>D8I3cIA%lCr0f(gcIJO3G~+wVlTh2xl{^ zyYmIHyZ92cj<_fIX32Gv@$mRj|J`)|)igx#ivlC(k1pGBL6qwIO;ruuGQp4d9&F7fwg56GXm_iad8yh0&XF8A`v>Y4l;ulIe;oNQ2my(RiKZHA zJ7LTs1`l1ePU5IXEK}u~z}rzcCYY7Wr^xfH-^VKH^8h6p5(x{1EKuaSjN!hsUc-HY z4C1V9C9K__<#jU1Duabs1e-S<4gcCcZreG#ZSA^tUS&xVrD9klG#dftM^3-{O?*&1zA^RZO(? z0%sVbw%z{rqDF)LT{xsyi{JvdkycfqU2)DjwL|Mc?oRf%55Al|VwA!ShthOmztZ5EtsD?SrTe{whA#I5zMIy-zk*Iu1|cruun|=(HL?D+yI8-=nCoZ*okLrpB~G6_qy3=EY(G) z&Yh#A^b5;G2D5md-X^vS4^O+Z{Vy$%$rw;ILH+R+XZ49xEp@!uNRsKIs_(R55W($Z zeJKT|9K`6(w}boF71VDD9C;%tMWB#alrvIJDSzvFmK{d#p>G*Q!^ua{MZ%X0iM3~U z!aDqXJ7ZqY50$b755$1Kf(Ry?R=oH;TtRg|lEFykac-qskvIm9PSLIT^SrzAiC8HH zMUF(PSUYJ0HDgry9`WC;hd86xEc@JB64SO*+Lf|`*}G#aVI425qGD<%$_i=@v#RRC z*G#t{wv8TZbQD){rx-JwQrywhq|?y7GU_Os#w;=9{URoE2V+qiEDOzegxou)j0Arf ztb-1dF2()_6thv$c2P!^WQr-P@@sdg@!a~vfpamNtG^Y4i3Zj;0Q<$q)Aun38X1b= zC2iXvmrU`}J?>qNO_4-dm+x@ei~C(nI>vR>9Kb5-=0_Dzr#B&Oe~yzqlPK^IaGNJs z>qOv-lp=TfixTN35@emy_VIlgO18$C8pFyUJ>iI~;@XlP%^BW2r4Dlaa2}|;ySvy+ zklEA5Li@Q-wR6SO8yUtDE$C3qMuQBGd-J@pi3Fl^35N`kcB{ic=ldVeje>8dw-8t7 z@`?7us;S4H&+5drulXUww6BS`5ck%8`0&L$z`fZC6EfUZ$L#XcJN5xgl@q%|yql++ zFjqGNZFQ0f#t2=35;fnnFLcvP?YXJLa5G(0d7ai{ff72sM-e?NW)|l-Ggj|$LC8(a zTmic+!NsB##84^!dzMTGPUITGCLldzmCxe7E3LDCkh4O`g=nB7z24v2-Y}y**IeG7 zF7O~UuC=*;Qj?ExUqa8sw#?V;W@3v~_MzM%Fa^)RI(J~*eCKIUF>4rxQ+&z)@5!i7 zTDcwAJSUQMCZdTJ2N!D<9gpCx@rhaW{Bg0edIA1+m1&hBjoB@#5iL|-dMGZG=*`ZP ze`fR7v)TQ<<_<5`3jr_CJn>OdSYGZX^@QV^p#dM6uTtam7_>qmgN|wJ`_fZyQJErKS`f-LImV{iZLM0I@ZsCGHKR-upa54fY)=-HdRF_uL zn9WErwe+E-Rkeaip-cbSlOo~ClmEncTpq4!OED|K*+o7HV%YQ(#IRxNdpc&__8=w& zz5*^?OQX?YD(S=?F_lz|O_3a$NR+zS@0s}Qvo8wW;VnFL=(h#5C(*IK$^haN3?2yh zf|Atfspv*;gB3{Y7W zY=_J^8Fd_S;>P{#bCXo4Z%ePqSqs77XpY3Rw$RNcI*qCjlFS@E zJJnLn^mK+BG=CoUskDAuxK=@508>HVXt-ta_c@*>i< zd=wX!IvL1_+VQM?8JB5}2`o2@v(_%rX@Z?KyS6O*YJpRY_CpXaM2K>Pf3`Hv_oOg? zT*Xh=mGkW5snpVv#2=!l!lnFxRQwNJRyo?eiurayiW}+zDwf#pK zy#cqYO%5J9PR92hWah4%T+93}pW|C2*W*&@;qUL_^K;Pnsu?n7_0wDoo=j3;D~AWh zWYlYD2XO`39V4`C_*ca7{N3oZfg9x5X!@HdN<#Bb1ah4rqKUl=OuVv*VoGnWySeQl zztA6+q;gZR_Vx(YNlRSN3aZc*Vf$0&acF(*%E&SYd|w~NhDfgm=S$I%@8C+72Rn*j z9`%}&ERvf?Gx6aHGGtZL<${^0)a~>r<~x&WHb+-VB0Q1honn2hT!TdCl0k9{#KaJ| z<<51&o^Ep>@koW-4{mKnXIvTA6t&vaC?>U~V>MLDWXT(q{K_x`$q=l{eW^|EY;|XE2R5*(P=0#j6DiT=*U8rj%`e!Hd8>(Bi!yNg6Q-v=v&_*tTKgTDX4Y=*rWU>t*1d<9mU z%Ap;+7IYnJST0VYpy9in`8fNcHqS63VfXUq*mxbvTzi1)D7X>Btft#-%?vfR-b&j# zu~FstL4!CzLLR%e+v5#*s{P`NtHHGG(J7Y8JyKv%f|e?b!!imRGa69|SKxHMVXKV-OEpO}Uy4waYHiNeZ}n z-49Q(AE7TU2VEvBZeKMK)pP8{LSqDNxB$xyY)u0dc0DTUjryWCg$!rII{*W_!)om4 zalj5%)7!xiTszYP-3N!R*Ma>`_rlj)b_hAdq3jZ7su2iUdn|}sK{KigBE^r?hZ@d@ zoQs&(O%S#4RkxQDC}P*U-1VdW6*P$%6;-?Gw~t0pEqhjSF`Sz3$>cn=jWNo$e-{+V zA#~+u>#yJMDw!R2|N4(Ad~3B+Ui(&lp5&?4^kQrpvQ~DEMYDXV6f5-JDlqixuDexm zIWl9AKik0L?&COTL$C|&@GN4-vI;)IK*v%m^0ht=u9u<^57}!14Tqg`DiE^ax5in0 z$bE9AbpSnMUEPd@?GA&V&e>b<1-tR9++W~zQYi|Bc0C(cp~!9W&B2g)PU9N|TE@p8 z->)`QWOZf|7rC2DQJg4LqJYt#*>9SE?}Y82A2toCKQ=lAmG@T7oLX5BzDNfW{I2ZT zFY)&`scAX!pWifO6kNmT(`Rce4{f)vAsA_9iVB2G`f)C_Odnh+W`oDtEP4*p+jwVA zl)Arv$s>f`zqS6_KRIlX`J?42JTu6e?K*dz9zN68aBZTV3oNQBfA@XX+_02Zo8aNZ zkqPPH*^voZ%;drwkgb3Hv893DSyVlbZ$Ec$S3pnv5{u$L7DSpioZPK zn=c^EVlsE8V&BDH*Bo#z+F!M>uh%+(;-naLIq-%(bbK@XzFY0x-zp96Ky8(GwaTDz zujqw$z@8FVtq^3qD!Kc5jfAkqg>Wof{)ADOK~P#9FE37l_mR;-e%qR|CFfziuioi9 z7;3DU>!Qo*(v@ycr?R5)tM0CdbuE6p(GAdTD9?x<^pdsppkH)R0etZ62gmu=rbEfi zspocfKdPV)Kkxw&JNs3+963eyp&YGw&RCwhDAHjI4^ z-r9QOespcqu_CLY%pGs_lX;#Q#~70Ns2`LJqF6g)86esB}iz!{d%PYZphc-VYs6Jr`IwEcGyV;j-|lA3miwd85ZT} zXxxcGfWyi$+Ua9kUvaeQH(ngMj7+Ti;s@`LyYmI*hn^L}&-k@p(0CTX6!Vr+*o4AV z&ms*&Uf^h-6+}CB=t(xqQ>Jx9$B43z>bq7@;JN%f^%=k3 zA|=Zm=2%+pV^=$}zrbY=q3t6ihwT0w@2j0KBl*~Uc)R1(3w|Y|#9w~p+vz!Ay?#v< zY6-1L3jZ>UWe%qv_P~fblz@>-iwu)Xg9>x;17-ks%&5CJu+m8!$IDII$c3b#p+WK` zh(M#0GZpJsS=-z*AVx$dM9Uzl>SAN*dNEjcGuzRIllZkL>mI9vZDvsn4{brErsF8# zp?PmAj82@0d!8^zNhV+(+c%iWSjtk|n+lBgZ}sjab~06r?uS;|&#T+abO$ zLZ{>hCb1bUbEPgcicjk%A)`vGg?8l{rf>{ku}MiO#_lFOY@@pKCjAm?r5o;}8S)Mo zk-C+0Rx8|>vr$1ybvo6K;#bq%(K1(@n7Pr7pjrcO(gC*gTmBm(Cf zSmG*n+P0>(DdH&TStGAw1$vfQgUP;svNfmk^&+zd&xSDu^oGNKe#QR5ISM(U&G-#a z6Tp*1(sMVjCTfo#AB(t0PVOb~+nBDf5$qU81Fd@^b}!OrOi9hP>JfMf>wOee%9A?` zA~Lpe#VsoJv^LGm6|STzIuIlKVEIAxFz5Ft$-UsuShBncgR}WEPH$6yK$;OlGr%X7 z(R+IL{MqFG#90ht`n?gOezN9#eH9R@zK0R(NwIgEq-cAxRe2n&64<>pbn_8``R<8x zAl*Z`lrXV0MLw14sj?j-pipjJQL!E>du#{;PUknXV%IhdbqW{3Lu;&1eNk%?^2<3^ z<)>}}NTX3Let!FX15zQ&LR-##A-lQ$RBL0+Eqr$}7{e+PCim4C?r!C`kQIi!ysg0O z)i#@CRrc~#{q{BeLX4QMI!tam1%glNYB*tRQF6HYpM^uvBWC=X{1GL zM{fmW{4k{85L15-{@mmG5yl+> z6YO|XeJab7t2`ZmZfJBb28E{?{kH6QQQKiA&Vlo-Fk zl_`euyEyQwJ)!N_dE;&`{8DG%^N!w`qxsAHXmzwnYj@m(hLQ)TGwKYT=~>FoC}BYi zS&8=cCm-wVh?=gOt?KtFW6cZeolh71)4g2|FV-8=IDF8?Chk`}lLzO@-VHs)9#fme zi-L_8WmO7i-X|fqm3EQHWBVR)>pTAyyEk_{a<+E3uYCcgB#y(9FjY8QtkF43G5}iw|a+(VW zoLQiHKvcwbNRz}P^{U!-2ObZ$KP8P#`vFmsRSF>=pvlIyGa83C@!ZMYfOiAbC$Hi%}}32k2! zO(_-@{r_X`E43sCL`cSy|DItS=+a+65f|&yI|ZTT|4&L}HO+9i9z}DgFV1sE2CkLP z?tB+zI$0}yK=hy3LV!)ek8^JzZ$42J#Xngi#u8bCbJYM!RO?uEQuMzxmJB?WF3^H# z$$02!DoiFBB7+`@6P}=|bkiNUL8EdzXRC1!ke!BAuouX#UtTS})>-@rc6MMnfP*!= zyhAu0k1w8TC|RuCc^FqEmN&dEX#`Z|Rs7>(5X9aEWf51?v$99;czchSDbNLVh&0=j z{-Ci5JelYj9VU&zN$McHmokV#42dpl@ z+Ejh-g$+)N4tHLsSBm{Y3C0a!)*P0qqp>zF=h$@Ge`X(^O?A=bacMN?tbTzvEZol+fTc=kv?w z|GCZMXWZd*4p9ELf5~~nlUqi%Hl#!K$x#lB*m-d7hf3LvEd|}42+lV&uXl-H5bdx9 z%p_M)&x# z5b>E*yL}va;A(YMok`_Z(FjazD8JI5NUI|`QPQp;Dpc1#2r@AiUlA|| zv$EzBVMf{A(XwyurzE07|VZ>IFJ<+cNxMB%9?p?r6K8 z1Is4CcwcmZ^C4mEy>>m+(L5gB9)h}OFp*3fXV5O@lrv}aytNz{we}6wWKGX(_=6qR z7mxtkY*WJwqO!!kRM&61m~V`kv|n^t%;Knuf3S{#>~_UhplqK}+0EReXsP3OcD}=~ zjq?N`yL=+)&%_*IIs7*;&|XNO8w{#aDYlg}1UJ1ewyiJ$-$Kgs)FUg!CIJ=&0bq_P z@92#tYcURp{)HuiKrTE|YaEz7s|PaR5+Xayeaj3QsWow)RVwfdmr`s~gmc43P=Vcf zU4h*JksbS?Wd@LcoM+X1a)!<A@YNyKk`ZFB^2g|7wG9KZv)g?=snkJ68nVl-aiKu8(1JY+`*gc}(Qg6jP|~r797Bdvx$+ zMJ$!}%Qm}OYwjF!e&!ISSq7a-tYE7NmqhfOM}8&~rdbUh4MkHw?I)ECSyYz@yZZna zet4JIH%609sKmidA44vVRhsK6JyRr1BQn1*03qGH<^Rx_JuT8nJpseFa(QoYz0 z&*l0K_6N(YGF9Y~(GN-$tm^*d(^wkquv(2UrEjPzUn|Z~p)J~HdRE*LpoHV<7(ayg z5u54QBmU+upA}tmo|C?%0Vp?@>HDuts3tmE@~yySVa5vlp{FSc-9!hU^`DG+FMAcI z7i5Pnzw&QcwSc?@=VX`ZYS$Ib8t}fIC$%CVr}LHAOfG>|=T?4&0BFw{yVZMlo;4xZ z$yYdFci5WVkPO{I3@j7-Y-jW77)EBz77h#A6s| zT3r~4%59GsnD|_N_eRSbk;JazxwKip5MWh*pOHfQJ8aBQl~C+bZA_JJzD4=%br{=qjQ} z@nJ6hfx~8Y7e%{9AB?klxu2t!uKAF3w%9jeooPorz9KmYvWr}g2gANYz%=6vyC*;i ztNp3!X(Ht{6DGBCCRc+E7%`|>IeC8YqO+G-q|^#|Q%qiggi?={dm3%~nEZ;KIryAS z#VsYRu6-P|v5&_11QM8d)85n{)U%))_AV24GP@euLg6aFg-B$T-j$~$YnQ^t;jN1@ECQ0@fx%@}XF# z@QWuCq<}3~i>O#y;76)vZ`pnIf&}c->V_Frl8{y>49jOdp7iBc9!cJ<&Izz~Ni5GH zvL-F6VHrPZ7Tdlb1D%PKed>sJsG zPi$tBLaWm*zS6gyBC%pz@_r?fdPtq0wP`n^wiAZ6DTQQ+3&;3beQiiI+Jd2)UYhwM zC(WmjwM!~6@yCH>QOY5lazAf@Y)^MQozlNCo%@2BZJs(YzO({?2S@;F&IN_oEUxDo zD+Fl1c-k*8Y-Vx7#r#pfp6OY$B|Ls7;Z2cs7^dUtpmy*#@{NHQ$%=m<)v45S4tCdZ z4uW3jyK?QYpK#Z=D+qM!;wiZLe>w*pfBbo2`Le!kk(%txRxcOp{GBE?$4lA+K zToxn8d2A#OtbM*^LAW#lwnm*uC00>0b#fxS-XVE2>P)F=rKs8Q3&`$8e#In|_DdnF zSvz*Itqmo($yR+*$DPGd;EHdG`XGEF&Ot|bBF;KdrxUbD-vgSjKi z_2m6WZi0by?O?dxTrEt(Iwsp$;v^Iw-Smq&51|c9WKKCx{ugauuFT>G>ZMah&+$#R zJGtGeAa~SFK99wKfe)x%pB;bex*pvEKBOmBqKBX)sAKHcc^2?~2g)Ra@ZAk@al<|> z+4g>>nC%UF26uPE4LPBGu1F0mE~51{Yo@N;o4&|<%#UQc0Vs#~L_@WfDqnaE{@l0B zX>{Y7a-#YjRMfs3U=D~fVj=d6rWRd-+OJWIiv0O>aVDPwW>+N2g4APVL}uf&eAG6I?JA33#KV)@Dt7# zEv)_r*S(sh!(DZp2fpjpk*z%D^x8nSwpbkSJJ8IUeWC-dZ?sZoHQoS1kVvLB-hfQF z$ScAJiDcT~i&GP6I|LjBf2hXX{9ZaSa3O2+!4!-<$zVfmiue^iR31{|BP`b8wEynW zTbkJ4{`uAT5_;_6ZRTj7CrD1xMdmq!N^RhjmP(8~y8Yf9+v~Mj!0mT^{@%s8Ppk;~ zBCK={Dbe}{>lN@7&;ToQ1B>&lW~u;^x%a@v7UN48Vu$7M2iUF1^s5DvL$WA|NdSW?K6Z+FtM<+zARI+pyFAmE*_?{ zjJlnnx`0z>c*K(=@*A0xG&rYdN~;Jt$yz%3a3N#SkLZ{u(W#1G1{9H#TR@sB1t`c= zWYhaCSBJ>q`sTQZS%0~Q#!#bOw=cK2r6kwguZZ z_OW<)ob2FRB@MD1;)elJwd*x(Zb}=y=CuW^tB4!bbv-fV_JdC8F!g8a~Dh=kzdW z$TeSggHy`!{lshkh<8WXft<0FnVofiz@-PcUEO|d_O`>Oq$BFMmoE6{t3yX>n_)GA zNvxAZ=IMe;)!>vWkn`2?#jDuDwNa{?h3BnLts6f#q&tF8_~32fgO*L&+EKgtu`IgJ z=;oXlxMGkEyw&QZ$l84%B{8U~^N~{0faGw~`S{l&*3v)`#08Gj4{)Q&QoN6bk7q-@ z8Y?*|z=BQQgY9;e_M^6%P>f1{(TlNH8FJ*6=79lPMGU0FnB7tZEN+-d(gdi-nI_W) zB!f&3=mO070BwiXN$~=CT9h2Hm9(wDD7*Z&`O0Sc(5VMq)39i3fHTrQDlmg|Npa}O zF0Ui#GKyhN7~9P9J&J--=xqWs79e`6y^4M~uc8+q&SauX-Rt@aY<9cDU&B|TFOz&@ z43Jx9iObGB_gaqInwkUm5J20!-ZlIcrVKS&?8!@&_-jmG8W@t27`W<|!N$~4oeb4x zPUKOJTUiW&OrwwyY)9F;T3S?o)ZpANmznvJ3c|4k9=0JA_mIy;jjp2 zTP1IZqe6H+mU$BJv|u`#xaU<>l>PVnM>hiBX{YSC;Zy#Px}gb^v&h3%+&-tfabVfu zHEC*h=PVB{ig}*R>N$^gZ^b01maU;>Tcb|UOI4$Q4?M&Tjg&b&wBQ4Zb3S@>w>0Op9zP4N3l_hBlDkWiwOdi*jZCHxJ?s7&gM&+Z-~Zd| z(Npl_*3^=WwzZPgAJ&a;#+k9XyL-Qh|JY`0q{XmmTD9VM1ZgfgCtV~1`00)VjLrVaH2%W-0mxbuJh(x6PCblin z^fGx$A^fe1LKmTw;7r3?@hVA{J~ioc(cFX4mpeD1siwod9~wfT!&n{eMVr64P~)T= zw?mlePGb(2*6v+|baorBC5G3Yo_?GY*T-NaWcg8ShH?Lp6T)u2VpJYv#B7Xiz9Ls0 zHDOif@#f#W%X8RyY#lP<&)E!JE*9Lo$|Z96(B{nA0LK6J>-dwN;FNbAeY(atMlD_O znAyg6?IC16yci{B{Yta}T`TU`;fIpKOj~($A>a40e&fi|Mg)BRKd>(I^=8V0hlV{0 z#U5cf-U;g835i!ha1vN^&Rdl(O=}Cg7(5tyg4Q~s1@*zi1_$^1HQ#V?#W+Rv;|@gBbBH;_fWNZP3L7sFv({S2rD`@x$yct*yp&wHF=z0s zjhX$iX`29rN}=FVU$c9^cbGf#ASY*R=X7p1UL5nc;xy@vL22d!#^@aSZ3s7)e=UZ( zbJ^Y{Iz!z`Uvktdt&D;_)YtD*5hRVDU_^JcZ}xX#2I?W( zRy&S*`!v@7g6o)BDpj@D|VFuWOAZo`+ zAarDj6`+=tFP$-Zk`pbyLNtLo(qO(7eeb34XQZs546x-#99QJb!@L*eYsQ zt(ZYSe*liPjeL;qaWhpJmx5{{&oCCG+c6fz`1WoE>ng$j?7k{B4#xJb?q6u)Eeyl* zIprCe=C=*GRpxJo?I{gk413*}t(bf9`aI3)X873=B2q20(_}fO68 zr#!cKdiD7h0378Q6PL4oz%VCZ`H@Wdy9;=3U#!$sSZimf)s{`5;T@0dt;A2b2fa!)U zTC(nIqmiMa*|Pscfe3Uz+<=RqiMU8dK4Ol7xM)K~1L4(RK`Pm)W9&)L0VQRP zI|Sjx8?_$Z>!(BKuU0<4wSc9_e~F7+LZ#E^EA=?@zgUIbYlI%Mc@w>aY6IiA;L@Wu z1*str1_!xd!n=e3f1CQjA3|wK$v6Bi8$xMS-8a0s6X4k|inaB>e|yM%J+oRCB8Il3 zQ+T?J)>bkrbM#W*xv%Wo(F%EC6B$DsYarZiFkLcq2*`qM!bv^6gBlO8fphWCsLcx4 z7iKr%A(_xbjb%%QUXR`v<~HFmWFoLL-2J}%wD!c2?Hk)bhAowNMAOSkbkoxP*7b2$ zJDa?vdoF4zROoJ4Xn+T5=*?Uk?S(D>W zv1L^4!0EsQi}y!=8hpgxtPoKNO+}4cYaP9zrFMB~3B6($g^ln=p4)TIXnC7*^~A$W zZ`S$rw7#v(9!OZcrk`Ca-p21m-SV>6_NRbfQstYN;P>5iukbMS%<8w^i2JS0JOVY& z-unCB7zE0|wzJP|+`*S@ZUL9Z?g5vH9s!qqo&lGaUI7FsRp2>6&#+70j*WwxoeufE z&r)|q+Gi=&oCf8MbPL%wGX`@lIT|%f&B|x3Lst6v#3fpf9>Sc{!*6*FIR(!99hEIK zKPO>lSD>gR&(%3W^tZ11N18O2<^NE1HQ4L%AuA;6HH+EnePDcPCF1^S7sJ{0r!d)( z{{BbS50^@gXU_JiIsOAby|~G&BjmL+Fm-Im6e=9ei$~9QZ>&n?Nh!#$S4yuk3(tF4 z`Tq{bkKR-vp%*0O^+o$)A!J75ztCWa;wG%beUpV+m{)Xo&r)V{T%RvIx~D}ff}_o`hq??0 zb4OAy){NuL#uY!ZTC$s$7CS=Wv#Y9bC%x`vrs`0%?{vNjP`@Q)1xvyE20ZoDnYi73 z2Vn0-qFh6Ooq_G{yA(~B@JAh@qhNlAneUgB;({dR~ z$8U6HK2F08258w|v=tXGv-RfZ^=!G4ITG8gvS8nP)(5Uwm;-SM$ODih6$iJOSNDW@%E}3vu#Mt$^aP=RiG;bgA$Lhs~E*TPQ`PZ;MaDDr6!=8+-V-HIm zCL9MdjxH+iL_(xOCZ|fey({31p0jk;AQ~P^CS>QB1^v|&@TWyq`kH2N72*t;6+Y^DjN*#C5nb>nbMm7XQ+@V z{g-f51wJxyN{x4>A_8$2zE9YtBVv3RWg=3U#FY?so(+>K#6&Z2J|r`l0jt%?piE} zPZWXSe1g?uZo9}?#7nywEa;Z#mS~!g!ewX(-#cgF{~0UMkI;LPmARyW{tlQP!9P&} z=<_HO$N^9`Tn6X~o!TN8%2^FELE6$*y-@ly7BK|ESO-;B#1vF>#kQ?-pIGme0-%X2 zc6F88+Gek`3L&q`Grft5)N3zWVUc3~?=Vj>|L=k-kpkC0Vb1RlsG8c-m~IX2Yih=v>2x1VIs$tRIB}}39^B}{aVSpo z<4eDY>km`V2dMRNQIHuU?_i5jpsz5eM>)*9t;Y@1{xCFpImtPEwEBX z+EY{HuU#zUuYAo(tPbSF&+s~wbg0gXnP{x9ZFS?~TAK87Im@gMM=G3x%)H_8uNQpd zxOfOL$-~zo%oCQnR-UKk=^C2bUt@(=z0~nQak1Fzk}SQudp~wrjJ1kA^_C+gnj5Ur zp*85aH_O0E=)T#)F*Z3Q;fJJq-Q4ra#y=qu#|C|OKPE2F@#~(${7x`lHUu@W{o+A5 zh}3Q_-AQV}tv9B^r7AJrw?@}qR(DFbrm<2DZc=M1tE(W8Lst#iP+KXlqzNzorlzHY zr)E@9moHr2r*0;Z2b!ubuhUjDYb*y_t0gUz(^aUcsaKR&s;g;L0g9ag?K)=eTEsf8 z+VXh)_yvQ-VpHr{q&hCj3II0JYK2?}R(>3%D`b{IK9)ljdra()@A}SC$jtK(cuGf9 zY$JY1zh0h6W^wNOMgGUk)qMZagHt}XUSl$U@YJdXc(`ypHF zD&sAcN9|7-=G(0833SX39^=3dQu4nJ!Vxx!SbHsso|F_Ef19&LsE;=Zl z0_=dyt4QI=NC}d|39@*08}t$UD){f28Zg3%mq|mI9kU*R8UzZzWcLvCt@wNCW2ilf zE?{anvbO*O1l;buX^!M@WSOMKXxu#8_fjxf@vK}-D~k8d0?b`L<^=|e^GKR0>xFLfx4 zTlLI`;n#qg+k3TvUv3qXNbvR>eP1SvR{|fU++#-J)~yMhzbyU{$bW>Nmv-^vROn;s2U zL@VrB8dJ6N^7F9x+}t0}PkVN^-+Z!gbehubPhC|?>I<@tgl|n+EGgV+NLL~huB{vI z;eJAS2~3h6HAHI!R=ax<(HL054XkBJ`o4Ww`%Kp@&pGx#Qf|!AzL#xf~hf z$3z@VcZ_GumX8AR{d~>MDsQUo$XWE=`4hekD|7 z9U{$N;JMe4wjznTB8jmAzMzsUx=vfGF8^n4J9)*uG_X9ON5q!-2jO}uuIYPe7Z@i~ z{P$L|B(V&88ZX%tA z5l%@PIllo<+=?}P))=j5kVH001f*`sFH4`x-2@eB@-K|n!uIp#^2v`{Y$GBaban8z zA$0>n9dYf&M8^imTMA3Y-cC&tu6U6K9Ju;MtSMS^8`>UQM%dJ2*- zl|s10A-*!eBdrimJ$-_17e3e->HcWR8AK0lgIvk^ZL-G;T8D6XsHgJw3|W4JuTg7+ zFF6>vbkm=ps<zz&$Fxq?4`s@J*oK;Q~ z0!~nWQ^qNhSD9EwAro-l&td`xlW;wN(BP$-sgNrZeQUj6*|H&gfhaX4Y_uq(HJz=@LKfdAA@G2U3c%(u_ z5EMj>Gl)~nS%g5HYnMM{s~Y2As;7%nOCFDs`oV8}icT1(`9=Jb8}y8)_$hjf)c1u= z(O^4k=n483jERa)j0yUF3_E#_xJampMRorbCL|UeM@VxW<{o}JM3%T5s2`W^ynRTT z$qPpz1|!$L*}GD(vs^PTmrj22d*A#@-Y&k~v%=f_xs@{GDQKM*0`RE>4WYxzVRZ>Ezib6W;fK z?y)!JzE+;-Nn6nwSPZYJA))&wE8t2!jaQUJ@2jZ~U0O4kv`*oVRkTOrcb!Ox@uApp zHp~ED3v`C^0{$F~662%e%ACFXdY<$5dkRjde9Ha5UEB42BMy_GICZh54OS){up+mQ zsXIM?3>x_9}|w4)o2_29}IXm zBPB7$qNf`_k}|n2V0|QgV?7{`n@*kzHD3D(vKpNAM=49%_^-!}SIFNMS{#Pu8YR>9 z$9Ql^tA%Fjm(c%<`L%cdS)UsonG=o3J2vUq)HiUr&cDHU>i|tio%w&VfDQoF+;tK!7J+<@RUNl7Ba|GxW`RGe@ zd|s_iWTa$R;c{E#>1*j&*VH<+vwM*%Z2bL^yV|-LtKjfgImATzvM`*}%NR+uAO64% zb5P4~Gqj~{I&l{Y?R*|<#u=iWWSVm&+bn^|s%EL$#yc9a z=tT^pqG(G-r*9j~c8_S=l&J4gz%#-5m!rB8dEtFc_>t_nZhBZ2!b+JoQkgainKn9^ z=#oy7c!RA?a9hP(_u&n227OM_cBReS_jnvO#?bf!y~8A^f^bg1Si^(jM2NhRcehQ@ z-WXW&qjvf4ZX?lX%L&f_lM5Cx6dRIbOc)8LwDYg@7;WO`JPuPBL4%Sw68o2)iLH3h z#t62r16-21Qn_Ne61gI|mKM625-x)8Xxj3N^nZ^6pJlyKgnH20e6~`0Ooi}?+HT`F zV&oy9a=0Et%*gqg#Nby=8pqdL#7zEJ=&ANdviXyQ}acjTChchJyV^P<)P1EHe z&12%FCG{mPhqFUBS%F~U``ICXbHhHw zUj4H}_@0?H4kr&TO zMC6uQhMGig@^=Yl+$J(Y`X}st?+W~YWmg;LLtRVKU=~9cLmD0v&Kpy){~97ZY?8R+ zNumAuRZi&2J?OCO%?4AblkcDViYFqW<4}0BE3Mp)V7b3KOrv8R!QajFMK9TyNj=k2 z;ycFW!e>rCiFr0KD1O=n;tdet85KVfGR9+x{PBDM8Iu(%NfSpPBy5mVP@~?PGe6pA zwGonD+6RxcqX+hVZ-)$&ZKWC1135E;A(%`lL@jZfD1xUqnO5nTwYzbvwxSk)N}S&1 z-+Y;Q?6i!Clie+tWqupID;g}EgP-VQE`6Iux?&M(`agiaUw#rg*^_*D1Dj|eAXP=9 zS(yb()02y9e(*RonxE@kNdh8@a9iWb4e3u0m^ZtL+9mHr&S84`aII143mbh(sc z%K$Gz40pw0co7oxKw&bNJaN1reb(Yijng#N1^Ob3K-C)1UzK@L0hPTbR^(RfId*CN3 z!HcmW8cDmcBjA#*Oi*YCn@)ZGhVF%4FZfwnl5|}nWQ2x-4jv6ZMEnMVtRTLWS=bFR z;kK7iJR(kemt3v`m@2OenSc^r&qz`SIix!lZwn!&ypJrC#7?1>oe3kVygw>I@+yX+ z(J*l?GhqeN4Hvws&4lVR#rI?5!wbDn<8%XkGHI4q{YEzDm zoTsA_V+g;IZVe+=>ek@Ygc6dwIx~bI(pV6K8E7p7K-F+r9@oQ@Rk*4rxl&V zU`V(tI=~wr`hgAZ(hS*j6mKMv)k>Ou2pXG|^27SRsq|<}n7QXzQeL@aMI=cJrHG?k z;C3?1sL>FbWgN*gu}H2!pfE2?fM{!(b&q8TTQOX;I*o~Sk8U(%LDG0C0gK2eqKdX+ zj%ole70tv@G05Rj1@Q2ayRDv5-%6l4w$l_MNaAO>c~q}X4ptAQ#oLXHk)<*aT%vKTKf zkqmd+i4L&GQz55qIVB^DN>*{@!bcTV-W|0?OTx`UEm&Ft{V%rQgj95bmsoBheVapC z#v59Af(K@#R;rUzl}?rsEtHpDRc<1}rxOP!qxrm})e$YUMM$Wlgn0uABoN`qHX-kP zQ>-(C4+}|T6ys(9ELrpkXoj zD+A%EI`)24)1JnBXCjMal&+XME1F7!RosA9j2JR%PXS9t@su+k7Ekoi)iaaOkpn%@ z1v-{W)X~0YMjU<;g*zR-w-vJFvpwk~iiipP&N|w42pS;jz~>DFRilYU#0_~U8IjOS zidlD*vc!{c>Z_Vc#}hEqu|>-`2Y-LZhj_dH4j<~2%Wc0Uy@OIr*$}xG?W4{qB6Pyw z4}MXWT;+2;BbM!$AADO2{@-dTN6^cM+<#)MZ;e4$!Hpdp}G!;G1yb=MSVr-Tk}zh3Ij4*9*RCf ztx}+8d=;(HE{XN5r0@z`#T%=)B1VfhAfAF-e5h_|G|O}n$G~~6<0E{iy-2FSB`5@R z80c_cc(EW7Je74B6p`jVUmQ^uyc^3MZQ1$NW4x!)XB9Lu5yO0|?lx5~FE0@zv~*`& zP@t0dvkG(|{#Rd`jIqY~>yX=iwDCJvMoaoT%vFLZnKCA=4VYS1xV=Eu@Q?V=%>DVQ zUl%MZAa@rUGKyK^h1V5SJ_dMx1(q!2-bXk6wh{_3n+oam4iFD8;n zKEjkRCo_gJ6ury3trk4w{nEF=J&kF~C~g4@Jqn4xdg~?DB;ote1HWPSo}l$f1}B80 zdFTczhFe=U4H=8`@rG{4hR$(_iUh7Y{~Z3te9J%}IzeYv|J_AC(K-NHW|XNER-b-w zPEjsS@t6NIAGQ#_`+)a*(kf9$hV-_8mE^%Un@P3awKVR~N~9KeLWn9G>fI<8{M__= z(=_c6r%fy+MdYO?O>~c)+lA;Sz224+2GPAf_(4u$^`Rg~M^tA}@JG&XtA>atDMyt& zZZI$t=qjDT!qK9A{@bA>jm2z!@PgSS8!(wGN4v)Y?+;JK|AbzA_9GHxX5aL)ao>XI z>dcDEX^uO-@U&W^_Pz=>Sa{GH|Ll7gyfv#s=9As+axnKy%a(KSHtm7K4{oC5f!tcK zvwALr_oZ;Fo%colWUAp-{B#1dB27R%%g;FJil+1`gLmtoIdxQ)!}tJ_eaZTg9=uLh zvA>Y5pOjL<>84;~o3psgUsda?UErr(@I|}8N4vmNo4mVdvSLO^LqEqrMn%h)`ZH~qYe@&gQK4tgzRMn+!+lI?=)0D%!po9HW$K09AOyd;xw692v0ZZs1 z)dD}R-jy!ogv&A4RM8rLiv5*t+dqI{iv5#r+nLL;Z|~LJ6yJsruHGMA$d}5YmLF^t znW1C9aXIfji*D(Y(rZ-HvC$2jziT|oW*s1XUS*y??ourIBbs%Cdt%$AXz>)n+s-`i z`4GrP)8nCkOm9uuebq6mAs^#CbtQXRqq^!{Gz-+@W>9vG9Wl4;2V_Ahx%dNQ36{B- zyplCgVj1M0qW2j*qB-|`EuXi1=vh=FooO6SMsE8h@t z3rLHcQR05+z^HNAkZn+{oOw{$qskIewVzcup8!tl0cVrK6~S7GK{*Gdq_yjB={A|A zqD8?3?U&t#M}=dSAm6gOcDnYeI$&y;!IM?3PtsYr?dwD_9SswM4|!eo{yrPtPi+@> zpUIC-spgmL3Ty7#D+v8G-t+3|Spz|V=#xf@_P++56zzWrtNg8#RbS=Pl%Du)v(=Ub zE53bE52&|lL?k8GsOrTpwMLmVRvghgDeyW4%m$J?re(*pJCYdjpS@rp zw7=%mzth+Qvp>435-3AMd#Lxur&k(Uep2^Xm3Hv6Mt)Kuyc@=XQ`5|D)k`iQiYq#* z>T?F|)#-S3HVqMM-D#iAF3O;<-h&aXPjGgU%O~?NeUfp9; zsq$9`xz^4Ky1GYX@+tN*`XU|w9O>Zr0wNaY61`)%YDJHTdKFX{aGp*ru$Qxz==i@< z3{Ec~k}g-Oc`QmJx}9QYBSZ$Cd4-gKy~@um;KU{?^>@-rM~fEdfvh}DULh8Vg`;&q z8iiGPwT1_k3?kMEcD78S`sEGc6pTd0gICB&lfE>zdUK6v(g~Gjsq%&_l3KY1VGH<* zU}598V@3&Qc?oKZY6G6*(&Cl;SR6VOZ9+_N9 zFW2Kw+Q;)`KYwLcEwfJwrCUH*QY>m4BPs{(I3J4-s-BzckCXPLm%6SuDhzpG7tTe6 z+F-h=kLAEm7=cRsA8C^n_gh_0sij1d4iPQ89SaU8s&a@B)VfI8m}$0K2~NZ80S%R! z5Cm5FFR$q`QS=CfOM-cFPn45{g)jeR_q5^ zrhAt;wj(xIIc6X>S3CNIoYLv)?4%=Vs9+7+3Tm;_M=p5RnS&c*uSe6JrXOdhZC(mL z7bq;kK~~}V)KTS?XXJ9`1LK|IHexxIGU3TOOe+A86Zi|H32J>sV|1c_(_rAj|^eM&9B{#`jsp;2(*e%|_Fy)*ubTA=2HHEXPa zX|5%D2`V|L--FSRmitux3ZXBzX?QwR_mf?_Kp%e~6%P7BtMz@!sB&gPu|FT+xQm`Om*UABo*_V+Ar;G#D@6pal6+_?IMEU#tyLVw4?yai(fw3g+zxgGBQ?fK44xEw`c5l_b zqD=GP4-YM%x#(ZJBw@x@1MzHeBiKIaFVCrA`)i-M@dcykJOpj_9~h_@at4F<>r=mZ z<6tIF8TVak$!iKmA&gm6Sqs`=`y^w$^Sn}al?d58U1I^}XZUs)bOF;MS#UD$K817) z9;uEnOXVVtX`Emu8&t;Ec03*FK3lw7Xn*2oI8c7h)%`=*WBx{Q5EN|G@Z=5L#gNsgV3 zNe@JJ>@L4P7iXiLusPTJ&s##oAZQxD8T0&X`LHh!x>a^oj`AH_^Z`bPO2dOqKwEp!C6qN(1$)q3As%m9nEOnX1PgXZ z)XIJC%7X-(^tIKRtCRAi^re*rSExETY0cv8M29qBcdCY>^pMHSbG$qB z45l33@A>j>eNQ)`5<0(%3oVKZ)@M31aa(>G?nulHE92mdr=}|B^XyD z)SSgHDjULcyO#Q$#ZaEK8l%Z6dSE$2cPcO+BI5qWn~>^>A2uEiS;HJmb8WylK<8+% zMv`ar29)s+oM87JFW?4NfZJj0Cf;l8`3lMEhSV2TAuweBDuvhTplveQuxBG1U-lVw z;CQfwj}iq%;FE;a9wPi+^cSGMu$cOh#^8-UQS#$BlGY;eblree?ICI7K6%s9?n5?h z`-Ob#grmjLuVNRuU#uL?cxyD_wK0LO>_U4x&Fnrna(J0B*WF^;)quRn~j`b#QWE74lFam*X-WlwZpOs9kbk$Aa0ep_N)yaMO7&Lt@>31{Z3kU5Vm%f`rfc~UkQ+5!Jj zEaRTbv1WFvJ5Q+Bn7oCO&D!dK_3c^tEP7v~+5#A4u(=L$R!Z(MHXbY1CA@u`Jy>Jk z3YZy()M4zT{^fqkgL|SO;xQ#Os=bG38n`gv#J9H5KhxP5nP?y#-7h-xsirv$(svv$(sv+v4tCXmMTK-Cas?cPQ?~wYWoZmjZqH{lDZ( z-sDZr+{|WXZg!K|$(h`9o@et=0zp>A%SWrXu-KgwI}J^d(SBdkpy2n*VvOQkz;{_I z|FlI5q4@76t;iO&VUG?IJY@m}OMbetQked4r`ah&&*eTt zg_Q6}g(`S@Ul7|V&r6GHTAjpXduw~^`+`%g%YIF$@5(q)`L1`Csk4supP;D81i_(T z+vU{lJOC1$RCoB}e;q|}p)MqT$2%%@s@IFj@mXtE) z5BPzD?p>D%B`LMVWGRl(&tw6V_`v-<{A^?_c96E8;Rze!gc{{0bv?0qlz7OHl(;Dl zGYZ?vUuuv3d2){A7VQ7#74Q~2=#l6|!1$c3PaLLhstKN$6hA-;ZH4qI-Z3?DFOR*7o zsr`>};m$40Xk%;&ZABxd&LPbCUZoi(v+qzC_u{sa6ie{{A7WxrGdhSQ(hEz`*!>Hc zQO<-mx7>e35&Nzm?76+38hIUiXT+5KrSlT79cRs`UrwSs5;8wTZ=P;Os0)o-LGuaUrtLnOKmD4MShc4# z_Fy)mjcX<}N5KDydoyGwyv$hGy%=>(RpX&fgn0-7GuPF!n$Wv;^FD9f==rZZ# zu`J4ibd1yCd)%g1#N<2k4R$rP?-ZWixnC`c4aNW5w&z;_SjkWw8U7n>8RV>gG*(z) z((ZHEX=Y}IPL`?_S-@vR{fM0`)f{2bETTgj3#=eRH{(uqtqUlDCmi)1C}uN{T80Mb z)5^%sdGvk$f!&mT$oep^l`Nu4lrQ#5mM=O>moJjamM>1rl`jS;lxtD)Xa;1Qs((qi zEfZHA@=gV!+y;{#Du%ul%C`7?^-ik!IP-gHpJtzUz%?c@$UKmeKOX(8#`fHU@#&OY zZLnj^1un;JpTyM-{1<_BEp-F`f9x62MBi%5sc!gwPMd8hJdq(&_sBA`upFt>YENc4 zvKTNP`@=tt;J-#uwn34$xL^YH`H_>+|2yD?8H+v(b?@b1%z-in#<8v4_)gyJzi-W( z4~K0hW0r6w%Rfs#{)-Z_|7RGy^xQlzri57PVUfXWqQ9{bf5S?g4jH{z=ZHlU7wRcd zDru%}RBK`-ab#C}*^rkw{6dG2Hj_uK6)+)8Dy5saROJtiVXjn24pU?$SCqOd8_Nut z6s#P}^xw!w$bg|l78aWL`D|d%%=lx25&N{j^5huo{tu5{b_|Jn|KuJ$eD?%L(y&cnOUZ40Hs)f{(H3u1Y#=AwS*Hrvj`p^g zC0yUzC#zVk&GLfB#kfpIlxnxvTql1Sk^~BE-|s*WZ;a)#*AmJ!wp3mAI`zgK69w8PqIIb4-o5h} zJUYE~Gg;<`>TUzt=hP?D=zldjwR>zAK!I>`T!4lGWFp3sKl$;?22S5zvumNp+zaG0 zvOC}n^*(kQrg=XKpBe*DR|>x(9O}~zan=ikST=-uu556^W&}MSTfFkk`l(XOKXW<@*D}}dKHW|KPbD!TA%L4}DHL&b zlTbP_`}oF7Bw1fQGy3u>^8`o3NP|=Yp^2%Z`{#g30mx<8`t>wsL08hSicX`Bp7W2% zIsmQVt}S2Zb@A8T@x|u~%B4wav6RGV-dJP%YwKZ5qR6}n6XMs?IzJAdd~?TU_Srnp zH=AXD_Oo7U0>sox``PwC-2kpyff5~~-s=Bs6HU$z%NJ9fc}twV)o>YA`7d|G_m5{Y zH|+j7$C@%Wc<=nAx@yja8xkEz`w_AB<_^U*7~T9xYN0ZsM4HQSMk}eF789N9R-2iP z&PpI&W{0px>&>m|E}pa6U!6ovm$YZTrE^{a|DJ`6WsDst#)`1e#Mv?EV|mS>!}Env zckei=PnC^sppGBooOx9DH)ez6fi8tYF>KKRTO<5*pQZ0HW)J*5q}EZyPk5%;A@WYl z$EtCMy^(8rW-&}Txcq94L61{9CE_Kt>#=Zg*^ca=){Mp;+u4foUfoa`<;U*-K5s9P zD`xgNW?14O8o-GK<487%f%3T&6%1_t6`Fm8?H6w75iQSxIrtm)*{-aV^3x#z=(H3;3s%^)V zmcWABW+&mWgV-gVz&rbe-bVOK7Vh8T?htF9#VJb*`R_4t;-MAW0q|bNm{58$q3r?e zJ{u0)^Sw48Ek+=ZxhwxsbX+#giGiz=)($|QVY3+#WcbJZTbwIYRqAclGQ10(k9>P& zb=Tl0dHlHQ&&&#TsTwV}6ja)zX?rykvGM zm^&{6!8SO|<-95JW)<7d}G;$hn1pHFMPq&jFAI0mPods4iTa-*Khx-HV$tc2_foG8APF z{Dd_)L&b+MzWE9p1-OBWcZpwE**Y*eqUQm}ts_csaZ7h;xt1WqD9Vnp#xZeSdiR;o zb;(%&FVXi@U$b-M^3uuQxTZ;iPA6-sCu>gJ)j7tq^5r>dP;B@S`6k_hQ;4M>()@1# z`@>YRcqS}(--M;V21oxVqd>s>a|^#It-pWs>7+RO)+|Ff?rLSXbpX-qT}OOJYnc5M z{mYfJi-TK|s^uPTfK&FJITgM}S|(#R%>I;E#HM-IQk7 zR?Y2YyS>h7rf0(-xLOpp*icFay?qR)pGq5G?rsq&wouT~y$5#KkZj#*U`J}TxA+U6 z5>uwGPgl4(5_TJv^zLs)O27$jUOlgzMta#q+8OCKg>7AjrMo^xG5 z$oND_1?7Zh@i{?sWv$RgasfN7)TIjgnBU0iIwd95 zGSZ;9=te>uD{jUK`~MxOQdifJRM$boaAudHk3kP@=pka)8$jDzk>(m0mXWbNmF1AI zNG$;jM4Q2>(Ernqw_ZTt=BCq1oIs#a;iu4`5hw}@#)`Hkci~${YzO2P;reAw;=yP~ zDhA20U=bQC$c3>upxPC73m~9>pEY)A`oOKrD6)c0EgCkmwgt%RIDKHQ150U6S0s*5VE zh`x1x5ox1mxyV+`9cEUTyujUrN$yOka<|jI{0Sy0EuLzTUUNRnTF++snp=#0Sk^-= zP0yn2vuVPgXPBBUFSDuE;yT769=js+~G-Q zk1d#7Mr$({qv4%aTw-WHg*)Pqyn&Lgd|Nk@fs^NTzvhVkcB&7uv`VdBBz7UdwrZ7B zPZwG*rdP~g*eGW9?hc&O*WsMAQYVDG!ia1<@IH_F9JVDs4IYfxR^xZZ(e#R>rAw0U zq<@~sFGvH?<}by63(IxEdq1$L`0|7oBmX`TC-VE-Nbia8`$UY0#I^+5$)hk1wRtX> zM_^V4ejbIW`x5|+#}o7ATjr;Rga&_yA+@br^ztcTGE}pjG6?za?)^xYS6J+{XB|<1 z<19JhKIwOd-qAfmBo~g1_9|&R?bPTWp^7xRN+gDi{5<)eVEK7FJk&gqZ!E*aDmb5C zx*sr@6~X+ie6m=J5+B6Zq@LP8Ht>>Aj4XpYos4b8)>xlnoH{pV3W(_O{rvTEbh)_n z6KvqY@zCPfFIWzr8Tvp}NwL;4bMs`Gbm$j(-$CNKGq z%nDpvLLuu1?VkE~bVk^NSFZtYYxI^NS=Pvwv&hJf7Qk3?BM3dfUQo}_@xAdWHm7FV z4bFF6aNWD{*UyF)AlAHe$~|15LWgYWhA$3(kvpf*2Tb#!^{I67z{V!HS$d1{-6zE4 zsvwOZp`I)zyYiBt#<9J@jHd%)lqg>gA9MGD&0+hKxgSa*y!w#>HB`Fu?|PZZQ0EHy zY}2A=a7tBRa4Cb@AebdCa_^t+xm&*xpFdL(Wmg9I1WYK2?$`PcqOX(Jmlx(VGWx9y ztv^azdz=`trjLN88_=46e>2u}K-8b9BfIk|qjiyLFtTc|c~vUSuWLZdrM}aetW#puz`tHW`ws0W&pHJ1I%+6imaGaqSfOMzCs$!)2OYhu<(2AdgDJnt(&)mt>L}*3>d4P6qT8QhFjbviPbtM*A7`OHv-NQK zc=|NAk(nyNThZC?TE%gBl1D*3BHK`PJfr1@WO@tG08ZLy>QiBWEfB+C(k!lYYL3{x z%6j`19=B&)7^$NRa+v_$2Ed?6$*41ojmrf(v}+LI?LbAR7d6reoSsstd+QQGvp&w8l9kgN_{@s3*9h*itta3biwgeDVwroXbH-UtTy5`p zvk7KB&WP??(cOPMY9`9uDq8%y`riFPqw1Z-Ftx2}38^1Q$;4F!Hb6R0o-rxEQ#+Oy zSn>;>m}Fq6L``-QNp8t#JR56tajt9_LJ)UYn-G!V;yA!K3G z)y)6(2*^nb? z&)UsYkJvm}j>@f-tL!Tt%jmr$kDa23==!`AzJq!!TRe>&xFKDZP7|tX+=h>4BTaMb zW7vZoso#xVFk;>u#blbCn3bAi_Fz3uWkC(oStc0=eEf+&4LiE^+tZ^%C$8SF^h+2G z{C=1A6PG|#CEIT>)#KqHH%)n?k@(BX=*?i$9wt0Ov;=vA+xQ9XQLSMV1G}wTfNp=o zT;)Ml@%bYyTD6Me3!I)~6x`p23k$hk7jbdk?COhtwoe_stRJh zE{smkGr20+FzWy+2j6JC9|;fmef7$&>3ll>+_tvnau17n+$^pE)d9TjW6sgLk+0v| z>&Zd2db1NPv@CrP-c5{oqO$b6D=muXj$`us!;5DA`EM1{VA#yevp?tgpS=icmbM+O z2$BuJ^@C))Lyl3ERLfruP(9mk<}TmkVT}TRF(JKRZkZ~@S!{h%&eeD-PAcoi@#kzd+XN-$jKA+ns6Dtd$|L|z4dF8 zHYACzPEhgn7Jo{9nLP6at?$UAfR9tOXpLjrm52L>E}OUHv2Qhoq^U_iTPCSAIHYz{ zn%7F7=)4&LwZ6W;R7!vQ7V;tB3=+pJ33Y+lxzg(|56zux~F;w+*5$tJW~ zk2jq*)}VryPZE~vlohT@05<_uqBJKwZoR8QU}VM45o>R@qm@~Dl{N1aXHs4!HYTka zP~nZO{ejCuhmbGKDpkzc?He~L zZT{Yhq_NVe)_P!2A|9kAE;C!SH%KXUbBQlA>IBz#sp6i@ZEA8wR5>^OeH#FNfk$+g z&&w{cjoWztdG(CikL3k$~mW1g9)sfY7OI6cq z?s!nC+w44FJ%eP}xM>Y?8THAeIjw{u=9_#Fjvow`=V*)r|JLN{{_uTl!(T;Y%ZU<> zc)S*~c&!qtw+pdE9g0^A;^|_JF}YUtCUv!6TIX@#bH6!!!l>vhz?^&qZCsUnt3?PX zUKxiV_(;w*Rt@c{VafaXwXaSgVr~!-b~Nf1{VVCjDDRLP=x9G_yl5EDp@`4;it&1c ztZ~w%|N9=nZNg?8Q1m6>JrhYp#dX$;^}}~|Aui#r(H%QDQ5HhU=uiB5-+MtgH_e)K zGAwr)Bwcj%gz1RM^1}fe!2w5nSXOlA_{7K{OL@3~-;(H}1N$#oIVD+DW!&Stu(9fc zp^|%IiqIND3Q1B}wRlp+Gk^3#eiHHhw2@}LG!;!k)))_?Z|voiVf#KG60cQ+)=6=8 zgNE2K&xOe{pClN{{Kn+#-yC^gDPt`bdC`8$iER7;qzt}XVaW2u<0&QVE57iZm?LiX z-WDJ&(U8(z(w`9t5fvTkP3v(8px*d1B*}FMy>kHnzO!14Yi-B|Buzw`b09$OV3y5vdfdi~FH zR$j*=LxeoBI5=uA9~CqX@XDqlrovK~jo`7`Mfv)YM z#JXIJ`=-VGt3swWKF{G&Q}KIE^V8nsQgAv8294fVTm=z!2WG_HnX;sC$z<-mOSy|*%qJti zVM8X$0uG9t?50VRUOs@wjz*-+$)gMhZ28DM>?MaSb{~p}!sF0l64TR`=F5B;@hO8G z7T^-}wC+ICz2qCSaYT%gMtfYRZS~qAc&CYgHE!tR ziL@fSoJJPiKW1yXOr9ofPU~}sxbMZ4orenBz=#0Tnx#*EoR`(wGxz=Y#zk@MWbLWv zrIjuZzBj0Cu~{Ekk-4;-A*Yow!((HtCDR?$qC5z4Hd%@k7?2Pkl#RUPcfCPrG zBt{D!T=np?YQXH_kcb4jVNNuou9Nlt8AFuAdI-zCMah-L>yA=QG%jvces)h2*2*wS z`JaZZ4;;BD$~w9}JREI1$iF}tG5I@K{oAZvomZcx>|<60;2(<}X=UH!g^`VzUNX3> zIJX8y9Pz(aEyMyvaqeYj6IN@cNqpJXw&_MQHh}7ioahX^hGJU1Wk;&tR@%+gfAA;m zJ1c=yIvy}ou=0I~BRV6NAa>>1g#ddaIna~Q(pL#BuK{Hy)%upx-#(Ctsw+#govGgs zWS6)Gi(_^ey}yUlKpH~GZ?AAK0PX4*O`dS>xeku#iNd+rs3uqy;@z;Lhd5>!DZ>3MhsY3`%W+zOWMK8m}^9R{Z^8 zuBW!^7A!Z&oObyeIl6fXb~Zg@iitvRR=q{ax_KiUHA*d}9jYB#El`~`nRcm>Vy-b# zIr~t|6vnnCs?hyhs}7l^zy99eK2|l?2{L^C3CyOgDo5-9O@~Cqfd!!1oUcm_8qW(C zFk#?@bf0InNQn;utj?e0k!h|72Zd@jkhzoRcS{^j7R`a?LUv$1AO}LjZ3{d1IY)!I z4CJ|RkEuLt9b`IZ3s76I_^y%t7cyurfUV?&6knUUV#tk`SjE>G!)-KfMPYgA9jY$nG)4Lk zeN5rHP;Pr&L3~mAu6o8`oEDUwwQpbLtAW?dzlDQg>V8W~!1duW{WM+t3Vk!s$L)2= zbKr3q>0$jTIu!ibT+zQ@xk~N&J}U(-lSOpivno@Xj5R9bYsV-M1)n#Z_);ioNc&7~ za5Pfa4`2%6-z&o}iXF30n)Nb(Ba~AJL)IFVfy~}3isnKSE8yK6`?4Q=A-kkgu>Fqv zZ|HKZfr+wiu=oue=+GSBCQIelnoarLum|c8{W!QVmT|wqnb>lQoh7T7O2Com^SwzZ zo2c_Uh$5FsuVLn&^@p~w;Tz}-2hA|xXFch$Ap+IdiX&EPC7g7V>@f=apdk=jOt3hC zXt1I*b#_^Asrjd)9ST%SHaS zJXu|W?5z1+Dz3i2#6BT0m$-xx)l@`vy!tKn#@drwNxi0ZNhr#M7+MrDNKQiHb!?;)_I`7#g?=Bm|An^hy{nu`?7_;gLhW`{BiHG7; zm|<({%qJjQ&2B+ik*|1bj@>Hnsze!|tPDoZXd!{fW#mhLd+@WQ4{8bMt3X6Dk3vhO zQ_TY3-N`+?MAEDh`N=hO#C!H8sjKz^&9EhmR2YsmGpL#>z`01)7{Of_l9Fs)DCtdR zcF0H=vjY#At*L6T88}AI^>6N)C-*RU)(7jRCom$P>vbZ1vqk?}(t_hPvPGGXG!*R2 zr7(_ob@(xhddJc^P5Gzdb=+Gmi?Cv4Lc4#ttHV=BWlD45;D-WmpsU36t8+PK7E3HX zM8`P=O_TMP3Ctx+SxiL`&3zM6$$8ktMYaG;v+gz?$~0EmQ-wFYaC+*8Z52{B@JDGS zn19n!?;-&j(^MXkO5Iduw#$Rz(dr9}YDo`$`nE;-LxV_1Ax5f5i=_Rs%UG^aE1w$< z{lFI`LI#wIZ6-$X2u<0r?_9_PZV0L6oj;7GA+9STRWh(h(DhVY#Z0-CI~@PaOO6up zNZFeEAl`auY9zr&42!5r=Z_CoLgr%VQV&rt(il2|7#IwezVa_vE2(QqN??~X{Giz{ zgZUD+rGPMlJHMo*_e;;#j61W?CV{q&_LCyve_t5yj~CA_w$psN=w>f~&E zCSlc&ulzLWoR8uq%*n#(SecaRDQwap6%?xJXkVA1araeYO`)Tu*i3Yt1xPm;A$2Xm zB@vhe?Z4L^un%H1oqD$D6;Vhsu=saU^Rwbo!PUj5rWp$md{mIMUc@l?Kso@MF{&hC z8KbInSbemOso?pY9{K|EB^O3T5LsF(6Fjizbo#h8!&xkVJ9td7#5Bm7GKO}C5!CRc zaWCZNl&qra)ug57y&3ALZoyq~jZNwB1H)Okx#xJcrQUa-u9Nz5uVxH#t0I4i=~Oim ziD^`jN}<4_*W`}k;d+t+&(m!jVI5He8~v$zG6eig%1(}!Jh2L8+L*L(k3oH|t(|xR z$pWo+Af(41=92lPf1N;eMo^Glzk`GMq?t&kCjH!(xT1x_8y(XmmRVZLa|=$>?J|zl zWuNCd<4k!(jOo@{k~zijTAWqL4k{1 z{ak2FPCeU#ZV!({(Vj#FevK!WQmi4EM2AAC9F+DnTIa@x?AmB?BHUD%d;=h}%426+S!!AiBxdaoY&PP>;= zs}bsNi318$sLH7cViB-*fruCbrh6bHG}AsYWd-1@es-=))9P?1wfG-kfFQr_V_{T) z!HO`SCLg2Pza12V>Kt;kbk&M@PoOPGiJmKlm};G+ozaX6i4r`=j>+7};0Q}HT7Lmy zkhVqe&mt;T8PFeHr?ev}s}N0{h2T2CiI%c9r-)B3bnlKZjGTziutRc^RR+}zsrs+| zPAeY%H9;)s_n2%{mE3M14g=@beMv(U2A8Wd{2$z58SO>L3`l5q95>`Fyp4K&`bu-$ zskED)a+_ncix)53nVr5tnx0J@KBD-!(Ur(5(%M^rV%nx=VoMdV^#lVVG~p~IDVIQT zx*KywfhY$e+X>d_K9Z#`dxsG?DpMq(t`fpcMTB2;ht?2MLo@5!$+10P5Sg{C%smVt zT7BkbI(Cw5uC=KXRyq1Rk)Pp$RB@a_% z2)5>55P;?jnvB`j49WajlpHH6=4e7e!X}VQoq{lvv3UvC)|NRr%X&qluR7LAM$-=u zTy?5@MYXPnlN#AduQtt3HnJTNjpb1S2F4NwEu}1pS@+sWGYD?-tNEMph_2Gih;AU5 zOjKsfc1qU9MqNwqsOcI-d%c1OH;>d$JS=#JJ{gJera>xv(AnAI4Q44D6m3XIUllRe z(Oe#p-GW8rVx`RJIv+dRAlyyIwo0oM~tgrT0)o!S-F~S;;n1r4wPW zrUu#snK03jzCGrdIu8An7&Mn_t7|OcdN7!if^U7yJV$;JE~WM--e!*7N(^5^VNI*g2M9x>^khif4;8zlMS zTzs^HqeWegh=p=9`Y;m`46`8*dkWW<3Y6=y@JKVDqLd_!8Ss42P;0A{Le1;ht9D3( zA>3asHjNi#4vpK&80m8+2Z8TTFI~;kV1z#mqg*I}l#Nf=7=$lucGeK98;bLrO?eZH zkH^^pV`gU0bCEXYdC6|`BOOZOVF6~EQpUr>Ts$gPh1qrvKx1|-W>tQp|jV>Y3$L5Qg*nPbvHv5K5yoO@kJ4rl3+}uvf%*DMSu)Qs8KA z87`#Wr{clJ>{!L)CuHK>`?Ae|jJZGpgQJvo#b{+nJ8{$mI`-I*Z0` z>T@iED|4_%3~q`2G-TVVE^_SBX~8jsChk^Cf$byRuU8=Wkc_N$$v!ZR^L29im9o}R zWknQxb#s1ARqWZorVLyFg&h4B0MAMY6OOv+`WJjeP4wz_GSsG{>du#b6lgcvlu)_7 z0|mypQW&+^pJX2rWc5Tv1ChiM>aQo#ScUZ&YpofD5`k;ssDxVdBw{hp&Vyd3=wwj3 z0kw|1Iu@r^r)XL?%Y`T&)M>D>Z9ZQH`pi;Hi?pWW-g>4EgrfQOb3p7}!m;34?ux7g zIiU#g8=AP7crzsb*CXn*n*Fx;X7q5JHO8nL`6%NUD{=+?pw^AILJV_2@3j zxOWmk7q^tm7>0zzJp6XY-v~n-Q$jNe9S*O}wa0}fBHl`4u{;IN#Moxm zu%`w})_p1%**N!=(bB>)NGo;OOoxfW1%Dx%5zBNI0N^4bks~5O=FO1IQp;3jh=3}A zQr+O4W$o}-Zd*3(*6=c;P(sqREVxf26Bl3Ai;{9*z%ZObnl0R((O5@K(26Q!^gez! zWK#W2kX*O+9-SIHmDjtG%g6!~lf$CSlr1%1T2@WtUX1}#|HD*;UR@OnP`Ae!(F8BR zWuk&3h_QE~8jym$4_M&vTB{Dd?mM*TmNFTc9POX!^xdy4X}c`RQskQ{5pW)owWB1{ zBhgirTpZn9)V_VHRZuqix56t`}MxSCGLuN0; zqhH5Jp+Jd|QUzUrdPiA1f_@%!h(bxyOraun$Wv_k+`f8)vG@4NwW!>2p>1hxNV#WE z52>S?*F#hr6NANpR#Ld0MsW&ZA8{tIAe-qDr^vvp;uPOygA3gz5mL((Yj&*E<$=8Ji= znoxOXV_D$o56=bKyo}Dy*X4aaJPDF6xew&!7>r&P=70 zsmdJ#`hY#?#AbpLlqZL8ONZAD&C2(qx<8butWZC*DnsA+S9CjdKH`H3$0PW}id?ri z3gP1KF;2-HWQIL-28RMGj55GD)yosb7-mRHXw+9~K;$M0N@oalXaBl>Pd(%qxt>yD z7f&d*=XOGaVl)K1$ni#^4GoRS91E2QWhME~Wz#AJUPrK(n<`T)t_=v1gL$D4x+0@T zHR>i>t$U&!qA>Pa@oW`5=q}YT2xhm=ZNzl43Cl}xd8!GXxGsypLQ*vzWk!4I>+iwY zH|_pO&F9#6TRaF?#9U2OAp=WG?zeLNcuzrihoBQ(J(n#4TS^<{9z?6oZ|cjbj{5Ak zAnWT7sKPmcAD=0u&|LIRD-+t>d9;+T)QO*n>TWJ)K7 zu4|OYU5x2;5`aZ=_+DIjb{fqqBcJymwTjX0f0D^?W@YdTO8A=PNNbkQucFwM(FGWt z%$N|r$apPyDGxotLOSP}zQu^YPKn7~W(>7j)34YU(PvX}uBoELkNCwe(2}cBsneUr zG?u>aD={U*xAPAjHN!f0Ci6+iob_SW%_K;vZ7 zVab&k9U=F*6+W-qFdgj2x_d$HAAIuJ*8xCnwh~RWg$m7tB4jH}clIgF5D!^DA~*>z z1gyi#OygZlkP@&*DjWk#nKXVd&9$%a`_3(En(r_7HOLMjpiK+8;g_I{1&%mZ!s3mX z`)R!1{4h2_)h+G@v3*||hb(WVt$N+B2o;e`RbMOK3rfS8alTj_!A&?UHmH}O`AyY`X6CdEzc8wMh{9PPZ@YU^N^+4aEFE!?M@we-i)j(Z zNoP!$_X@;x(x56@{@|~cN>_jvqkw6UJ``w0pRN<4jQ(auz}y^?WMTAco^aLt@|Lm{ z&^4-E867xtS(FEVn0Iw6=_+`S)iHsGtGr%Efd zaBz#QX|*|!v|Qfud~rlL4t%n7PD$-Z9Kz_B>)GOiFCe6fKNJ^dTU_|qa$u{lVm}Ch)|VKAMYbkWx%v_2k_6pi+m|$bwT~HeW`QVk?~Em(9`_G5 z0@IlHw#chTCChmqgR3^A!awoB9EY|u)lNr=#X67~Mz7~)n)hgT0-X|;nvq?z(A2O# zn62$aDwu1x3-=3Ctu9M={F<c>T;SJTt~pE-D4*n=Y|ui-{L6p3 zDztVz2eZX@l8yOYiWgaX5ky%Bpk<2b9 z5=j-?(L87B*v>9eIYHyfv6W`UJ?0DZSR1qka-?!Ys+yRhL8X+YyODdj5@8eYHblQ) zw58uBNeA|&t(uO16P+!NR~;D=FIOKal6M`9)&Tq+=`xeHQ4+Q%#;@r(A>DDjEzSLf zHN-lL z%AQJ-6ed@ew{Y(vCojZmg_eQX4pU@2MQGphDDRJ3O4 zH9AZ~C7+R^%khjZh{Jnj!K$R7gu=pSA)$GM)N?}V(0cpy_xcpPbiP)x>AAjir`Uct zrovGsKJGSzb-fI!(34W`pv9*VC>z}4k&&30xWTpCi|9;w`jvGY7xUmnEUd}Spcm6D z5&Q)oRY4(kuZWGjDj^E|J*+f|mPu0q84hYlqb#6}cs!9%(hHVQQbm^Sx>TN%qZ2nV zhstY)=$}}thzP-{Mt?qtFX!PcNMp|E@MP*y9u(pX)ueNmhB(L^1pVW~7 zmj^^qP66|J>IEM_x}_*4(Tlhw^w_f`Ub;?=+#zl(_Q)is3?dKbLFwwt@(msY79KZv`kgB^VZ5( z&+3a|^D~?luJ%PQj?LyT1B>&Xs2-L9_-YBvZMM>m1aO!)@=f5kJL>-hS>V;quG<8q z1M4@k$xf*p>W>JoSg%ST@$nVpeiTep$96NKc-`T(ACX4n>_;2;!sgg!ji5 zi`z`@$IiXVO$pMW2j-&4(kibBiJ`_rvs*Gqju4%}1Ki2Ofds9$k<%xo0RC)!V z{&eQ_~)M}^@wi=6_Qeu{HY|EvZ2Qv2B5I2&}gmn#puf>+WtadXuzS2s63NWPKZ;J%u_G z$_x8BE`eMUYNH<0Cnvu{HIj-q>Y{hu$<-}X<|83;C6dU?-2OH8mFJ3=0JlxjKEz84eJ&Ay-JM1v}CST~--|M4c{2sGKhzKC0;V`;R}_G@roPg+md*acM> zKSU8CkaiA{#N5u3s-8ii9U2}K<)R2_W&2n!^S)iuS#nLo$e!HtCuJv za^3XRRst+hg0rO!GvK$mbjm*IAFTxA7te2(0s-Q=NgMGqQl{pTo4l{pjQx>G#2_Nw2=o zgU$i6ttfSKTSCu9!#o^~gzp2L7h`%_;Iw*YK}O*3zKOHW=hbGFJs03=15k(-lH|V9 z5p)A5wK#zd3#yqyWsav%lE3WKqCq#v*sQO{^fJhZxSNW+8MO6++s`Dp@sN?{@(pi_ z1(fX7Jt3Kx0Y;d=!C6w}oD$uG`t5aC*PC3NJZD=?~$<-9n8 zIT468;>1{!U8KXT_GH3U2H>aX*x~sp>V8ZnpjTeJnzw4D)Sd8s4jy|HVxg1;W(}Hk8WY?}aWlgfY1mQ}9 z*THCZgZcL!#C%`Va$Jeh`{1JFP{;#tGJotqjavcKLTzJcWW#T0hY`TP;3!8QXt;tn zXxz~GMhxWh)JfP}Pv9Q;%ysH*8lJfDsaJjVz-<11#j(s@VL)UI<1B>l%*E}Mw%_wK zpcnm7lzH4@0nHOS16wYF&X<+DxTQwB>}s}{djj2)P+o-)dj_~VU{BqhpuWomIPHv(sK7Q>qTu}u($Z%e(SU&>^FQS0A3mt2N zM8}V{NbilLf~N1?bAvyy6jvjyy2r;%ySZnhJZW4J{s0b=tG)PG?kJ{1*MSRUWC5lK zeVgOA4}pdN9^m#bYP>lT_VPZaOsbgeTz@Dj2u#I3|J{Pd>g0BZ2%y$b@7`rb7un42 z>OV?oSAhO3B72|O3Qu=~1Jom>u_}Z_09FzFr|t~zx3Vq zjiV89yMSJmG>gK)I7#kbwA@$#>((Tsx5RY42|8)RMD1joh%dcmi@$p7eLzMeU*xQf zDqCaqOxBBh615#pU2;&XSFoXZfVaiLI}hW_S~dss?`@sm81@^D`>*1iTBU2RXr7aR z#rbV|Us_c#R$Zz$%K13wfI0cSLkW2zj}>%5p15T9wZcpYu%u=-xDK8o(wZ1GP>u^v z!t2Gkt+jvHqx-$`X16VvN=F&%4HlIODgrj2ozrEW_U$yVi{ZsDR|3u^)Zr(2J38Ie zh^a-{K;(*;s;am23d+Y!Ot7BbUE{U!4y3Cd!FKSS2_6C;kaszF>(>H*!abOSSQr;> zY&>l-l0pcpVI?Eq*8bVa>0hj^nC=Z`KEiKI6gNSvf`pHcLdie@QtQ23Ze5OfvRw`N zDVgayfW*fdQ)BFm{6CuB@!WIKL;k@aB$k3hTP9x!ZKK__w2J}`k{iFXH#>ZKtoQoZ z?VOYkh6RWeG`zqgvyv-SDxDh{C_o`K6KbwlV{( zh-?pqxC`P+?Zb~;_w<)yQTJqLht+$1bZOLkxk=D_*&mXLj1U=r;>q9rJ0JVA(|4Zy zuGzWsGt$4T>DuB@R=7CqE-jvz71oDcRXjc`Y!ADpxIQcF54)~7m=z9(U0+<66_$tH zqBxutR)<|#JRvJ=4!gQ|+`Z@b*gg5Dq3~%qw^e+0cJciDq(%5scJ8F&^RwgiFWpf5 z?ChfXc}a`i8xjQV4i`T^yJUXRs5`#-cXXS-yW(yte)HH<;L}KMS^V7eDE!$Q8ReP9 zZyb9R#HhQc6rY~t;T&o*TDMtH8}U&;`erX;An09CYyWt ztoXvtw6pnYntM+1XFKmTzq{=$kNrd_y=PYZ;dH%pf7Pt`gXwyH0p{L1EB=-iH&M@z zOWg~LznkvPA2)H|JS+Zqx}M(@xVO!US1MxY=Hdsa+#6=at1`fuFGsm&7k`>VCVtb& zy`cE>46NqkOYV)c;??}y>9-zk$cOmc*U*@=4VTN45cN4e^Bx1of9?0 zFdkg|M1IaZrhw{Qv*NF(Iui4FNb#wu8e%06Ek3!kn4gu^P{sXu*Yn$%$3M6++66~8sP8-D5S-aac{zU#dC8B(opvfZ0ME!L?VF9Tu(U{>5h|ClvI1_pJCUWw=r; z;*A;1?%{fV^;j{iM7&{k1c+23d|%u9#H($4!qcVD$Dq1zD*j~WIkqKX!%K=^+j++PWDRL^yNZV; zO{m))#c!k&5M{h(R{ZMDQ|3FVpF6R*cT!CodDE=;&9o1J@b$Cem(xO8{VQh0uhXJV zPh#ra3B@pKzW1hBN6!&%Wd5pqwrxXv3 z4QOp=6c37dg21`O{bQb%d5_|fn5P52sJKte6HcC1Jowc42|R(D7b~%jz;b?ZzY|aX z)EC&8eIvBc>?nn)mSl>3dUpQYi3xerk(+M0?Rlst1?XY-oEN)u%Srk|QCr?`ey^lD zSdiOCZ@&Ew9{fHvW?rR?%wtz z^|hO<7BiLf;9;_w!d_Bf9y=bB1$9YE^x9-ULOfDUu9&Q& z11A-8-)Kyc-zAC5M~~`6Z75w`Y>ete7c0rWT^-kX6uNium`RWk`nIsu|v=kB_PmW7bIpGnhDh^Ke8<@jA ze$=n=P?lHypP1SU8 zq<-&24e?!#S(PSEWT6Mo~)xH-SorR zTwY9Iyz7hSr@g#6@2)A{n~x{vtb0cBU1?2#(s{)jCTf5Umlm(38g`55Ji_csvb%53 zRv<0|GE3eyF&fDnvK7f1AJveaL2CVr$6bJ0mlv;}dB04$fZknOyeEf`Q~^FuEnYO) zt#;2TK9T{*+bZt4#kWo^oUbj z-O}A&d|+Y?BwxtVerb-BA_Xwhx$bZVOP0l7vGWh;i|^n zP~0)K?8-gAc*pbscJ9vNgE^{-^TZu2-Zu$_nhfdpPe1|oUsJqwq6Q4|qTQ(FwtuXxBtr~mQBMA z#oH#AFu11_-#!iOg?m=36JDi?Uf+z468s&fd;XYi@h?k*7ZV;vILp+ucr`pR{@7k(-X(e22UK~ zA1XxR%4-j~wNvINthRfuJ>VXUREN}q&~W|wg}VX`H?~SNy#A#5&QbdaF3?mYnbNVRE-3xSE+i9r+FY!Jk0~p5Ys#s4gEBSXqq+ZT+%da z()Vgq`)RI1ANW3I7>4KjMk-pzd@Ixr3AEdw>UEy){z&nB_oE@#SNHF~zHtvZbAGBM zw^z};H~B;R_PaXf*HAy0JnEa6Uq}7Yzyj zHYM5fXuRD$AKJq?$>;Mh>b-kF>Y?Y%&jiVCY+bXuwr6u2dpRj9yJmBbJDq^AwHLI# zx!3JDZ+_O+-UFNaue9Ax9+jfw88p=vFZ2{j?c>yGv=Z6}jplaJzOP!{a3|2R=~uyg zCV}&+{hI<&_eg@$RoCv><4&Yi>|ecdtsFK>=gytG{hOD`nd%vyMdL`}8nWl2%{2$8 z&#L^O@B*~q9Je{gx7oam+iYHj!n1vw&C9q=LF>6@KbD4_UKDZw;bYF4pN?H`@4Ieu z|NgCwO}C47D*HHMJFvBR`POBZFWiM$N$D=~C2OJ0#aT(|?&$|63c}CA-7769-HP_{1q=6} ztfX`g&PpoxkgTM34^0QI+`ZF++TABDXxt@fLF?|D7If}@X+iJqpB4=60cpX~JrFpv z<$jTI=aBmjAk!Kl)5l0=?1G$ezl5r_E%)=YIZ2T#*Xxq-6h(*J_oMYXwf@oB>BJ_5 zXD{48nGst19I*TcO&$#WhtPd}(|w44x7^S1Fk5@ww{gK0?!#QL=L+}TGjLYr+wNh+ z1w(Fo&Ar`M3m10}muksT^}DcQNq!F}dGWu%lN@s2$G_LRAD9ujZMbj2oEx;4A4d7c zmirtR5CA?QiOPL)2L8N7LVh8v8p+Zvz?nI@aLbS`-6KM}a*qt@+I>SvH||j(-MVko zAuAT>oUB=e;AG=!O}4JlWanB<_O8?9;Cf9i-N4B;toqTKEZt*xW+X+hO1fnILedqh zG?K2}o{(HBB~dU6ZZb&}8Q}HQBq}njG9^nq0ceiQB6HbUQgi zB4X>Rh1(Z1rMpTpYg}`6%#?0Fo%jZy_~-fD5LLHuM>ScxXRt&?a^Y?d>C)X1(v`b2 zq-*!=kZ#;_Lb`R&)gdeQOikAAMol*ECQY{PW=(eP7ESiS(;qB+c>#~RXJ21d&MkdO83f`sobk#rgpE6nZ~^)W?J`Jfy^4#{sK+b?uD9c+>12Xx)*D* zb1ym7CwuqOnWhK#vXEZ7mxCp2?1NaRlX;!VmG1REx5jmEFuBsbk;(6n`(7IBB(K`I zYtERT;?qRTZ-oj^=*Ywz}|`%bXKEzIy;NHJRdETr{5w}WOP=zJ??B+z^iGm<9b zPV&mliNs#6b|1x@&`ma1`Ty=(f+nZd+;uc>qRY60=g!YeN@(IYVb@-}affKvK225M zJi`c9({a~h1Y1JQ-pM0~?&BVZ5hP7jZ_yEg9^@YHM+ha!b9LbkOQLj7ltksWB~iO45l|0qt{vQ5xF>6}bWhP_%K{ooqL)ld-rr&3?$c&5b>OR&CxrK9C+TXN8Ab4zS`QiR@ZKa)lqlS0N3o$# zmnN@tCyP zNO`>9NO`>fNO{b3tA%@DT2PKu#l=WfEJvzh<=Z%euw&FI}EJi~tl@}q!||Bryk>^A}O{|a~M zMEPVNiXi_hf&7vugPDHS!M!4NynRam9P&-7IYdd&vxZPhG zg?^pXlTRi2k~qE1SH$pbz9zmO=Yb1|=J{JQ4-9Lb=Pyh0$p52E94#*F%z%Wd1nMs=g(z`j@;qbuevTPQ0TeiH}{yqx%nh zKwIwRJG?o=h6BX;CsQ+~J8$knZfoy#+#C9mv1NK`BROsJ3Z60M{}pr*_No1APND4I z@G7o$f6BjyNVH<<>Z;gS06+`IXp(ABK!@m_tV*J)3h&LJ%#Q1GV{UP{y z_ZF|_KeP5HsQYKE(<$oyDtGC~(4+OU?@Lhk)j(az6YBmt_tE3|pWHT%{_lB=E%%BY zP(#HC6Uzo1$QWJ=V|bOu@T!dARU5;rao-ryt+$X?Va_~8leM>OR$WvsO)XtH#Nv0ZPiJu#$9w;j@zds0Z(?#UtDxTl14 z%Nn4!)}R4;YYiHpx7MHmdTR|DptshZuE{={kb`@MCYRpyTf?fw^n-=vZsM7dT)3M< zx^%aMbmeXh>DoOjq#JizNVo2G9kOzFXtH*9YO-<9)@19Rqsh*jrfXPrFd+x`d`&Lh z3vdK#q`fd^O7|kktZ~hYW2SU30U=)P{+tQ&koz1AN;TA8Iy+~6Ms!Fsim+j-=Gx1o z0cW(qt3c}Fr{?@`OFoFD-vS$Fk2Pn14|dm&Eq&4=ZekEt2Xy5VZaI{i;*}z`@*@^nP<%B z``91O*;DCo#24<)f**WC`<_EmDR{-X>F+{hTy?EBIM<~X<{QC}y@~lI$h6ePeDmyr z`MF7vo(KC2)Y5zl4$Nn?!E1Q5#NPa79?ceME3-9B*J$Jyu3G&-g@euqfc2sa^sC`s-7B5RZ8sM*uUvc zqyz^uleV&pByh=XC{ekSB~iPRB+;;?ONrKO9KQH000080AP%UPPopSyGlm@06<3o01E&B07Fn!Ibvyab1ryp z00=<$zgTQ|Rxvn5LLeX@AZB4OFd!fxAT%*%Fm@+N1Qo<3@{-KY&oe4p{Sff%UaXSJ zr|=YFu}oa!gSLyfQaQ5olHvnPF;|E>LMYIb!|BLhs9UX9V_e4&O>4{B(-1KCh)NN=nJvrFlxWVlJ<km8n54+|QqB2%(c1j)TG+*0eiZbi;FiLeYhh}sl6pu}7j5!-mn@$5$Thok47A4gjitp~u zlb0Wa3FpGIzAbX!9=LExo*m-F$~Wj6{1bptLdCiJH^)sl*YN2F(hUOKu5~VOh+l{5 z3L?Ph>7oY8HfB`S1QaNGSR-*qj_K=ipFRvYW**(ZmPsgMK{^t;uN5nztIG&QYr}kn zc+97=Y>e$oNDb9xZ=9;Kw&wEdPZI2R9KO92<~=+oLwuG|Ae=kxP&pr6?3B@b2T)j7 zX9v&yT^St}c z+D_d~Ey~R>ekKP_p3#kzXkekDlQQEd8C!Cp@XGu^=QbRd{VyV~g^cqbVo&dPkaOvv z753&B%d9Tb&`!tJ8L#TPiDRC#QMPo#tw`WVv**Xs@!;DF-ge5mkUx4lm#vzxKI)Ua zvnnM-oDmTZimsW}A1|>yRK}!cK|T1ZWqK^S?*h4%X@&(N+5(gOcW;Z_QvGpiY>wy$ z!gNgoNEjv|3SK)zFaVV>0&v98%RnT52Krbpd`HB@2G!?}Yzzttq5CGXz#cZynZcTO zUwVG_B}B#>xD2spLpk~Mv)KI74D2q>@P`usIM?=sNBi@Hl~TOnjS8D<)*G{|nFGZv z$@N_Hc{st;jQgfm@xVp;DWob+@xC=DTh<0_%ktHN&K6lOwKB}}CQTUr4@wn|c)*_@ z?1*6IC4Fl1c0!rikk1qBVsnFvjA@cxY=C^~ZlBGOF)JWl@wT|nG{EaV?GxV1c+gp&(K3&KycUM)#`xQDBv{}<_7$~|62 z;NI^gXTA&$Va6LEISb8*a8>EqOS=8hb`Rw|v8~Bo_*jSoD?)4v#78H(+)se_ zn!lj+xZkU{HLejp{{5k#tN+OdOC6Z0rmNsHBTXMG ztmTY?wia3Djs+Iigupfe@Cg#7qeaAy^&E`PJ-JosfrC=$sFoy+i$X0gPYa)#4qhY; z?zKCVKk|X`&CP;G7MwDRam4l62EqWk4%mG&!%^-|Jh(fiXwT?jw!vtbJ+)8K`bDJIJoYJf2;o= zx4BzMNMq+Y(rh*~d3bp*6oV~BXD1(?$qSz%bLAD@mvCAIb?bS^-f{S;7Zwc5zpv{; zbpdQO&Vf-b=qe9|LPd+RkSGX5KOLTZFti4^_3^RSxS8vP!z z>aVZ$mMR^aFiasmZQ)BSh%N7JH$84Is>pR2x#tnXUMCvT8YshYpOm)?whG=PEzQpf zq01kEWFO_~MPx7HX-7#nGfKNynpIgheFgyZg;otTi@J_LKCz!QOEGiw2F}|PN%R{j zD4De)M4h}cBZ=Ot2|SqBMyT39JyNbWV*NcVG=PphTcj2uyADJT?19 z^0+a1G8ch6XueM^WLRS*@0B?}fy-6tP(u}V{n(b3#_07bZ+NqvJ2Y4& zDq(yc_1jM`oSr}Z=&5Z=dpQOZ8j_cBFJtMiw?ZLw$*n*C*0th*eq+|%mNKGpx(L>Z zAZG+wfbw3uTfwxhJJK9U>$qI5#tY4)9dR@o?r8_FB4Z(wagkUj@xu!K*qx-dfVOHW zZOQS~unjtmbe=ez;F#%SvmSDT&wbKQv?4zNA5bopP&6Gmwu@GUQr6-M zC&)9;M2L^8%uVoG00R7C6)R4U2V&JH#j*efNUgw^OFc#1<)wp6UNn0Ho02zn*S7_c zywsHC?Aq80w}xxaQ&vA**>n#6#7(ciP>2=OE;BBHv!fH-IVD(!$D1%@D1+0TM;&3W zd=vjifT^!;tOcWRl~nviZus`i5xLQ0gC<7%wtHgjKZ-G%&}c|76(ZKqT*w#-ozTOn z>%3&xdXPg zE0_LIY<4v$RsbM)S06yVyPP@g#%-JDQ7oM)d8&@Nmq|1uj#JFv{xMJso=S4pub(G_ zB(jrPZuYxdP5im!!iMKWANTWi;e zlZgEplq~RN6?4V7?V$bcwM?56X{WhIb_d(!HTjCKI~OP%Wig9OjY*DYfPjm32lPNV z!P_xfS@54^-O%Q3(y9n)yN@^6xW}Y1Ab#y{x9QOI5~Bnu8G$F0J=5>xrKF(gYPw(7 zlr}vPLB^++IyY)&0#@-bqDzgn10y++dKg12>J|xcFlQXhiDw)W=X&QC#5C(WJC;Qd_5v|xaX4_aV$|^Ek8tDV+#wIK@nz8LzB1+ADX^H<*3M8tuz z*R0{ii`hkc=&zY~&C8hX0)==e!(C zFTm|xBnQ8{`0KU=GHnW`dy6~VNA!f5e%B8y?fh(pq?&$E-lX5{yWh*RtUdd2mA87U zjZoqltZbFp5($jv9Qa{nn%7AVKR}gjQ1{mRN`@@m;xd}(Vv>MPogTIf2LPPqOj5BTs%T#6|`FCVzS#`2#}GV|8Dx5&&^|9kHw zMk2DVJMFcX4TFZ@IPRklNw6*RL?(90wL*^wKsJ;BGX|u(#Uy?hcjF^j9DE<9HZVqN z)GofrK|S1&<6=PPll9}u*zJw;vtVCvA_TiYn~<~dYzXkEdOZ*As`plvbzKm!>~6Tm zW)w|qIe9^2Fhzpne*M;*TaB@3JANVvmT8B$yK!Oh)A~>ofIP7HYdr%r3@dG(eSlUO zWQMzZe>vExb|2(J;rnINS2tWaKb>1V?N41JWERVXP|C>!Kb!aOpeB~2ILCr5g3hqx zpj%ja0j~fV=G3ZCUb)fuzdR1^jjIBwG$Cms?GIc+o*Y&z<@jQXB+HHRlx_?gcq6=g z+o3T1u?A2~w(A}!O^H?I7&%pSiV)F%wwcy@Q!qp{-Wt@s$$-n70Y>@HCSyy;iaAn! z#<{uLB+~4T9F<2=Og9#BpY8saa$@mCO*L~pq{kc9@DBY9;M?EzQ5t#8=t^f=Up{wh-}@xmRFL|^9!!}h)!xvJr$pnC`(6!a5}sco zP%$QA@?GncS%pW9zUQ*d95*Iyj8CyD(ahBa#+(n9ZzdCH9dCn->v}r7R}x;#8$nxuKTpUf;6dWz4xV41?DfN%k0^JlfCoj*m;; z1bVY_a}hpwnatr4QrBRORq_j@>3lA} zb<2A*CZ0bXk{D(4E&7LsSb#$Hu~k7FWD*LtW1K8Sg?!y4nb_w^w^24Tg=pe^obE`p zE0FO*{X}+GL|Hx$+e4`EFc6<-i_M5Zw+@e>;+y_joombi*|&NcnUMzqZ_orh$~w8R zOFlekF3zv##;lUWimmlUv{*Xv&m^Q#|I2juB`iy75OEa`qEqYqo>qPq^JHHx?ky%J zvq!Hv#bDOoE0vV;Zq>O5hVceSr6xa%w^P~gZy)mPLy z6zc$k3O>~~uct&5^3^$99oE&`UKZdD^SlfM41&;jv5b3J2R{A5Jm?rx{)h+0fDoq- zP*@+Jeb9fY^}%zH>Y%4M>`(Rfrn_v#Cge?nSVR6IfctctQF%#?xzKOcTvt=C`?M}) zwAA_o-e6b2o*nB6O`_E)P^>|x`6zc1$b)X1LvTYaNDcU^o$%E2G`F~E0@ z>)o=Atn9#y@P`?kK67psD=)q$SpOPQbv|010F!B>O`Kd-444L6xx<;w`Vq|DXyB3Ie_WY#o@o8EFSj{=&LW*RkA=stNjjh=W%9!eLnI5A|)8RTIu-4sv$3MvU zUSDvh$1;!nfHfkQP{sbuekjUX&S2eG9$*Y z1feN~a&FUV9Jt7SFo5b0wJw=@=AYBV6<)7N8{GleLgV3$bYEb<*d+}Mhav#)u(1Hi zHNL)2&)lYCzidj)b2$mH^E}@x&*iNJb~G1Y`7TL$;VbE5D{$1I3IbdGlb1%ig3Kpdeb+^nrazhHsX8}0H~b{1x1zF zYH|nokjJE4f5)r7YCh;1nxdytV7m)9$tDFNOYjifma#ONO%cKMgX0IColj^X2ehC$ z^Fo(qUF{%shoto^uK{@m)Nr%$@j$C^AGp=#*ZKdaAFegs=WbVl6)!r>x7z#ybej~w{w3*+cad8YaOie%q(4}4LhVDY|4AcDX!GiCf_uBm@SPSBy zd{j8q{Br9C+OUp*y@xV34(L>T`Ob#XC6R1s8RG9MI8cs0a|BSz@KZ;n*HcYaQ9u3< zGGujbTyZ?GBhuSMPg#01?Z`Y4hOd`?yW{)o0#In__F?I;)D+8W>uN?mDX}Rvd0euz z72N!3<8bN1y=q$xIr5#sKK$8 z4{JK`rjgLyjNHT3GxwM7NFOEc$Q|w#LlV4&4&8%QxTgCq6*{llUBi~+tYmgMK!_iJ zX4-$?pRk(rqwC-3yg!>oWmf&j0F8&lnTX8%DZW)0YoO`4EkaNg5Od{G$S_GSk zlKmwm0sCxFKDttyjTQ;JzyuZJwEx&3)z(5nGGpV!Y(2!N<&1fS{hbNXiR&-$4my#e%6(hzwNh1g2 zxg^!PX$@{?H>bkjk|PpjKDmE#3n1L8RZO%=fa1#7e%^ZYp6YA`!5YCcwGc;;52bb) z#ZM+vx!~#JDeT8GGZ(oQAhxI0c6y6^I)+CZ1wba|ae`=3nCaww0MeACz=2&@4h9as zFdX9q6=FB23@A7RhTsr;jAiB*?@e0Pk}T+duOdqNiePJA-UA%eKj2~-cL=zw&=^Fe zmOdCRnalE73w^z)8s>@{a^bh_kYMqxD#iDkff4c*;J6$eZ6X&{%yfgy?Qk~Ne01#| zj8Yrp=UQ<-p(lr!1UDa^qxEE++3)TX=ps?$EwiWy?f*A51yjLvp`0{KZ#5JG!N+lR z5EvTUG=dBE)1C~bSXjnmc+^OF1YLu-j$Ya@bDPW;D$oxat1bSa^C+RgPQHj`)xFSE zT7iz!R6W=Nzzs)lTT{2w(xd8q+hi`Y?pY`P9{~}1nT7K#MdHj(JV-m3vfyI`YbP&b zRv`M|m&jMoPzN~W&uadiwAV*Yea$nm>XZJb$ka3}74Akn`yZt3)`&%y2i zro&f8mhn**T{e1EdRhcPFBM%mW#>NB@Mk$dn9TfQ%C~TJkyxyhzkGH50^i7MC!95< z=x?zL$P`A2xfSl#2b>%l8M>{ZR4qxP>$h<1ouw4JdGyMz+NtU_r)_GZpPo77=_`Ub=+rkMd4S8sl>Ap3^c_V>&J>mta3pO-`BR>;oD zl4KcfuG})YScIcYw#Mm|o%cwS#11^E6W{ z*EPj!tinFNn?Iv9P{^xbnLe2GaRDpK%qnO1@)^6G-4H$kpH==Jm3P*HSbAqI$Y6P# z;1Ggtrw|{>(4lNVVVH`Wt!noaS(r#$=#8dVa3WbP=<->i1?iSRx7ikOXWJlfed(VX ztr6ArRP(PJCxHcp_Vx&*#UYhh-&1}R7zpQacc88H&+t>owGeTy%biGtO|nLFp7>Vf zP)*~omVEa|)-U`^sYX?bbF-k$DLTi!&IwHHarCuY0I!1+eh9@hWnsiE1_=1|b9ES_ zDq7r8yHo#QNBw3472TE93{-%k7p@9YYKPrSd8nd4YpI!@Z_BBca;_-{ZwgWDemBdO z=Vt)aUW8&5`cX{u7Wy!cCRuR$Uy;%F`u$$U*6u^xf{em$%iti{rqD@lx!C4&bOwET zFjb49_$42H$~?>Y$9`EBVT=3Zq7n)09MgvR0_})*OhW!G6#yYN?(s189kD1Z@Ik@-x_Fi=hZXFT~m#)g+o~%GbsYqTf!ip zGBnRAer1Frdo>lUFO_REs#Pbx6Bl6A{H7azz(U%snAHO?_sx@BzAm#~4VKVJ@{@uq zdr5>_B$W2OJ-4FmhVe0=KiRiaH@bkuIOoUQRXkUo#x(e5^n8XDfZrR>t}|XkT;jrq z;Yp*_1~vPztQ;iVdj~RRHnuU&&}fF{y`i)zZSEI8V+bZiM>uyWgzV|*X&7C~j9c`2|CY zbc8`4B$PYR?Ok&6-@&_UuIuU%B*7N$=;^S?OR? z38?0y!{L=PK=}g`uxi$bqm+RqOjIqUNcCV}NZ>@^zNN-juJUUDc9}HZAwiknIGvC2 z2UW8L3dt8X!lz-95CFoWYsc9gfV+PgwH) zxMRy=DY4_M@&Vf-hjAoK8-pahK%lK^hgs^K(h#2u;%|+cZ7=1`OaaFqA;b|8x25nl zwm-!>j41jPaC79vmB`(yfg%W6ze0PkZdIEucVj?z(QuiqEP6Q*VQwDOd^gXieRWxw zuN}SfMf@W7$m9K*!>^V2Xt~X?$>LJ-mTX0t87`cO4^|?gJ9GI+iVOoDeM;??J^RB( zm6C7Gtv%F?O!d`&WDFs-4^Z2w#U1(ySipc8UzY3*Za@I&AWc=rBle$fGfsLN8_peH zc(izz$KZQ5Fg6YtOE@6@&|@i=8t^zjrKo7+M=t1t+R9JUDDR|1bRy}Tg@lYFdfIF7 z6<5C$MhG@SFl{Li>~}MO(cZA-8qB*_eS+yLQ&Nn)U3FtkEa5YneQ?b#e1IQjw~lT) z9?EMu-3$^_qo6PUzSgsbaa`9)(B)dmN}B!jI#gl=7pF53jN-7BtgS9&>QgLjF_ikc zFw~w5{v4pmk>~W3?5XpjNc`LN|EoLNKIIR9Xbf>~{{B9#u#kDu%ym`e{xq&F*@P2KtL8N2Q_W1 zq>28NkazAt65tpCCUwFqduyvki~S2u(F->isas(>A6GwCScFk^(==Eru5Lk#jeyoe z7K$8HP*QVLpj@)sLxT|rU`nfZ2)9P3yG2vG{yotoFn%pt;-Xei(#e>*y#|!^v+jcR zWGuM%a#lH2+$aqN9gZ5K1FxOTq$|09SVN;VbFlkDV>m0v$PgZ-h7BttKRcQb^Yucp z3cJ_!cpC+;AA&KvHR{Rxn!PK%r{_0;W-lMb<%z1?+v!TcWe%vL(Yt*Jju)&g>8Q+> z$0ORwYJdpCyIE3(L@(y&4D`-Y4iWR=kwB5Ze!g7j28#BBYE$MBYLcUE&IlU;RR)oe zxRiEY^H9$Dt)a&Q(W>VWGidg7%)(1^h~Ex-YfrmqQx-irH_bnq;mzC%&v;VvY(J4A zRZ}{NA#r-q2RIQQ%bb~g0g2-namHy$(8L%78a99QGT+zRA!Gj)S9w;}22RASK?5z$ zp{7=aE(@r7=-;&fU)^&R&PeBLKv3^6x@Rj(CtT7`4|;LdV|qkOAG!J|Uef8nVEskl|oLZZz+j!E&8>zC1a zCW<2f%2iO&4YNv4U%Hs7E2AwkRCZ3f<8>0tMNXQ$;jA^c*!{OE+e1_qYfQFk*3W0M z%=bYDR{ia39`|jw_9H#FN5vWFhin?+eSpCh`1OVEDf3c1_?jZ%+$zLl4t3I}J#m8h zBDGJ=nGVA+(Ft7Zr)>rTUmEcCcXL%e{jm{i_B6=)e(B34-xyYd8N?OL3X+Oi*@hVEIyn5K;i@a%WALgR<3 znQ=3@Msd;6^sku+Un=n>Y|3`jbCs#alx#y=^Bx~e_k~x9rzY@;to$|nd*s*hMEjJ# znV^8VhH0rUZ)bde!%I0<5wITHbF?kxeEcAl^vxj}(Q3WR>z9ypzh!o6Nfeyf;A%deQn0yni~*&g4ux>U z>PR0GR4x3+t`0XTuh}UqwV0u5glfLhuWbiJF4$XP6kjNMh$R(Iou>F=3> zCvJeG9|WKW+U+v@00z(0d2BeX_7SfR2|0=K$go(3sX6aNu)+CEMOUuOL+sC3JCoW+ z|ApED%!ya!t*4pHYfx-DfTx+p%cO4?fITc=r8m@@d`#eZw)lO7;id;m-47ZmqWVx1 z7u7C9(UPbF5~&4YDD61cnWCWEL!aA{O-J;-*)iQac_-cu=3bPQFes`D%{YsormT?52*4|3tAva#haOp$O;U$orO*~v_}-*CFQ!#RIFA8wl4W$ z#XsjdJ*Gqij|pQ4a>^AyHB!ygw5VksxHg72n`!+onIHSCFKG|PdvG}iFRam5P3H3e ztvX08q}5)odIpX0y%s82Ahk19G4QjBbqsMQbY+3l{q%o5m6-^)p{MP!xb3l*)I6%C z5Ur&QkV+wM_w0ASJ~)XvzcIM)$0jCz;aJb#?>Iz}XR{{aN+Uv)gIKT*kqd`&rIAg2 zeCjxf!gKsIB^KjSxAvovY%?R{M|Lw#mDb-=mbx6qBy{en!*FL4aQg06^L4` z!VR_kP{R78QTomY;`8TIu9G#@@Q8kkq&ASuW^6(j8ihr}>e@ zI?|!8Z&;yYaSZ-sLm7yrN{)5Ta@u~y35|sTN~QvxxdF}1W>=Q{)1`bav+Ax3Sabi8 zDC3YRV@u^jMhbgQzk_LyKtLUC6^}Tnf|aLE;T>)_gm6RQLKa6H#Xe&e@U{a{e_C<@ zXa+SD<-1VdB~{4gTY4fu=fjk8YYXxh1E(tX;g(He0qgLaaMxv>bx<8kx3@P=aCf)h zvT+aY?!g^`ySux)v$0^oA-KD{2Pe1&CtS{VzI)Gk^Y+wK&s43ad#zQ|>yNIk-*loc zuz0;(`xtZX#MV0(|44RX2flAf+a2to7~&DFW5&|hyJAVbZ=AHV(bxUdD}yXvkXK^e zFDWm+D#>I{UI;gbPf&SA&enyiCo1uC#!#+LZ_O}MADBWTUZVHFR_^{XKq*pz$bOmT z(c6r-1%;P@KFG*Fg3_*AbnZ4o5L1B$ei3+=HL>`TV}%UKvH!FnRCIkBi1>{sdCZA| z0amhiL%u-u*bgs>Si3|Dh9}GS0CD#SE%4VN=M1_~s={mhM%(W$r8$PJ>W!Ol02t;0lxD`@D?nXghf~{}zr`LuT`4 zACMz*zFDxw__i$FTk9aWBupS$qiTYi3UH`3o1LdGRAYQ=l5T~$f|pDKkHO@(Msctg zz5BeCKX#c_s1n86Tt!y9r@2Jef%W{2|1B_P6qU--y`M{~s%)xh;936##ZqAQBG4P8 z9^R);M;5`}QHEH=oM&J+x*vN2_Ww2?>JnfmUd#_0%q3Nz)YEql(b)dm@SKgEWuW?nm~T zi@tMzMqpIni48Xk#!IBlke<(%@lV|)OB;q}fG;c^E@Op*4!}=91i@o{dY|C4LKt(=fwfT8fN0LuJjuQeL z>toVV+d7P0!eRi~st+UP6{sI3F202v39C|>1fa8OD0m(Sbw8~pb0~_mAytOa&LSgS zWXEoiR3jq$a2oaGE)gwPR;$oTlE0aPOOsIa2^%SK)enp#On6O<$~*GG(_Oee;Ji4k zL^$0{cE(o`vZ38Ac+vyx~U8YK8TAfS57@{Z|`h@ava+&%v1lpJr51E@19g9Ao&OMok zOF*4vG?eFk>!j|AawBdYBaN#$w_0~Oj1K-Kzpn=M;3xH2beCVs5u~1Jw$ls<*n$&l!Aj6SM`ot3OM38M*00$(>YK5TmL4O_6UgG7&ZhJ*KIdIV zwnzgP*CYvyUaz?jXj`|B^EG@8l|H7U^~EvovyrTENs){hvuOe#;sPDA6KIWJ5G&r{kbR@Hc#ZT!$?`Dve`NH*wI8!qr%)ElAZ@lc6Q#$ zW!+%KEinIBHel}B;1J@i@de9B-ys`S>^Jgli~hjyBJ(u|KI5LHK7{&>0nyIq);{6%8MLV1AYq!-v`L0J!EC&-l6tdt*gKM^zq6SERb!F5vniOE+- z77c}3oO5fdl&igP&eLn0jT5ck!lcN@mrzgW}rTS3oEKT=p9sJ}>e_-BfooYb-u0MU|4=?(qDKbUt7EUJp zE{PF`S`Qu^Sw4(X`F@U+g8*7Va6$&wXw1Y?(VRm+I4xD>44WBB@ULN6>R7||So==`YHcwIq`!dbJjw;2{EHB zr-kSx-)J)dYoYCXMmOnSB?c1nv};jG0FmN_NjrGLd58 z)Z^v24h;A;#vx4R$Z#OU-_%rzK7P?QNsvC2t~|qrKu8fWbQ(^NA8x_{Xq!0~w2+9~ zyw@0&rriB#zT#XV9f5rZS0_j3vd0!=LEkCe+s$i-*W9Q%mz6;2G*wfGCHn)Ps&5g5 zLy5< zUJrTb(w(C}54LXs1bfYa1Uv zrt3|zvGlX2sb&sq=IM{8*Z@GN9Ap>u!$qu}!Anua0L;!hJ!l!acWe`*V z^s?1i5Oc1(K?X2u3i!-F~#lL{L3$2oHF8waAN-(t9_8v?WV=%Sv$IH<}m}*W! z*dgqM6@|2QwE5+wYg&t5o}t_I0=z0Gi}Rt*Se2#l(8h?EWWCiMsP6m;gg-4Gq*dc^y=a55@&)lI&_+JefK^fkn()`~ ztk;H{BtG81!C5G`(G*s*)g`>NG! za89zu2A}%Vl@P{+f}h0nVrY%-k2%Am3wEOnvu-pV+b^r)sJhij9}b1Rlnw`Uw$Q+c zcyk?d0!$hen$`E(^y7uTwQszztg4=$2> z*c)zb_1km?7BK@POU5Wi7mGmrE_ym9Pf@C6B=s=Jyoy`R^2y*i&~vKjpm2;gg!;;Yj{@@#YWNJ zQz~m!dvgdgrb%vCI5|he!1US}s?1d*bbu=?9FNkp2u}tnFu@3W^ACPlx zFN&#VR8@_YVyg{HRV;fD7H6j4_fm7`$R^ul83o;pn-n63r^`KciJL{MI7U5QxG5U< z(#7>cdnt(iG}!r^k=d76l3u?Ls{1jl@5P3p2_&a7C}@_hPif!u3TA?fy~`ydk;29q z9GoMCKlhf6z(b;*>vA4RbmgvY-U>)Fd@^5Z__nZ z6~~XA6wZF7<2?7)L9v_T>5(i0-eNp?d~FvQDg}Y(GHzJ-sD+Q?OK0W|FHHC>n(B)O zkS%SSms2={Ha5F-cf2Jofp07-9B;H9)0~r^9yh9`4)x`vbDn?CzGjR_XiLPmv<4>C_B4dsDo0<^Q2u$;^>2L;T8fFy) zPo~`h@vjQV`K|_ihSdwKoi--RPH{zs>lTktSZr7zzQeXTt?Q8DGjfiCgs&OMAPhxU6Ui84%CMrxQ=?Wgvd}}VUkG$jUj4+rq%lb* zb*Wv6zK0DbugYM_h82(@sMm-N=F1u?#sw&OU!?#`y2R+7n|0Nt(YH~uBe`1csT`Or z?c7t#0}G_4^9XC**{9~LQihM&HjbUurWNvN zKaQCa!omdI2m})=gdwCSTb8HT4HdR9frI?e}x52*g0Hx~TFQm=`%f^JLO`el#T!sKJ;*&6E6+QzrXrXgxHSI&Yi#qw^ zy)v^Xp!z(3-My`aX+8%p`hNLswiFb4l^LfwEW+?G3u9615w(PAbTieQzGV`ZdREI{ z(efRl;};hcT@YM<{$3MI+TBA+A3V?3*3_bkGkxvHw9v(9$ z0d@I;j$(U1S6F5GLL7G(t}NynizrR>Hawrg!{^;|W#Jpo;)Z-5{Q5%9?YjZ&x9?-b zb~JdOWrcQn!F>RH;>$N9khGoY-Br1Gpi8)XZ-#(WZf?)xE;IFYXP^46hJ~RDq2Pop{<@*3v;OT%nBv76uS% z+}}X{8ore_A63M2fClbOx{eB49K%7^?olhFI3&ICJ&c?b>Zz$j$&3midvDdVSP|1^ z;|6puUEsNGI1!or#lR4YpmQ3F6Rq{0XR|?dKoqXQT{VrT&m=4wQ=dMH1Z9$0UYeS5 zRdF-lVKmL;IB*LjFQyU=VSql-e$5Jvf@O->j8jJ7)P`Vs;S1Az_Ng%BzZDO5 zC2+gZu43c!K7ZE5QS6jnA&*Stxqx(V$fZUZ8`+^Cs`{~%59xrH#XioMn@k9-iyioG zhEr;4vUa8!_bM8W;&5SdiG2(T&g%_kUO>}^*u2x{1)avD*m12we@-8LnwQ4Yv?u)a z3n)kFNb`g*la}*r&n8Xa96w_oCw779kf{Ey62+AEys!33#8t;*v#_WFw!1Q47Wy5h zoMGHONeSU&<;CHZ7Q8k}w_Z%m@`&c)U{)=DWvNAsqL=T?vCUu5eU2sXM0F0ef%RFM zVHs~qMkk-Te$6pezs?<@tF*5+GX7zWkvx~7w^ME~ z{857sMn^U_Vvc&`(^43^S&=S%)>BEfMBbEGmaMq~)e`-)i*CLD5vp%GQYg16cPyAu zgBP*g4ok$F4GK(#15Ko9F86E_Dn3?xtJy+e;?wdbXaWU9nAaZ3EY)Kx z6XHvi>-~8UuxubwX^e@-JDtNbI>jDNBlul|yA5))6 zvo+AmI?QJXrNOA~O|>rkMb4aNB8+g&_=wqRkS-K45xaAzda1U3^&ui7i=+G0)_j?5 zBdXnBhr8DIc#}!AroH8gb8k8aJ({l;{Ty3(>GNoqlVWMO42t^QGS_8XFQ#C`bp+_) z_sJ_m3GJOAXLJzg}&2qu>peRBi!c;`&pFvD;p3D#tWh5Epr8ip>V6{FmEY?jlEzt zpdgv)D{Vbl>`x8VzapTWdNpaslf~#A+zJaG?b|SzgQy6Go0X`P72Xm>RHKB`)GP!)Mbk{1!BYz9^ z>I-0-npfcXw!;c>SQ`6Nu0+P~3J_~C&BCC4M%<+H)6}){Cm}`pUIfKd7IpV1RiQ%y zasPZw3949a0`7B|_;9Nb+(*p@JfERnZ#>m;d2{>TVQL{+1rbTV#T(!BRT8K3IDAE8 zalR!Ec~SC-GmDGS1ZaTC#t>0dyA$8^#*af&CQ85ah4$oKHliwu@>f=GdaD zQGEE#-zXp6uVPE=!PDVMv|urO_LMP+)B;u$m-^CrKVyqsbbAwcshjG`V<-uiVU+tL z%M~tEOHFR^)nnBzKrd+AjcXLpBAW4raaGpG1xryr1lY#YMiWo{w-^KqD>v6)Vh|+k zK<25Nr4oHwy)$wISCw6dAlZNz;ZA98wYLDeju+m$&tq8Gm1+ggWg2ql&CNq?S3ZvOCo6wtsX=)!_Mfd^Ni zC=V!d^xQTClQsxFujmiyqUr6RyT`cW*Xizyu0+2?gECe zak2kX#bLmplt2@!Uf`}?LDQwNif7vQA3#n%!a;k5I=DHqx#O%#$F-H;J*Tu>cIEYC zfD)x5>rW!zZavY{7X+`43P`~P!IyN*#UJ%WEy|;tI}Mb~PTuU&mpNu<8W)WUUr@rD zS4om@r<^vxPg_YyQH%US$A+Dgs7Xpz?a)U6`7eE`>!EO{yX=*em;6TH$w^MA(vU9& zHI@VhQZuK{e7?C$XFwMf`}W(Yr(#^0Lzqv9zl6HF!l$iqP`h|%QmZiQGkd)s zagk8!DUqT_OFrQXTgeka7C)bc-d*y7Y^Aq^qFj|ddwX3z1(BCf9C4NajfeMkEteRp zD3aA=hy3D?hiYbmWJvK%<3%ua9KaIZ8s<%RnKp*!Hs2=XZ5>8~xafYU`ULH3c~(n5 z5h|36(_s$cLjIzp;z+{6d6rquBFm6o`DW`v&vibst2ou0vm3ygtqj z_FeCL2l<;psCKy{V${*X=!92ZOxeAxe66JYYPo6bKzg}|D^A6;d`6xjXFTYDCTs2& zolAPi*t45Jm;^-^DI_GgofHI^3FoJHZQ9(VK+?$xw>pkFSv{DKH2Evpcn+W-x>pFO zUH2GTjpmz_{$I$v4KERgQlUuvRuy(+YV3wZ5@FuWWiPp zIL2q}XAvbMGOeK*f9rtd#G>J!iY~QS%b%D2Pl-WD{e@&&f^^DQH=X` zhppoZ#;7jpq{Au_3s#z`LEgoQV2biEeBx+#c6HLL;j?^RwtVINiH`nB=Desi(* zJ^MAnEV_O$75MG_!MF|Hn5v@qs!LJ%iLFeC;M}LJRKM89ufy>gO8enqEMVYE4AVh+ z2J!U>jLDG`-j23=BV&-l^c^|tLFNv0X(<9QT@2BKUXiywm>~aJG2q&*4YIbp1o~j|tli`xk$atl zeZ1J+m8gRB(vULXx*Zh*Z1^rcf%=`jORf-+X*&Fe(>ZFyF31m4d+t25&v&G_Ljj2g z6`cSZ{V(H1*`o)Z(BbQ@5mrd+E3ZU%{Hxs>9e3!i1u6V|0Tgs!fu-&QDI6?%HYoII z-?Ct`EvQGT&mxbjjS<(&hW=A>2VYIJRqb7B=kxoG^DoIAeM?0P4<`kCXGTX;duv0F z-F>*-{W{n&dKuXf4Qf^(bHg;Nx@5U(nI!GRxRlcHlnU$!wVJ(@oVp^j3Nx??*i-`q zYFr{BDFMD>Cc?_oE7{XigOA{4YU5^U;%4}p&|~5N{?nE1k%jI1cNg5j0088FyW-^R zV#MgG7RRE{$BYr|_7;gR1Sd7-ugs&C(<+8ZLeamq%oL-x6nkoJ@y73}@h3(m_v#C zAt_pRc(2P~7sz-e-lOUF>6V5%^TaW5{z+P=F*?3lvm}0YGt|mq&3mn*+OzM9agWIn z$}|r1ntz49!Ogh~YdAz@#|Zsxl<#w}g3i3ebNfSfkD#rTCcL9(HM-z`<_JicAPo1O zpPu&{?Z0zmVPb6)r)1U3j1ltVhHi2zN~GrD$5jFRK%I}dLKo| zMd;Nw!hP6w7&|nqyXLh@jC178K`1CYi@P}z&%a@8dIBk9T*HsgW9?Ge$Oge;eox+^oc ku&WwS#@bxmP}JRlnN`G;MN?7PP{~G`g4OdG}b4ZZSSv!!Dx%NQ9@hOO8c`y*Y?jaGbM)A)6zP|o`I#7;>qo1Fv&)PtclD|N*!Tx9vhz$UqZcdT_ diff --git a/lab2CA.runs/impl_1/CPU9bits_utilization_placed.rpt b/lab2CA.runs/impl_1/CPU9bits_utilization_placed.rpt deleted file mode 100644 index 0b2ed7b..0000000 --- a/lab2CA.runs/impl_1/CPU9bits_utilization_placed.rpt +++ /dev/null @@ -1,212 +0,0 @@ -Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Sat Apr 6 17:34:31 2019 -| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) -| Command : report_utilization -file CPU9bits_utilization_placed.rpt -pb CPU9bits_utilization_placed.pb -| Design : CPU9bits -| Device : 7k160tifbg484-2L -| Design State : Fully Placed -------------------------------------------------------------------------------------------------------------- - -Utilization Design Information - -Table of Contents ------------------ -1. Slice Logic -1.1 Summary of Registers by Type -2. Slice Logic Distribution -3. Memory -4. DSP -5. IO and GT Specific -6. Clocking -7. Specific Feature -8. Primitives -9. Black Boxes -10. Instantiated Netlists - -1. Slice Logic --------------- - -+-------------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-------------------------+------+-------+-----------+-------+ -| Slice LUTs | 54 | 0 | 101400 | 0.05 | -| LUT as Logic | 54 | 0 | 101400 | 0.05 | -| LUT as Memory | 0 | 0 | 35000 | 0.00 | -| Slice Registers | 58 | 0 | 202800 | 0.03 | -| Register as Flip Flop | 58 | 0 | 202800 | 0.03 | -| Register as Latch | 0 | 0 | 202800 | 0.00 | -| F7 Muxes | 0 | 0 | 50700 | 0.00 | -| F8 Muxes | 0 | 0 | 25350 | 0.00 | -+-------------------------+------+-------+-----------+-------+ - - -1.1 Summary of Registers by Type --------------------------------- - -+-------+--------------+-------------+--------------+ -| Total | Clock Enable | Synchronous | Asynchronous | -+-------+--------------+-------------+--------------+ -| 0 | _ | - | - | -| 0 | _ | - | Set | -| 0 | _ | - | Reset | -| 0 | _ | Set | - | -| 0 | _ | Reset | - | -| 0 | Yes | - | - | -| 0 | Yes | - | Set | -| 0 | Yes | - | Reset | -| 0 | Yes | Set | - | -| 58 | Yes | Reset | - | -+-------+--------------+-------------+--------------+ - - -2. Slice Logic Distribution ---------------------------- - -+--------------------------------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+--------------------------------------------+------+-------+-----------+-------+ -| Slice | 21 | 0 | 25350 | 0.08 | -| SLICEL | 12 | 0 | | | -| SLICEM | 9 | 0 | | | -| LUT as Logic | 54 | 0 | 101400 | 0.05 | -| using O5 output only | 0 | | | | -| using O6 output only | 40 | | | | -| using O5 and O6 | 14 | | | | -| LUT as Memory | 0 | 0 | 35000 | 0.00 | -| LUT as Distributed RAM | 0 | 0 | | | -| LUT as Shift Register | 0 | 0 | | | -| Slice Registers | 58 | 0 | 202800 | 0.03 | -| Register driven from within the Slice | 34 | | | | -| Register driven from outside the Slice | 24 | | | | -| LUT in front of the register is unused | 17 | | | | -| LUT in front of the register is used | 7 | | | | -| Unique Control Sets | 3 | | 25350 | 0.01 | -+--------------------------------------------+------+-------+-----------+-------+ -* Note: Available Control Sets calculated as Slice Registers / 8, Review the Control Sets Report for more information regarding control sets. - - -3. Memory ---------- - -+-------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-------------------+------+-------+-----------+-------+ -| Block RAM Tile | 0.5 | 0 | 325 | 0.15 | -| RAMB36/FIFO* | 0 | 0 | 325 | 0.00 | -| RAMB18 | 1 | 0 | 650 | 0.15 | -| RAMB18E1 only | 1 | | | | -+-------------------+------+-------+-----------+-------+ -* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 - - -4. DSP ------- - -+-----------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-----------+------+-------+-----------+-------+ -| DSPs | 0 | 0 | 600 | 0.00 | -+-----------+------+-------+-----------+-------+ - - -5. IO and GT Specific ---------------------- - -+-----------------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-----------------------------+------+-------+-----------+-------+ -| Bonded IOB | 12 | 0 | 285 | 4.21 | -| IOB Master Pads | 6 | | | | -| IOB Slave Pads | 5 | | | | -| Bonded IPADs | 0 | 0 | 14 | 0.00 | -| Bonded OPADs | 0 | 0 | 8 | 0.00 | -| PHY_CONTROL | 0 | 0 | 8 | 0.00 | -| PHASER_REF | 0 | 0 | 8 | 0.00 | -| OUT_FIFO | 0 | 0 | 32 | 0.00 | -| IN_FIFO | 0 | 0 | 32 | 0.00 | -| IDELAYCTRL | 0 | 0 | 8 | 0.00 | -| IBUFDS | 0 | 0 | 275 | 0.00 | -| GTXE2_COMMON | 0 | 0 | 1 | 0.00 | -| GTXE2_CHANNEL | 0 | 0 | 4 | 0.00 | -| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 32 | 0.00 | -| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 32 | 0.00 | -| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 400 | 0.00 | -| ODELAYE2/ODELAYE2_FINEDELAY | 0 | 0 | 150 | 0.00 | -| IBUFDS_GTE2 | 0 | 0 | 2 | 0.00 | -| ILOGIC | 0 | 0 | 285 | 0.00 | -| OLOGIC | 0 | 0 | 285 | 0.00 | -+-----------------------------+------+-------+-----------+-------+ - - -6. Clocking ------------ - -+------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+------------+------+-------+-----------+-------+ -| BUFGCTRL | 1 | 0 | 32 | 3.13 | -| BUFIO | 0 | 0 | 32 | 0.00 | -| MMCME2_ADV | 0 | 0 | 8 | 0.00 | -| PLLE2_ADV | 0 | 0 | 8 | 0.00 | -| BUFMRCE | 0 | 0 | 16 | 0.00 | -| BUFHCE | 0 | 0 | 120 | 0.00 | -| BUFR | 0 | 0 | 32 | 0.00 | -+------------+------+-------+-----------+-------+ - - -7. Specific Feature -------------------- - -+-------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-------------+------+-------+-----------+-------+ -| BSCANE2 | 0 | 0 | 4 | 0.00 | -| CAPTUREE2 | 0 | 0 | 1 | 0.00 | -| DNA_PORT | 0 | 0 | 1 | 0.00 | -| EFUSE_USR | 0 | 0 | 1 | 0.00 | -| FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | -| ICAPE2 | 0 | 0 | 2 | 0.00 | -| PCIE_2_1 | 0 | 0 | 1 | 0.00 | -| STARTUPE2 | 0 | 0 | 1 | 0.00 | -| XADC | 0 | 0 | 1 | 0.00 | -+-------------+------+-------+-----------+-------+ - - -8. Primitives -------------- - -+----------+------+---------------------+ -| Ref Name | Used | Functional Category | -+----------+------+---------------------+ -| FDRE | 58 | Flop & Latch | -| LUT4 | 23 | LUT | -| LUT3 | 18 | LUT | -| LUT5 | 11 | LUT | -| OBUF | 10 | IO | -| LUT6 | 9 | LUT | -| LUT2 | 6 | LUT | -| IBUF | 2 | IO | -| RAMB18E1 | 1 | Block Memory | -| LUT1 | 1 | LUT | -| BUFG | 1 | Clock | -+----------+------+---------------------+ - - -9. Black Boxes --------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - -10. Instantiated Netlists -------------------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - diff --git a/lab2CA.runs/impl_1/gen_run.xml b/lab2CA.runs/impl_1/gen_run.xml deleted file mode 100644 index f988e68..0000000 --- a/lab2CA.runs/impl_1/gen_run.xml +++ /dev/null @@ -1,196 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/lab2CA.runs/impl_1/init_design.pb b/lab2CA.runs/impl_1/init_design.pb deleted file mode 100644 index c43943c9389797843b78680412a5831660dfd9b3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1837 zcmcIk%Z}496lEUmFqZ);Bo>sH5h{X;M6sJTZ4nC?9zr~Xc#4q_GKt&qOkzj2JJVso ziaq=k|ApO`0u2ZjNL@7X%{}Lyb9~_twzg%KQIYgfLql!7zS?dzHxKv$O{9ra@&HK6 z#r}tcX+9LlGcrfp&tLaGa-)%#Q)SRNj`ndUFnsWF7Z>?vZU|;lt_?X0E2Lzu3h8;+ja3;}rV8!>ne~39sL-}=Mh%w& z#WKrNW>|u_a15+rNHaS|VlB34r27+E@EbJJEuxL#(z7W;bVxOd6{8N}kegkH(a^T@ z8tLKYMy})@iw&tm_01$m%l+LM32@hMEuZaFuop(XBKA8t{Y28l2|9_~6b!ecW;J04 zJZ9t?ocXI9R*7vMxF9ZMd<-|9TR*6B?Pn%TOiJaD$4KUeXZ+JdS*PAwE9@<1bX?m} zP;B>g6&^M8E1ofQUEk=VI3Ji0yNiFv&o~6p0Z4;BXs@gU0aqjx<9WQQtO*5 zg4&kVw9{=?t)-b1#E1Ia1TB?ujOS+iXjw{f0geYjfAah1)AO*=jv~L)2}?db2bI7N zg0kpTapYOfR+Fvq)-{h8{1iC;VyECKL7T5XFc>nH_2jezK6OcHg`QidKBALs0X7oJ(_as6}er86NLr7 z%yI%iiU42!XMnH3$pW4JpEFw~>LSs&?9^qp+wy~UdwEh~4_hSkJGgA=l%)0)z1q_*+{HtQ1= diff --git a/lab2CA.runs/impl_1/opt_design.pb b/lab2CA.runs/impl_1/opt_design.pb deleted file mode 100644 index 0a3fc7cf2d335c16d7904df37fdb03a9e2db0aee..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 12093 zcmeHNU2hy$8SdI%yFHjHlr||&p{FS(iJRS>kNtETO0wPtlf+rsPE(m8Ydm|_JN0^I zm=Awc7FS$xLlFWA?m$A~f_wM{Tyw>*=oR7z@SHO<>lyEiv+LNnLRgmUJu`dGd*1hX zzhCl%%r>pvUCrz)(s`F$nVOrZs0**`8GBmC^7Ov)9YQSI+w3sc*fy1!4(n=u-&>6S z*~pg*vaI%AvZoHFzR10FWrF-EM}Bb6^VqKK8Rjyt=$=_t`EpJ&Z=*koPSHJO{%%z zpY1T+GCKypKIbZD4Okb6O;N@X+f=!rC~;n_lGn(Zr#V7q^DbT2+#MkXSyC6ihJ#(t z(G1gbm1|@YG^KL5UclS&UEAcX_&xRwuLpXm*K?TG0o?L)6H0kuvg7E=8ziTsY?@cp zg2JUX;LTI=2IooFFb%iIIyBsrZ#Jpal!Y1Fu|8wEr@Td8mT1dyEXPgTST2;Sa(v?s zx!>+H4G?u$mpPorUXKX@ILx*jkKPLYZi3$10>`@+Kx~+R^sazqF{GkApkgD>&*7{d zT;SI^GDU>4B^m3I&2?j!(Od5AMXK9Ay-Ou&k^jo{eaiZp?c%zFMX6Ym8?;CtGf;6z zZ#}+`uh~r7!7G(UrC6<1@wIIT4GXeTtSa&_8{)#+9vK36$eT?~2X80&t%!HK`Zu6@!}K=7d^Vm=2z8?>mX&(3p~Qq!QPrYS zk%n0pr%D@HI^QF&J+ih9oj!pD-ZehYFqmm_F~}@w_qYnoyMa5HlqER{)2n1cro*&y zbz5byAh7nsPs78wz6~6OPj0t;p~5f;hPlTak2wH8S3IorEv-Xs!^BDeghqW~626FA ziWFXDEN@J!azR$>anL*@*CI-xP&i6w{r=#Xa^tjPfI%p9!dQPmZU#J)X|!z;Z!)V( za#`tQ;B9<+Af@0P#qTAJLVP~5?qf1 z)3X;_6ehS@3;1^`9=Nnp%u&X&5TaU7aNQpbs!AGEZ;{uV+@oox2e)e3+V<%>^3{Ot z4~ZIxL!oKZUlQgTnRf0hm_zXRBA6Rluo>1OV>u0f-O=!;rs3~fB)_)Lm_3@EY>@8; za#yMN)@2F0exAnVw=X8>Yz}6KFk?AQvd(CdEvHF#o_yo}(~lld_^Ic+-h^3c5h;*z znGWr6ITA-Kf1VQmM~<|z-HFwu`#nP!>4Aozn)4vt$rQPlQ5%)O%Z$eG4C#-zEU;2d z#_~s_VWpCWl@htW)-$>uqK0k5MeH+Lz?MMG3TXs9tsaohKyz{9=$8hsiGvhZ31XJ{A_zkd12QHlu4kYB+J$s^}etyLwRF%_<$MRVc_hnKJ3$eqs$Kev} ze=P>BoNIMrED5)*{ZT{ugoIsEduH_e)ZH;`s`o8@2St40rg-N}EVx0_!)A_Qb?67Y zglW1#??IN!3)A*KidvrXCYe%D=1T*Xing7a=t|rrZ{M@+{-LPnFRh>OiN&JWKG%pg z-B_(jE@-zW^UU^}0! ztlh=>5nT`e5$U9=F1&2_4&6i3>+w!v%SHaq3!cNwQ(h-8rL3YNkx^4svL7L(R0>r& z!N)c!tyt7|0UmT)4HF?tBy{uvyOxi_D|$M-H5GJa-?=i4&X-(W$lDxp*b^-?A>8SM z>7bwZ@VKA&F|3Z|6#7Uj=)K4QAhik`D*Mk`oW#}&F5-BiXFDlZ(31;|OnNs)oTErw z!h^mi(zWJ_zqf6tKzfCe8>aqn;+{!DZDSXJ<$>0p{lhpI=bqN|O!f~g_OOda7C zD)X!Zzl)$qsmF(`FbSd5Q$(EI+fD;hh6BZfRyh$MeM;s)S%lgSb$y-JhP!^h&kKkk zt`R5hd4qd=|HK5?&o5H{D49j96IMSLPt`c2pTom_1AWYTdWyzfCo{E*TvRJ58zT%H z(z*W|q7&Re$XfXpih@Q8Z$s8X01%WPx)&>>;h{nvBzGGXMLrlTQ8u_rfSbB=Y$t^LA^k`e9 zRNvfd9UdBrdu))X>ZDK<*QxZqhioy}Sle8wsoSq#+Lwmqg{GqrSoa;nq-Pdo_PMLvv1s2IIpI$7N4KbzWmz4( z=C56?eOq{KV+Fn|z^|T!Aszam?Vgj+Bj+?E261eYx{Q*(7mz+R!cjoUdt2;1g2Pww znME)0jpz~gWL1^)?UIS^d9Lyfym2t}9Xh0LXoNOCNgSAXqWlD2zcL#aa$O4T*{{1L zxlvZNM%vSV6hPYd!o@r*qGz&n`<^w?v*J}Tj$sGxAB|j>$8YbbMV{N?024&QK|l=) z6II+?QMBz~$03i z^Chf9XTZ_C#bQ!il_fblr+cs>liB$w58-Y+S90JYA`Qp>at>V~AMvU4ktfXgmqL!A z--0!{A{@L4Tf)J~$24?o7LsEuMU2?2-y&zeU4erwc47}HdB7g-5Yr!#$2`986Y3Z~ z!-Pa1E98)3HD8klhr9TiPmPP@y>kRW^fzp*Z>}o!7kplU&BQ4dJH_i32|lD1QCvAA zNjuTMS?`q_zm-*0t7uYP@Y^3jQL0FiH2YPwVxZHQq4lfvn3ucIj-kO*kSo~aE7BTJ z^MZ|rJ4Dm^D(y=$7D}>!r1Ssx0<1vB-Cmq8%93^O1$l~5+px@JU z+0@P29O!>D2l^iTW)Ada5%lHTg#Fif6Re67j1-s2V8VBYo<*H}iR{4pN&HnZ-&iKj za=mBtX{|AjWBwll{bk-jYob8^0)E&^+Ksr1SoP2_15ebv3Rc}i(32=@WR9h!5AgwL z<4Gorl5J3yeuBTxfg$YLgk1b{*w}Zxp5eTp-+Tq?=NJ^107cxd{StC`;~eGUiE##l^F8;H$_i`#Q-BFD?u5V^BLjxTJV_=9U^o0u|o?c!#W z;k)==^z&e&gxh1>(MF$m_mBIRdRgIH$bS4&k~3%FYHlPEhR(dG|%*clC7vIvh)R!cs_d}B+W z-9P3d9kn9lItlJ4vGH(A7zdcVOP-yc96dSAxnv%}yD&!CZnStVja*#Jwm9yNP7y=+^}F!q5iJ{xU%haGCcf?FWaxcRk_gwa zFs6+xdtCFgMne?XTVjo4_%I1_V-eO^LLg6e9qLTUFFTh0nr8*Vt)p=L`2Zetcpuf_ z`xbtXmsx!H)g~TEP{S>s`uVnZf5^uenz)UA1aC#|jC-=h7sAP52p?dcsb$Z2&ZbTi zchK0>O{F}_@F-`3KZ1Hp8r;0t(6Yyu<;AeDX~rFBR80Y{Tm14k_l;E>q$ILxa>_L^ zvFtI;c}9xYT%rXJ&q$xzZu)>Lrlq`~eRTsQxB*IWrAFq2Hv>CaH+8Ak>(M3ZV1Eny z)XRRkw)up*ojsOzsN*U4VTQ2OOZJhdtslfzOSA>zCpWtpL>!{IoWC8UY61 zid9A^@i4uSi+gu!;k_iv&=fr_+u_|;ZMJ{nq7GI3Sa6@u-xfUXqT)x($S{5fo9LN2 z)+1PijZBj=)*m^}b?jV5Ep@X-j*pPh6uqKT0iAvX?|jVVTNC9FUSK=a49Ci!=LjKM z!K3{(d0JxBy@Ohsnj%Zt1O6X>&QXV8kM03bU}%I!4|P42N`$dBR0^V0DONU)Lfc{8 zJBn16^=3wo^ce0XcD~qaKP7>O@4DxaiB&~LVNlva2`%75bY!CH`8E7ZWPmu{c~lZE z4cXY5)D&2g_`SuA)|gLC)EkkMHB>1IeB(iySo`LGDe^%v6rVUHQQUA#66t$(ME%Lj z6+BpBFQhHahM?l{_ldGa@ae9eKJYu#`1zK0+ z31_|yU~-j@@1f*P;K66G+uEs~G8(WdHBmp+tF~Khwbqcz&+u>jthAm~f|1LrHiqd; zuA+*q*|S4-7J=8QSf*%yaR+%=R`ra2&4iULE`Td&+aM7y6i&9QEfqi(wvUzpM}}U zegy-;WO;#)58tO}o%$*@eNy&&FCrs&$M4-7p_xor&=EL?q!$LBbB2l?M#`5o=y@nV soJvmmrIepHIPtdvpwK$XciO&lKukRru9bLZT1 z&;8E1=X~dq56FDW>-9|6TA_;}xj45lQ#F>(Ke8X0mKSv#os#*$>ql(YVxhg~>gO!h zHTzDqlKin#sFXC#*nh#FJDmGWdEw#=`IAE4x)nvN=SQ}?M=8zYM_iLxLv1V-J5bMa^{eEK!jSnPvrOrm3f|SreKS4hyjjeR6ZF@4DQ6 zYg;X9hOnO>u#V?iHeWusDmM*Gmu#G_pKRmiDkWV{TVs>FlAiOxj`ks&M*9IXEr6r2 zFr!zNX04#3Um}VwF>ygRN;N1(pXnsBVHS*QfzU^3aYn!f(3l8z3 zwe+G_NhzLEqa)wb$F#N2IuFBsZ-v%byIo`LE-an6T3X7l6f#GI%2{oHNWQmi_ZYn% z-dLd>zfa$!s=9)IdYyiYGRO2on0NS4qh)%R0T)N~`rTE0;xqFhUTc*`wOmu1_+}4# z%b!%WvaT9=L!`BOG@U(lnLTfiOB_5v@`ei+Hy!&&V*(u_8HZBFs-KXL--83#Jr0u; zCg}kK<}DVnPQ# zi=&zCcn+54O^43944qfWg*bUcHP6cvHXT%kc|d)TdnTWsu{n8moh$fGIj@?s;A_at ziGuF|3+(O@FWE#AU{RNWMwqJP*&vk|qYWyR@*Eg9m=3E=nQ>9Fv&li zAsdU~C=rKA(-9dz<>Z?fH=x}(#W%k&tJgBE00GWHOJYUl{+vt}w4b3#?DyoY#9lhy zg6tDjw&YuIyxO>m8zo!41XY2X`NR2`$p7ptO;IKb@3F|Skurv0ygBfbZ7m;b7In<-$G=G~ zCvrYoTfZ$1We61_W_1n3cv?ac67Ud;3_D-nA=hLAC|FGq9r*D+kft^z(p27fm?$mu zSqJ>ENEQ;^REacUq@lE5o+S{G%kYIWD(se=EXTX13@p6gm|(E1)+9Zq3GR6^9Dc44 z!H6T`h(;t@A+*hyi-x5ucyS)B(eJD2+VJ>zm5>haQurQvK9T_OfULGwmhXow2$xw0 z321wHy|r#s>uTv;{Egpw>sC4FyWz5p2)wH;ql?pQ+fleH!kSgKI(}X*fL||ZMuX#R zcqsi|Av24-1Ef@ziV(>=wm()#Z~c&UL?4Q-fY#^DFx~{CrLOHT-ZYA*!=q%%z>jFj zZ%g0daVhW*rSI^Z?aMn8JRg|=?zfE4=*rY8)4!A3|LkfltO+|zf z#G(RXk#6Wq=Q`*i0v*_nCgO*Y=@gzt1NA=&xh9%6EJUj?rpKav&x+epBkq%W#l35+D~%bKbE`4qCcD_bCc4-k6!LRrV5HPEqX8lH z>Wo(;*{1wGl6A7U=DCc9ED~q?M{6xfhf`pG#;7h8^rUCU`^3CL8!`;+= z$}Tw6kH6qh#03Y<$P@;@ogw;&gKow557Kj)5`V2!)hGV?H-&6{QGXr3Z}8nSkec5; z_;C?_T-AAAHawoJlQ}Z_6M2m0=gK=YoHut2qDK8x;?KT^hWIG%#lx}w8^6V+gq|53 zC8KiQJ0fG!vG~`CKKm1e;KGkMyPulU5@YAxa+540dG8~^!My@-c}Utjj)!X-^Z@Bo z7j>@1uM_agIB~lC8ZvpL&$Xq3SjbM%z%3zzhvZ=fe`>D&&Xapn4^U8eLCFELL2q>; z`;i?Tp?mH5=3adJC$0@C00g6>K3H>H9$nE6rQW8TZ3FZa9G88%op&6NH%_P(s z`EBIffTTq{hB6rQ_*9sL6r%HL=3V<264%BZABktjoIr@%f&FmQ!PD=`xm-8%)^ATp zX#XwI=${JtT$Vk=C(`a9cF+=TZ|(YKv>#GU9n~>AHiMlabzLbd@s!BXxnjp(kDJx1!|Z@VTT)dbCgDm| zcK)9!#KysngPR}T?jYoe;=5~OjROr*EC#MufekBwK-x4#>Iq=zz(E!vCow QUm#>%+_XXMZe3LV3vlGjlK=n! diff --git a/lab2CA.runs/impl_1/vivado.jou b/lab2CA.runs/impl_1/vivado.jou deleted file mode 100644 index f54a8ff..0000000 --- a/lab2CA.runs/impl_1/vivado.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sat Apr 6 17:33:53 2019 -# Process ID: 9496 -# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1 -# Command line: vivado.exe -log CPU9bits.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source CPU9bits.tcl -notrace -# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits.vdi -# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source CPU9bits.tcl -notrace diff --git a/lab2CA.runs/impl_1/vivado.pb b/lab2CA.runs/impl_1/vivado.pb deleted file mode 100644 index c90dfec4aa91be41edc3019e6b8aff09f63d2dc5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 149 zcmd;TVB`{Ut;j6N%u82LEmlY@0g`%pdRzjmQp`pMW?F2?`MJ6Ic}7xPY+;#Yi7EL; z>?x^fiKRIuRxpN<4wpnQP@`i(k%EGmf}y#Uv8k1TiGq=Vp(Up3C^kcLU7*Ps3a+1emz^xVT*NQWSzxlk!n5>#8fFXDEvARxDW zw(3y%)~r_UG$z$!TU(m|!1>I=h8|AJVu;zL2MucvcABTYzGSZR?WfC5U`g4O&eVo$ zg1uLPiS!MrX@Y@MJAAEccNf{Ke4a=@l=~XjgSFlc<(kj2e0S9<_n?WCNV;>ctH#1Q zAGuXsL(u%hzlvV}^n$m(!G`oxdYyZq4(hYJ8hBw^Y7G-Dt6h|2pm)F;bh5y~?zm5%zWsv!ga|r0VoK_~##S!QOlGF$=f1YigxpDo zz$Yw3U!DmPyVA4bEcuZkiG*`I6cZ|C3F*C6B@cen$QF-O0F=b)*~-d?A5>lig787G zctIvrgT$1UO-q8hA~kvj94Ur}&->->a`)a*EwW#G+tX`nclXF?w9s^|kb$n=<$-r{ z_ZaY^RdM=*=VSKu{pHEPUth2HXb*cDK)mnZ4t?o4tKY8jcX=Ey>MWcPX=eh2~VR zx481#&GMG}$Gz;^b@nrGgk;8k>vehSbbrjLL35zDr|s4DYVI1vUr0w#$k*}a=I-3| zY4>Wv-C(Pyy&SCj=?^e>T4?)+fx)Ffx5vx-ZYy`1cP$e$$3|A~d(Rhr?1i_n55Jqk zv|fLYTUkG!NBi8`ZNK-Iqe|vw`x&9y#$JP*k0+qU@yA2>?u2MUY^dSW-N{lXGs(0! z>`guDkL-_@4$@DUCSW{Xw@PcBtyz245ZhbK=Xm1n(PxaKBC zT8shIm+_&$A5U)%pHIc)-4`HONe~84Uk@+5(fj+fQ2o4~E6yUiuRcm_kCLMeYPNMh znt|_|L(dEMLnF~y&*kGfcLKo;cSrWy2Kv5j&lk1bBWVVL?@oT*JRkQ3hcX#Xw*B9} z`MmE8Y$a_J>8b++CD8?NAbrE*#__z$@i2S`1btYcejT#&7zl>9`>-_Jm@AsC?4dR}Q z!hAoJa<^m`6%F3LHGec4*;|El!E%6|=YDxP!p_+K9eEs23-(mDWgK*=ZC)>m%?7;*rnAzuVrpgO{`OY_KTD!T0{;_4%;2d%JowLTKB- zm*?YP;^?Ex1GxR+b#rcTMnf}``mLGgQRrTb#Nf@-)2|x{c#B@8AtMHk43fTA+i9CN zYUh}xH@qx5EH*gZADHXOT6Fj7E1rHV{ovg0+WPPm@T~UiN<-|>)3^|*IA&tcl&5GL zK(ytv%UsRon)2I{=bGp@Z)EWE%a+ev?ecoQWcdm_^4fi{6hOXm&DGEK7wpx}`bmr2 z9o_I@{aTar&9Rn{#QedkqTxkC1zB|4MJ(zyEl%fTVnXb;_md%H1-wr#JYw$^s&q zSyoo$eYfHT*f6IoU*LrJq+TM?B+3KI{wr^Cwhi!c!uI`Ub8jYN2&;3%;AB$8J-)ZR zaiz}tb;{=5ymMr`r?bD+`E_bD@?GGdHf+t}qQUKQt+)4m^{K}3_T%bw_vSSlN~{)# zwq+4n;xp@gXNev48S)<_2?^A)W%*wU-mG2+-M)9jk+O4H<*kUmT&UeQQ-WT51;xix z;$OoANh0(;^+~!Nf2!P>e`OFeKoqZit%%=h)1>oaAN!uq3{#+~zaVA*qZG3{qhWkj z5flr~N!jZbg5rSj*%{~pP2xT&d%|E;U-s;j{9LI*AhsK8o5>&g8J@-q0q4;cAR_Ae z7Qu~;w|zqWg+R0Ux_8uI%Qj96u)}L%>tqFBR_88PNBskg5`dxPT?AJqFb}y%Yz1x| z&jHpfl^L)`AuoK9NS*fp!06DARSS-lSg*8AUjx^5aXa(Qv98t(UU~eJVn~5YEcotG zlf-7N^`a1rt1tFfJrAsYfwQHs6diplD3P2bwZ#zc2)abJ)M^zj-?Pad5{4($YpLJt!Z><^QAwg zUl+Y`Lv=kp+w5y-Mph!IW|10H(;oZubHwMTp@syHz-%9BY6)y;I)?=9N&%gy5sWk% zBD`9tM!e{l5&#X})GDehVfq)GC#Bh$_^)UVHl{_=V!Tu&X|xp3k%YuJl~+G!UR)GC#nl^Ge&5;m!nOJ6iX z*CM~U>LG;lQ7Bc?j47csyq&?By)7q$J!}I%W$lIrP>#MQKL=!{8R;9&-$HP@{lZ9~ zkUWL6J|FPuD>_25KCcoYt(SchiSi3Y7a0Y@U=cvB{{cQzP?MN5>l&rC!)5 z2gyW+LU_J^sVH|LRlTl9fTO2&E8pLdDW*t?LXiO|v5H7j#f#Asd8zp{iAcyO&q-pT z8Tx#1SN7?r`T2Rd-RwQvw0{-i_vtuS`Bj^>poBjEE8ENQP3)uC`Lt72qb)e#BJ335 z#F3#I$W7dY`MA)0 z{y@2Uf8!QHiM@)T=smJ6mi_W}c$Gi>Ciusqw#Y_nK|QPDyr{57|ZYKOaGw|}zCFA=~@#E1Du;Y_vYv}f@x#C1;f)rR@wvtbtUPGB8mxs<) z`x{KuqH&Xa!c1H74+)*Cy7;`UOOXi_Fc1mR+|>g6mzYWvM-O6XPWz{yoUW%^!Z)V& z=SSP+RYM4Q^#XLe-Y&@8Z`(MWm`Iz#|nVcYIsd> z0SXPTD{ujpP^5fi^SVX+(n#x(WgIq4d7^cN5cEj=n_|{03OvO|&U2VSL`3pdD<_xA z18&B2NLdKQsOQH@G6M?0So{_YfYpjFVW^?hh%&xghsk1HHm%ISVGu1OKVQUr-9mRk z*hPpEJ{OJ%*~%PRN?7(KDyI#NADfj}WL;q}DrgMGG@Vo#8WB5J>2xSmBVgT;Y%ag10yv>wE>yv~?3KOv0 zW+~+_%Wxz_d$DEMg|$lJ}O;GLN}mOKa4H18*}0N<;9Y?lSI&e z1!b8~;5?i^o`7;9{Ou1a1e{mn)1NvLyIWu#iC^!N_Xa{?-+@ zbtFtS@zi@|0-1D$50?1RYlXar1AaXJah3ArJY0&Nm{K?s1}@=L1iZ*Q;S(JRrSKXk zdf>e>2k>zj|*+OeEXE$L76 zd)gELDmX54ynM? zErI_!>V8f%1uY`8^7{2EjC{Od>@J{J>WGhql#{0IaT_U2jc}jcB1~9}9u^>vp8c_D z2xxo@?$20TO;Dr6>E1lzY#M0)#tn!j2ZI3*1#ATHMhzmpSfO`H2rDfo-xr^vGvwRx z6~v#$n5;xi$F9Rkb3rm!?wFBz*veh-bO@Nm8#}C_J(0$=6Bb56nBjV3vc-fFnq2lK z4y_a>7%?2+B7DR$3=@YU?y1Gq-))Z?pDT~DOz-2Cz7uH22*BeF`|=KFd`M!O#EXWp zTH;&9y!?}h@`!2gP?ZXC%&g`$S*D<#G`Zu855wiy@xs%hZOEZZ*-6a!M%A!|pq+Tn zkdIg}`So)|H%Fc1W)YI{vzTAZPLxpMZpsFWYEPJ2&-QbF0oYD3s+Es z=dFTmr~yFu@<0un2$7Q_VJ@5^@6g3&IJU;{#2`odNE^_v-SQ@BTi!bh>{xCDC z^X;A08dS^`#ygD)E`37tdHbyCb*H>HSBg&>^aEWahaS9ZikJpm6yfJFaGM@8P@!#! zPNFr*v9n&DalFCpHe;osd2xy{P{1pXSir0LwEHJfOfA6LFz4$j{Z82C%Ki?qD3#v# zV=mz~0jES8Z`Ni&i-5I8i$b;C56iuzJnv86Exmo2F>K>?Dc80pg(=sFIX{2BHl^Xp z=!8*w%HLPp8_!@ru-I#Jm)1MNTBXA8qt=0HeBPFg9rK zeTLxIE^)0dF6L40hArHa1I^K>cPMXZ<%C|q!^5eC+jz}of7W6#w*kgQ07H{0H66u zJEp=7)raF}umcNw?|Q=&-W&e7&Ac96JO0jiHW-?DExU+ls0ozH{bxIAg5lNY>tM59 zIZ~OzsQrG74sko9UZZWZ8cm*nfg*$6XldismLl|fp!IwgGr^PZ)9BS_Nk0SLo0k%b zUVE;amxmD_64uv-fy^>mn$?1LrD^I_<+ts=`B(S0^hJ_WfBp)8^ZVX%Zo=h{DeKIR zw<=TpWdh&R>*TQ}zm023o$6Cf;>Gwen&d9OSL0s4b>k$)v#S#A%^E)mM>T(;+I-A= zAffyI?+J}ik*uY7t)+PW%;nxn&0j1f@WZ;jqHixnTEDihmOtoffEmm8!}=|g--=|? zPt|48Il~vMrq1ip&XwQZjNVSI-V9imz^7APvqa&1hq`LG?-~l9S(8IOH;Pz%lb2Cb zD{8IDQtyw{r{v4uJ*`L?mcD)4G3UMQ*czQkm0^(yh3*}P&vD~|yox*qu zG;4Oa6}#PbsR^0vvYY+6;Oo&&MBALu%=*JH-uZPoLxnMDp_lK|JP(ACVLh)GlHsC$B=4M z2C-tA;_{5*j6QJ?F``r=F02p4Quo(=}nMJ|Ppf#o68hjiQ47j~{|M zAA6;H3l^2hB>Xo=6OBtJs|y1bBeJjQ2Rp`Z3uC(nLMi9mC#ZH$V4Lr>OJcyB&F0X0`UgheueoKuD znVka`LdHZ5$5p@#drcZwd)5^2`$jn9yQ{P_ZjxpDt?o|!52yF_q2h?$*PCo8tE5i6 zcEPbD6dd~*GkaFb>2IWa#)A7cqwNW7`A99&b7xIwcp41p%iI~~Gfp%X6VJz{P#?AT z>y@AlJ9?6v`1jc}!Ud05_L@o9*EMyf zW&;Eq#kVeMf5A_k`Dq0Pf|d6+PjZs`+30V3jZm94aRG5@Yf!^NI#3GXiYQa@nDZe( zMt@B7W|a>s&$|US?PVm_iW-7bHDA`n#5H5z7C{w3IrZXJK?aP+y038IFLe)O>mHKU zwLFtHJ9vo#m_Ea#LT#R-Ty1DCBBx~UPY`r7e-JKIb9OXSO21Gn>8;EFTyG!P=d<4u zo!ZJ#5uaF2jb>GCL-Q4gv|?AFl}nL}4>tz}Q*BBKZUTb{sM}3Hn~thp#OEtCe+lDT zI>bFy`=$z$Dg_a&D$o4=Yom(Bxmm1kKUYwJ*^mDMWu}DX? zKmnXnqzXAxo=EFU*_{}V>(e)H)Y9i-1mNRdK1U!gxa{(b*gmAW1pkB%Pz~*+UtL>vLZfP#T7P#|JeybI2%usUYN zHNEJ-agnF8%4!O_E6i~+tGJV>Z(c~(Ju;+ zEC4^Id?BVt42^xyyFu${!B8$1EJ*=pGG=?sm`E|0Rp1xEfj8rtSG#I~6?b7E2GTwm z&pmnQnE=93I3)4YoJS_ZuO-}wlRl^`li7S{7Mu}56WNbh|BQvbRK;BV?w6aLAH4iE z-&!VUMh=plY9?Xx&IIRtPR>4GvI^-4z|C|KfZl4?i1^_YX&WxGLq^7<`QU2jS|-(7 zXV0$Uj=2Vg`OKC&F?Vz5p{6qYcO<+o#+V$!?KxJVF8`QO0mC|{!hfG6OW8B|UrjrI zVJ}^(_{_jf!{=t8Eyefl>H5b1WfX0-)278=iKmg_%mn6Sa{)QQf4=(fqJD@Yi#OSV zAPF9!5D4fFbE7Q_IVX)K^8#`N4ceg@lG~|b=~+bVP{4->_+GgdA)vl_LYr@vB(hQT zfY0UX4E{uCwism=xm%_)F^tq?*8;r)jiAH_k9v*9`SChhRAR| zAM(m3?*B#yo$A3${=heQiS?eO3ewRB|3ssi7+y~x3o-~qfAGPv^cYDJ50}aqvGQIG zaW6QM9)x`jmXmkCj&&mhw6FdmRc+Mu!61fFu^Z$ZUEIgs&Kn6#$#Owr<18KGz5>~y z^+9&C>sViqy?vQfRaMJpfdpiK1=$y|w$TOyQ#ym}YUFg$>75YHzoMFN7RKHM{9T>8 zzo%|!p&_F8>-ExbE5P!*s@9Y!qKfwGwYO$mghQ`aax#j6wTk&Su0oOXQxV}8tM_!7 zPs#l^os?lum_Q0Sc2!Sf6^&QHNF%B~GwH@THNK1#tX>imEolem`6ABmuGD5jS0lI@ z=(>d*t@382(%RQAtYP0F-RY+SD+j-!y!pj|DxqYZ57b(+{$07AalNkHwfEpn1+5iy zG#zs`z3bb`yoYPpMUrYx#`h%u9R5CGN=9s;x20>~>*4C@I6z6x$Jm+>y)x~QE&Z^6 zw5*z}UCeO1JhP{Y_hY;cyyFsMs&GwU97$*#b;I3HBYJ_E+dKX)emQhUcJ;J2Cx*go zVp+t@N#DIva`}KTmibo(9`!w{axZPbpXX(oT73o88b5Ul zR5xF>{Zl15S6{>5x|2Aim1$@Gi_AU`O@*&M_h(bj18G@`_DnUbbV(a7z3Kh82v%Tb zEddRzP)%Q}vG4sKpCvVf@hCS70^oIoB~k*$cfiLNGe*OCHNZ4@pg_8Vj1JF1dcQL8 zM_k{|;-Lfn&`#>Glpt@_2e(j&ZUa5b_+$DicxEhJ-jh+z(Y=3ytcGy9OEmaeD^!zE zMZn>0Ak%RRq>J18`i&DNo@sNwRu#Mrk~W^Te=bA1dH zt*$0(h+o<%*oJnTx!^X3_}2jkTm#@$?DUL3H!{0n9uZ#<;43y#wUk`-i=n>jBm?s* zSSX3hR|eBVYuDP!B$(f#2dgZ>ZIvd+@hoH%?#5Gv9Y>YO_dodr2`j$H*B8Axh!w(W zVzEqGM^W?Zkkq+`pqb{med<1!& zqCuv_pSI;ODPLPE>Cgow+_YDaaqUZTrX+Jz^tDSFa6Z15zy^^Q!UkUW^Tb}kH@>WQ&0CDhFrlHVRYVo;*dO! z;KIp2N!pE~JQy2R8QhcZIut(vF2Too@e?MYl6*NyixG-mt%XaN5>70I4R=L5Nw-dI z+**;zthIwv2urQ=rLxI&dUX$8H>Kht6eczm7VgOstB{n)!2vtqbUpY9^H3^&oTREa zqb``FS2avC%cWZ)Dy?@+rwnvXA)zV?m-C<2w^((%{;D2=F1S#kR3)#Y-OO7aa z@Ql}n782L7yZ@ZF!x}jh^Ep&AH@=nM&vSasV#ZuVdtkg3c}c}9kpM@u(*>u?XwRdf zN*3eHNeR2SG%wtbFl}cp_A#ZCrv~$F`>ev2tRpK!y-h1Bv+6)st_wFx^_k4aRsdaz zmp7d^x(5y1$tkv>&9u;`&2s!|YlhUn%JMM5C9A-(A*P{?qtLj`^03(w+O$lb?1amV z-QAQuK4~iTeEQv5@aLsr^?Hd1GT}9$c%+5ws&=E;EzFIll=wb?Rz_H7K6llcOW0qs ze!LwHli+0EQTm~AXE%ecapPF=#RAih$aZu@JsdT@iZ2B;Z=6JFEMN8w)u74j%F=U*yHAWgfiZ2f|Lf4WaQ=s7)CLo4gquJ(z!!KfF#`S z*VVn1cho;(9uY!t#I&(O3n>bcHf`W zHY##W9KV&gWj|+)>4iMUn^ColR%TS#YDg{386CREEqibDGS0jZ&%6-M=&;!*zoITb zZ6Mv2NGw95eigKNt)mKMk*t29IOGx`n+)k9_+u_Z%=){v7&U)LWzeEOsB{&MRgUY0 zR0LTwy72&;=k*eY*ImMmMQ9})$YA*XV+}mHutW8gw-}as&Z+d#nI1>3<+sB15hhIiq8R>(cl!yC?P|icO36cTXQeL*b8a zutHCh@~LxQeTyyp9gCsbAXwH~Zhlr37`)29U zBW(N{m;Uzkw*zaIT-5q`{)q?Tl(jx`Nu!luB6GqBI|o60{+@9=pFS~W^d2bxc8xoJ z2-E1pC6tbHgZ1nYcDTpdr2BoK7CXqAmT(2 zodIz(%C@-!H%%{o?!&Rsi7-*o7C{!L7}8UvOT#@BLplrp*|0STFHz`(wVkVF8T)iL z!DKbV@JnwESf+Gk@Tm)cR7Qa|Ig#wZ?cIR=$J`)QN+A`COB;>DEwkU|433uadjxiJ zKoGhm#dnU#=ge6f)rK4K%z$BTxULyX20IE0gw|C-xsljO#L%qcJgp5$0)`E93Kk;n z1_?|%Q7jWj`<7dV<1EH*m%C9Gm1AzyB;1wrIc-Fnhm(0c;jm0&LkO~Lu=TqPA}V69 zJn(fK^OdBzN6*v+hGaOYALz3!ay&mjtB-CKmo5CXA~&vM!2}2ICPv>@`=h40(pMtk zqYC_8YN!fSsx(y11E{G21tUQbcI+P(OSV}mOmj?FT3BWrYSIl^DPg2ZYP_$)BB6*x zPm|x+Cad-K7AT?=1^D)9|ke1*5|7ltW|!glA8FfzgXB^_zSb#u!bH zut<$kiChs~C8iHieojJ}QjI7{Z(7V48i2-UI@uR zPH_FQ5&&r6e>%|R;TZ9Gx&?$)%bdT}m5`D|y50TYE-ru^nKVkFS?JkF6vU7Z94s#Z zj2ELL6)6-ex%3k57Ml2(r5aETDy_=Rm-Z0mTpgdcISYS3qv{8g}9zaz6pZPz4>>lLF045tIs5;O`P0H2k; zi@t+^5E&2t>?9Gc*BMUASG6iJ+$G)m*>9}j1USRWy` zY(GVm#+bSMBm?9|h2Dzrb@B_tvDyIECBS+9!5}ztoj4IL_h}?}p=@{&cOhg@J#vgs z*81WT;7SlK#G43L(?!|PMOi^LmI53Cd>lEZQjOJ+k6S5Rw|PhDh@sWsG&xN|a?mf6 zmG+@9DF&hOYsb!}(O|53u@j5rh)9lcK%1E*e#f_(!P)N4TJ2{MIi@4i5a^^YB~gU}A@f>R31o9a)r{+2dukdk%nt~ol44sql1w~ZQ!E>OD0=$v!nu!eUI~_=h`I;#X5~cPF`8n z;HxTMQ3}IUFB{>PGybf9;!$jDg6UuXT|EJm7S-fKEr0wS+>bfm2MV7x)&|t8G$~%< z@O+3E>K&__AS$&=C8FrP?jq%nOV28|ibie@x&7o+Pw4v? z9h1n5HvN3e_0i@9GRd1tB~?RU0n6xXzp3a%Q^PpYcJ>Xeg&~3ZfT)yxL;G0Gg4Q(^ zcl?pBHZuPGNu{(wNu^C>44xIv0|NmCus|#cG(sLskuqoLScEu&BIGUf9sp5F^7&$* zYpUrH^h?zFX5Ky>d^>6IsjxC6e;R(fwC{m!Zp5<;6pEffy$IxzrpRQ`elWXc68SMh znKJs1szhI`AE`-XB3cKuQ3>PuTlZX@;@y?~bv5p*4l$>jEXkM>5z-CL14%S5^ku@B z5=wz(iMVQ{i<(=Kg=MvBHf#4-1cfR|DAAz>uu-Asl?hq^cxne~DQ0$ z{9QpS)}?+Veh~G1OvP`_+J^)lBt;$HRxe90F+Sy+Q(?ohGvX11@bZ{L^iK|tK<5X2 zK?sSw8HUa`MnedJle8m%?6F;8_U+YaMIsm`B^oK6=FGDb?aqBoKU*g`A*n?e(DWpE zTHCs46P>EPT-$6D?X$fy*Hv)P>BdR()}CPr^m$5D4xmp-R6a(McK^7=R<;p@yc+Pe z%Qo}g9JDzr;NP8opXNKhoT)t9-nn*zJ#88-RWP*nbqTVRH5Ok94Yph+vP)O{xZ4nT zjv=rA(1T7o|HYHe&N}I8Q{#_9i`OCXQ>~GmY?47c(=>qqOeKwST=;N|bnKBGyr@Nt zE#cr(LeX4w@Cl=j_)kI=Ix)z3G?r%j#J(TYvKTxKJn1S{@TYMFpS`-mSUv2j%Lc76 zgZJE`q|Y^2X)i5V2llwGtQ13YSz}f(RWzC~cD~_tXvz)c4Q6oG7b9+dUeuL^mih4& zLuYihy8ty9{pi;qHit{?D9AC!Ss#kD`I(KC)*XoxUQIVp3>X!Oo5E9XzDMHXBa6wW z#YETtb48JTc-T*^Yo|V^umU^8*ueu|?Usdgc+7}=O^H{o3@g-y8d_aQ&T9!J*<;|4 zh0F~G8N+G$H_Ohlh}G2Ff-2j!#R zhJ$?B=0n|X8P?5uALNeTGYzYCi?kb#bPJJwt^YiH2A-9dN3SsjlhC*qs9?s?6e*L?@M6ldwv}3&M$x?Nk3-a%&+A8+*-0rY zBvfSPToW`9H^Ms^+|C?h=L!_exeKVxpLjzGF?mp%R8X79%&@5gYSMq;kfC)tCL8;Ati;#=!@qFI zA-C?A6|W-=-YT8db_v(bAM6$T$h2Z8dJaRw99CAg8I8s8uJL)KQY(N>c~#D2j6wM2{pSKQw*csn#+9C59ik z+?q0iscP0mN2K>_TC90|40JSGEMCl`*;L0CQ25vHkj*{|2_eJQkPrR^d+zQB;P_v) zj*Kk$_3e#srA2FdWpBiyRCpTC$Ui)}R~fRKeCRYFGwP-(WzAWwkacJff)DWUc-ZP;^GEHe%^-I0r z@B4m_=4f-NVa=Q42SJ#{{_-`>V*As`;cRE>-kW{EUi-lHE5Yv-Lh^MdpSl-99E_|z z;~OLgn>ZR<-sv8&xT&Wc#*ruPpY^=KjR$Ry&^&I&HM;~6?eH{*^gV&;l@9-F=we)R z12W5i%)No>6AVrSVOjSVq`j5=Sr#!*-eryLpg;S?)eR6Xb87RKXmaHO2KLI*$6w5! z8?H;`onEaJG2ehEm=6qs5tmqE^H{>C{M?~RjeK&AC+B)~!ZV|MHt!6PR8--ZAmD)7 z)%45Ao2d1!sNYGG)ufo?&Z6S*N-EIa$fHB;y;;A}^;7@y`*z}>Kk+!L8XaFidXB+% z7Bj-&Db|^(_cCxc%z$YwPJG)YW%gJ)%G@ZpMEG_5%Fr1!%my?}+Xpl(>%WHK|1&H; zW1=>S#K*54Qv|;|qi*5YDk>oaH0j&Vzms-AlSt-|hq&7~W&g{soCfkUgZ%rF=)R32 zqJ=bcnNUcX;MW9>Mw$axVtTc80y6ZH7s|uT*75U>%HAoTUzC=k|KmUMiEDQStpp9p z_W0OcDI`hX)7W0iFLP!LoWQ{xImICO&{1q!M?Ap!*d1k=65+Q%bey37N7RvUeUMRC>GM$T#?R-=v|sr=2dL(a{QsB;XzSo0z|d_=|B8R zY_;OU$4e5WI6aeG7VONOM9ySb|CBPq#Fg9a^@YiaR2il;m{LL)*7TMxKFmgNlQ1Du zkqMhj8O9@ryluQmcL5UJ2^hV_4pK&-F zAwerWN?aL0gOwnQ6NV;gw`jXBOo$Kz0?v1MLJCkv$buD4**Bi+0fXAUln)LZqRJ74 zi6Stscy@0Ql)Di^=-xck`Lu(qV|mVCNUeUl=#FfpkphGnN|?K&rn8PgVLC#5kvvKX z!^AiJ91;M*fOR9ZD0jsCozz$rz&V+LoJvGtibyyPzTF#uYWGnTz0(VSP(dZa1`sT1GXb3SAxz zf0a^V#VY}m45^S#^y|igbg}A5i@KHa)h9Bp=i8c_m|5~9@-hiZ38iR2R33IBrU)ZB zUC>u?<&frxB3NrQX|i7hF1f``qmh;0vFnvN%U|-JP~_1T`9W3gpsFiS)fT9#n}ROL zOG+8imtP)jo?j(;qeX?$>G1P23%f!zU=sz@2yO9ynS}aH*(;`aCj1U3gqTUfqYX1K zIJ;-D0L1G>kp^Y=Jm&xF9`Tyh3Q8Jzgkf~{@`ZksLlT;9Xz4dh36?TzD930Vx`e!b zXFwD=?un~SM7GxX0Rm;&pTX1u*sxq80^?F_ZzBje`Y-Dxv_Y(nBVj9JL;5$uLGa9A zN|=4Z-6mq^cgDOahi+F_jjicpd62lzt6KB>`h67_fUNt8$bgsT;WW^^! zD8*pxWkv-Q*OvR!H@2k+>QQ#7rhW zfW-g!%MO4LX^D5ks-7FY?Z}p17*-D83Pi1b0R(V>Al4-i#0mt3f`EV~Px@8!+-E6(*-m4@O~hFaDl(iwFw9yyV1F*zB%@FL@N#)kYuv|`(%wAZ~6hR1Aj ziPp^p6d6Ug2J5c)#fc5uVUYc6@gl@zBQ!#0(i)0tWBS8#W%q&@rzLkUp?+QXAE?#l z`XLTsea3Ng#g+s_y;|e9c<_&eUL5h5o^zoD#cn`>7B{|o{SVHBY;HO*eUb{%VN>?Y z9(DHIBl+NRj?>53w^)CH)(zuJu)j2`&Lo8}2xWDQ<^}u9vD!QOlYk)B7sksa3J}5C zGvw?37hi2{3y=G|HW9oGKdOJ(c>?jDhsWQ}!#hX) zJA@9ejJ97u%g(wh-@h0uu;(wv>b(u~zL;`ow5_v3EZ?E*%|Rh~G3SESEaf~F?rZ-a zPsqwvPiyZU&uI_$*LF$kWu}rg-3S~Xy_NU4Ju!7x)E1AOmC%bT9zivA7^7&My#J9P zTmSFGEy6DGxmi|Oa|#cgdmtt|RI+~pcM#+#3W&50Bm-*zk=EKE(s~#~T2p{XYa$S3 z-KhqHzrw4o62)%q&?KtF zN+n(P;G{#I*hDjn=l7*c8(J?CIPm1=x%KGmeS?;4=bLOWQOdQn9`^LiP2cc=rH%wK zu<~`kOx!ySH@SZ)tmJAg_YS-*7EHx4Vdh6U3Q{>KDQAYyyL&L%)B_5<#k94U3Yqnte$n!5oNDdnF?$r`4+Tte zM+UteWNLK-E1NxyM^oGJ~9xy1{f+JjfP3&-4s(~?wds@@B8y?;E4{U5r z5WHF$F`)ivZ{+w_9_P^=PyR1QiDO&IyN;GF2z9N|CalT$m;0L#@^a6=?-Pg1P9>SO zd0}3+-Dq{LRX=d1^+Ou0QqaWM+^r=+fABj>4G`qci|m4}AwFMbDMRuXHUVj8(U|Cm04n>~6tI z0x*^hp1u4voQrA~wQsjYGW&(^5 z1x|pXjr5|gT%U)$r0jie>ISVy5t2D3%uBScj8Zs%7Ar7)XftTjzyH@R_8KLpH&Vr;PhSBZD1?cxv0=* zRsW4&Zt5QI5{MbPwWrOiT&|QlkJ;1|V$}%CAdpT;@zrLC8uA9={F>=FsRg53ubnV* zjdutzNbL6xs0I7_ErtgzuDSH>b}<-y%;kKr|KBn=0dv@Q!yR^$-9`@rX6|bzglz>5 z>gO<*BM~UX6mAHe7Cf%)Y_j6zaFR-M+qN{ zFQO~Ku@S5kU$8)eE43o?zE&<0#J$CWuSX10Pf`(+BDVfwIW%`e4_;Ym9~8rNs6aMh zf}&xKm@1I{5(0MZyGRLL59S)FikE)2v;X~^I+i-y*h<_HBe4iJ&XxXvpnCY`7jNM$|5!0^{zr&l#!f zOjADg_!Q_q@n0z)iJJj`1grypAE>gPE$Pp-ZX8-4dSh@V{`OuZ?*o?oZGiyEoNM#7 z_F??j-trCMp|`5?|2M0kQ~LmawWs-+=+HZ{;%~!$JD`FTQR>e$y`^9VYe)Ddf#f#- z&iq&BTtUtd9R25`YyKFnw6Bv93e^M~k>Ij{zgu*4NH7VlEcdoN-S-brh$b{UMW%@=t8wbu z?$@4itN-{45?KuzweuI8J8~;mR=zW7d*5y{IivyGCfq~$n@w*zqKl`ZqzN^32#Bn^ zC;JUkCnSfJfKEGZj*ii^TqHp-V1&J=QRDGvZp)e^`g-~sF6sxC}N?ToH9!%;eFrO#h5oMWs< zjA%4qIHWaJ7%2_M9C5*#)tupY7n8C9R*2puYqwF(?? zLOZG52#7dF2KH9q2g>vqQP;5i;Z~t=mF+2{WMcc{j!%&|)~dVj3hmbe#m0!u@Dvu( zHT|;{1Fdd<;@*6R)K9U<;Cf(ST(XSgSp8M}XA%t^)gu0#wI6vvak`gdvq3hRO+B5CO!MLnX!0>d`*z}G~&)Ob^`tzFlGw;UEID$_=_jGjk z^zN_jwKWR$KV2~A5R1C-H2sT*Ba4TZExNWRXtdt8wB7`E$KLA^5d|EToT4^R(`o^w z-=a&u?eYcJW*PQ8L;dz5`Gxf}WC7kGuScY<#{|y~ z+x+I#Fk`LjFg60Ku@pJ>e&$9+(Z~sJ1MW?72x*E)^)xi6I#il7k9C3L+`K{h98Ov? znKe9utS8h&xx~J}Pu|e(aeur*p-NRmu91H^(h+#s5$*{Q5OVTzenH9zHGi|d+|u$P zLb@^^*zTty{J|^A;{+8d@C8-_>Inu$SvC}nf<(^=^;Zu*-s>K~HY>RT z{FcDM+!!)@VkBlfxvVUQNy(;2SNn%Mc7UV~koG7nAr>2>l0ag+jauK=;9x2*zE63yGlpbqGC^^vgC z3UyuIK>bEtkp?hD8>fNUmobKnWc{qJ_F8&ga`u79_q-WXED zJlvRD_9S<_>Y|f@<`M`@wkqLnMrM+3&5@Kms-BE{#wUP#d3ZTjT4Ofgp1ARLgJ2v9;sNGo~A7TAcL!rR8Deg0vT>O3`hQ zo@e?jlwKdOLf1<_2IdmY}F~oUdCi(k-^uD;Ov!tt7cJlkbj!A9v62Df|OD;s+XhSGAdb*SDP%PW>I^ z+F2qO419@uhVFN8lNz61ZAQrPZ6FT-e^kxp+hgE^+%srJ0AMFI{(=dbDIEOC-ZfV8C$J;wi=Pb8@=T$t@>ZP^$2`4Grr`OYZ{A)*s zqRm~UuioB@NP(Xh1?d5we+>M;D4g$-*ko;k=O0c;$9fO*wm>4mUQvz0@i%l zeP*d*;dghvYDm_XLN>VG291Rb$h z2BQpOH-oVO6$n!m!gX^kme^P7abrGYA<619FW;PhO#S1KU_`zwxZ}{cVof;i)Xb*Z z?O9pxcuYuCWlg(fm|SXVRmDxEWwg&MDy**Ic5aAKx`bWD4Fy;d!~sj}nIVSR8erMi zG}^zC04${^h8PsvfMpP{C`kjB-XlZ0*}9V;%jo2Bb{GQ_oyOFco)c6;Kkc<}Ck0)A3~h;m`yuhj|0I%>U*c z9o?JCIOLuK^7?huXgBrGC){3T+@jx38^|+e z4VOF^!mt8|&0r6^*(uR_=bhHia`ABk)9wvGn9rs_7#1K5#d{b!AWZvvm?p2bm4$30uPt>^-klMN^5v(P8ei`aRltBB@C?Wz!-xEc*x-uHhy~F;Ahly?d_~Mw%wNbwl>kPnY){iDm$y|f=JJU9Rif!{a z38UEr0m)9~DB_im$MqaUKfMDwHJj!&YekIRF7IkH|6_WW)|D==^ z(To1>ex(JtOWSryPkE$*h`Ji|2c&K3IPU5=E_Q+wHjHDvM^nPSP^#M?15vD#NZ8Vb z-PML&YzIe%4LAqiRKng=g1@8-NaN{mMxTs0L|!6>Zb##lHsFWHcgH2ymL3l~M+VSCJt0lK$in|{zcnqXr|qOo z_eC)fJLnzezI=pJ#(Tg?ySybiKYdQrH!`@I$wit&Ea|a4{uY9Qs~GTRKL!;hqRS_2 zDDj(){t+2-2!fO}1iW`Q0m(o}Tjbp=`PXdnZuZ^(YnFUBoBTBozncXP|C;6B&HjJQ zWMqK(?I;0Gqiw$;0keo6JI>KH^9$r6&tA;~d*f8K+c*3(8&e{!i@46o)d{KQ4I;OY z*7Ru0ZjtjH)_81nZOn>W#P;1;QA2%)l~Ta%TmmiJf7m2j^CFH1N0t;4Gu7su%>7Qb zPO-R8;T^u)G<7Y%O+i2P&D1Df)KWTovoTH7w3EC9X-yZi>?RwAS~I;X0|*TEnHq%v z;knPoH1eGQ-kMJKPQY3-b$ut;0fhW@t%i4DB@bYB_1l>GzcV3Q(_btAChnT)V(CB3 zm7M<&-2X#Z{|`a+zl5y+5FA|XBpo1OdI;Iw8$Bf>Xe54v*K=jAODo|C1$+vVT{zQC z*nvdt60{C6y-z@=h}-&Lhz88tDr)lsM?%hwUFjwr1|?m7TV|a&C!;zL=zkhD)pKQ# zWPXL|BTl3Th-p3>SCLM1F7%orHNHo^G9~-9k%w5hQ&YZ1N_3s3THl)2Je$7iV9H_^ zd<@kSsBNIUzb{Qpi{i_m$ks?_!wK_9arxwK$y2tzI7@{kH!F5`?J;}C`wKcyBevhV z6??)H{-ASMf5xb;?G3(%b56UP^R)gdnK>hoOT$t;kJG zfd`Hm)W*juUJHFH1Qc#0kQ=LLv)co2nTBj^oyIq;n#pWSS4geilzk)Ht9K{cS#V?b zTI$;AIBi>9BA4=?Hn;QB#^?FzZ0Mz9#tk`BuP-)gQ{uY+GwZ<4@pbCYf$XsZ#6By)exEdQ#B>0lD zHuiZvYh>I^`p&3%O;5Ig>kh_EOuT}zBQd_iIXZPFg*U=6`_HXj^Jx_sTg)5la|`19 zZ=Mt=9s1PkeXpKJJ9M8f8tzNICpBC>zO6=rW>9fLs*l%HMe8N@Dq@}#crF6?3|VwI zKL!JF5b4j8yx0T9#?7kw6ELQ76{8GnZwy#`l|4r%u}ByAzWS)3pY#?! zrajBy`;_AKYB{9XxA%?Skk>|Pc!*1J@}Is|O(-L+>ROaciy979=|);G<lU)9a|e7aZsPc8__h|W<*_4y15;l+ z9M*E;6;JP?qDCA&C+P!zl1`pZtE*}qwz_zR=q>(A9(-IlRZb+zVZb#p)k^ac8NW_X z8pOZ%$~cWaoTH6Bc)W`~#a+0z@ZrdjzwVrS|GMt8`9FVuDO&evXO(NF4)`1_ShXamy+;-irmlS!(e zmSe>5lrn-X;+>UPze$wR(U}(wE@E0+OdK%^A1l7(Iwp`fb~(g_7%hsV400_lpf^&* zcz@0ABXIqL`m;@vB)|T7Z`;v@RX`i_q<8GuJ_~|$@Nz`)W6bDPQt0`os!ywjUw5ey zMyqPqV!k9frP1rZKNsCwrxP1W>o_o z5!|?|!3eFxWxFkYO5K0za_?(HhCI8i;)I=}`QZqcby_2t&zB&6fvgE&t;T2a`&!)F zIwAS@ea&DJ3zWe9B^9b?>}hL6!aA&pER>T5rRN5-%4==Da=}iHB_-2ft_$^TVL(8ohNLVx?X9c6-gL%%Eo5!&no|0~rtQLmS?DU2Qw zf1JxUesz`sfqIl4fm<`FT~k_BU{q6_NxSvKM&0u*)H6HI#ItSml;>sw>NKKiUTJ9ca=w7a@TC9IJ_p zkKF~HY-4C|y~#^1m9~&QfsNUaWaZabS(gB_Wot}S)N*y9V_Q^+n)H5Q6iXxRkFZf% z82j0kDQ#$|hAw0A%TiP7d5IC3K+Z91NjsI~$**`d9J{KV5WTEHyv6=(<-A#5ZCMV_ZA!I)Vt z#JNouC&Nvp6|4EZEq#59UzKx5w^Y}=_O-b zOk{_#Z1#t-xDiizL6OKUrC$*E2BSG(@?bk)$_;6p=?`geN@<=Iq;R8{)d!-OZHs0N zBZ_DJzZ52|dZ4Q9A?snFo?x^_44|I&DZ~2*n(Vadwf?kfXTugpD5I9y@RjfQQ7ckr z*eRWyi2p05O}5VWiifc-gy4ei40St~u5`8)HIS}ww&gXDF1NP2B8sqJGMPkG!@yzU zRidQmov;4vtA>?&ctHytE1;vZNtq%?p4~Kmu|AiT>IPm~=6&9T1$X+P|%LNdm2&QA7gv41%DT@4LMIcJ(f(WC-72=W{QnLgM={5vs)9mlr- zEIqK^<3SlCYycJ1C+v#TRyZ)$0ZskE@OQZF0G_x>AP1C^m|y8xiF=NM6MP8h1O6n3 znYh>%MR0DEfZ{bZVj--ZJ;b>cn>%bpS!R=vR38L#gS%}drlj*ja@)h;_ark4-m zf+VtSYX(ZF>SWNMicG)Z#C5Q9nF=PH*&<@2ZM`><8)VT)E-zu?B?Y2{>?yoNw1B%H z>U&f~36_r@TSkzissv0AL>U(o>Z}iGIB+Jil;a}`$OTANW=t)a=lrk36 zB`nfMWQr(l5DO`)%@oSgHk-{kfKWbC;zW@*-AR z@__;i9!fyIQjO`6>HEG>b$M!b3wqSjeyDkbI_a3219H_2FgVIdVUEQbiaZrKSr=9C z2UcZsa~oyzzR@&cr7Mdqv|kyjWTQ35B43)8nQMyC znR`h5_0gXU%&S0$#kCBIf277>A0<$}i7R8Vp6jDm)MP%XJe@SlhiqhT@Xm16?|3bi zjaG=-(fX;(^=ncDvO}~1KSU=)qe*vm&@#eso{f8P`wfX3NMz06k0eHS(i4qIxD)W4 z#~#q=a=5meLj^{5;xT!E>@zH-wRgWqvH7Y5P-*mmjqC!O4}pK=C_di&XC;tce@1ZM#nIYc!{3P=xnY~@cvw4bUSa*YC!!giNEXkmqd`}r z_F3junP0;BN0}B=_Bi*hEh3Odo5WD0*|0moi!zQt;p=z7qEw8$K*k&t#EZ6J$0++8 z3Z@x{V&)j}M!zDQHKU9!FOG4^IkSc=U$TbmVylV7e0ULU3Kp@1V7n=lh|^{o1I^2G zj{V*fejF~OJExJ$$cNG>D70;t1I=6o22V<_h`r;U3;KdF2*UMM3CF%!{#&2WKe|b+ zBqtMmn^gx8cD zR6n+g@Jesi4?SRt`Xp9b?sAmQ z7Vm7Xy~ylRgxWc|)5;1t$`~(y=XBL}asJNC<%1K$^eU>hF8yF*yBggme}~;VA%|#0 ze8DuqG&9T`lT8pQheGZdwVOsNwfj}#6VJA~vl5dDD%J64Kl!L(5BK&-v3is(Nr?Rx zXG#bg`WkTbBoxbY*di(k9F&hliSdQAGwr?VJoQnGudEO@Lsr{%=aBDt9KIuJyOGhz ziZaO_i?Pm$i&&RH+1R_f#m+uh5I5q8DaYZVdC=`HtIi$BjX}pqvh8=I6B`bTqM~Sz zsz>!%Nfb0~NZ>h}(o`+sGU@s!p)g@`!&)AoBvG4kOvbXND%>qcr_U*Si>-9V1UP1* zKVgIVyXk`#(|uHLHqDN^=|`L#n?(sI;LUd@CxAi!RCX>qFkYN-dK_7&`*!BFvJr~0 z6*y~WsXL^AjK_#Bnm42Xz7VIPO`HI){4TgyilY{u_+3X3Ds*eypEKSnj3zz)G(e<* zql6+W7|6l~NzNiS6o-@@8ZveEWjqC8Md7F?3g^s~L8<3YpU0jWOb{hPikzqHN*5Zx%7v%r!V+#@bo0YRMf9KJ1|mI{VGz7iKu7NFb7HjAE^B6 zyy+LBw4Wi%P4|>0zZGZ1OS6%rT8h6LD{$IXSc=vaB-je$EX9dJNEf1nD{z8USYXRd z(Um4Ci!u}?*hHuolqztFRam6TO_K}>0GkwBVUlGCdOUg@daR0Ku}8%Ge0a}P{6WB&yC%%vxVlY@**aD{KE>Unz_T^<1~`lZIm=nd?clx}y(5K_4nt9Yd} zP{G#Lq2+OQ1hu!4ZIdW%*l68B$lAz+hgL((XyY7BjhMSvRbWn{wodG}eh!w@_}Wf{ zI7qcEz(bDBXq42(Gjxh$bFvc_os&$R>MBW8tTdpsQKEjOtUmb+*wdn z&BMP1ByUYl1mFLXy%$y|4~+{>-kP4EyZv>0BdnfZsKlf09w5!4Hs0#?9nK&fLLO5! zV36s)zZI*0T;Bo8P4gS-S!erbcXyvoSd>O&OB|WHyVIM8=Vb>nP9wYxk#ybd!N$$q zfHM)ZDbivH!K_tr15En(vc>aJkg{-aFKu2r}$lW%R@W^ft->m2uXtyp$&@l2V> zm<`}_cIT6}3=^2dECf`L8UeQ)_d2auIsh8}3%?;q3ekj)tpOdX&*q!PH&idbcD;^n zbG^>1JZ0xY0PYfI6*!cB zO|H#F_HfMG0HhS$Cx_~?kO}pD+4?$r;F+&C*t-@9O9_az=^`ijF?C8 zO&i-0o7y2+L*3b5+bT+36R?zdRX@bPP*et)53JR%>ek@KVVKBqElHfh?jB1PkcRgc zCCC}VD8{tUwRBIg6EZ2O348tM82EDI1pvil zLzczi3mG)_A0`rCunh^W{I6iDu&Mo#&{o*6)(!cQsnb}N@)Mw3GeeiMt6irlEU&vB zuUzgLvnTND<81{dtt(bzrGDz$0h7yqKh@&(`HElW7GLGwK1@SiB54=CeFuF$M1OOq zeshm~^Fn^phg$UA2YH2ycq2}JD;V`<3iGXtKksEuesf&J_H#6q&dVy!0C^EqgM2cm zLdFb2p8v2?Hegbm^tq1S`98!QA={}Jnj07G>yLfVVz~8gZe5YXEQ)|B4`-wf-Ug@q zPPYN8qpV)h9~y4QLaC!BfD?fYLbev2(2tcpI)GE*nBUpjW_7gI01+@%Qw+rMPl8+T z&FK!As^%d)Ix`G|;^X_t{3AQE8o}9i8$`%VjYaziX3U7*`EbheLw4pJ$T%p;@9g@>g|?pdU!CWl5i9UCV`YGvapk^C_~Z zGr7TM;MOgLnbSgZepgzDpolWuRKai=5qJzVH-t+dcmHT7FG_Jgg1Pv9KqGV`{FV{t ze~?dsN1M3_IOJ1~IL?q4bpwv_<0T4!qh4m*$HM}S z^e^-t?31whhp9t+HzMBEV?9?tN}n`+WLHH5=9e5#lDYWJ~<{{eUY0jYle1!WlC z!Qke0=obY&tA>8woAMq^Ojwe?aj>u7j zz5J7P|8G_TM!&z*@~L;~wguE*5OwVzu=^iS@^74NF64i}$$vm8&>u)H&E$#`A97DD zw?T10o)zS+m|J;&m*-#-EQ0nSxipc7e}|YhRU!KQn-Ceqr!1FEQi0$>MF0+o40J2B zLnCo$&tKl9@wXp30H(5~O9LPS9Fi3Pa<3%VH<(l;21AX4{1)-HmV8)dUKqU!rD+2k zmlN#kO)4Vkg6UPy3{q{LrW-zdJM5%g`d&o8QkAkxwgrcyC;@W+ixHy1B@GM*TgG3U ztwc}+Mw#$s7+u1G5h5a(5dv0_5#s78O;`#9D~l=k8Q+l1KQcW{xQf(%22nXI8Ju3u z0Jc7%PYhdzh|G_M>@Ok)@{UY-F$JqjZownHD{>}`fHE`?tjJ%2Oal{T#uS`|1o+v* z0H`RuRFovVWL1k{wU|_;A=RHxRnv=~DV{aya`e2oUmOcrQm;QX$Rc;K=Qp%PI52UQ~ayiI_N8OlA2E4m7ij#ETrk?&+YtKb7DY*8s`us=i-^ljaz z(TI-addo9ajl99Wg_}KS+XrxnbWqfy&uy{(1VS2!^{p|qE4K=oDQnxP=!!?$5($LP z<|K69=MS(6l;&F!5kIz}NX3rQ?s5Gu*C`4k4E}i_EWX9<#+@v+Lh6YaLpJs6rgps60g{T_2 z2B+3B;gr)F_mLrr-wyDvhL>55PFqEGgu;sJTP_BbOoCqZlo8toI zQX9g~@B}Od73j}r;}Hq%3Mzp|f8Y`nCc#HUZfY?`K)xjKDW>tDX$ebJxhKSB6{~|9 z14TVUOdwvyPfEe&7u*w43WDM;C*Ag!`?#SGEv5txMN}df7+0Vlf?j@3Bm%pDUmc}+ zLO=CXc7v*v+~R7yEZ9&$IZz=%W!DBp^@SDPabL_U4!vYLo*GhuR2o~nC(JE^m=|91 z7eWSF0x_?>q&HHvWjy+}5JV{SPq?~}us;%~jkhzn#MGt5hDg|X82n|Lq+;q_^Vl$O zk@Mn(nh8P)6;Z?yzw3ybnamX>B7O@NHO>4+)E6=l{UejY9N{!f?d>BvOn@U)nUeA) zfVqGlK8_F@=pjx`U$F^=0)v=)w_lJV2D-pC2$dQGJcO07#YJIj zC4Vp$&2s?1%Va)^P$dnFl6O`&joR3vmQ$x`cJ!Od*%(uiA&#QtgrWU*rcz~Ia3SrS_~t^ENw)9#yk;U z!8zIxADipoU|bP2qDTaBm%apowi6Ah2b36!ZVd3|jPYP}vF}O*X8Tl$sB546*n>Bv zB$qXoDCkw6i6V=Fp{-!W`X$mn0?Vt>MfBZx=1Ef){O-Uh5tNcg+{mj3COVD86fh3r zkEMR>GANVIi;W_D1G>s4W3`9NSzm6vT> zRET9|$+3Q1JYsaAw$*YW3{|~|0q4(oOOgd!-$_dZ3$u$DKSuG|`GM1!D(&*|Vp2u? zHYArMnvz9Wr2{td3WIGN?CB?sZVt~`>HTjpcL z{hAIED5+(5VBXki7$jy@_XT7M+`yBy_YG8vq`Lu<0|gs@6&1Rex;kkv3g!ulOn^8B z8{*)1F$5YB{|Vk=?GUixiKLt$9b&O~=YAsIf_!CKwG@X4lLW=(>)WwcXti`j^j}$; zv!`?j%pyb|gQDk?w=hX1QMAE<1u3-L(m+133eE(zU`wFkZS)d~twf=^=Ziw{C(7D1<@150SggGVFh z77)t)ad%kEae3IOViEQVzlvnhDSY0EqEq7ku$JeC*&G^*FARphA_(SuhfXS}3yPXY z5Cm=>Nr0O7!Xe?O`Wtxlh)p24xhx1O^y(lQB9Z?Cg3_elD6TNr-_EX|m~EV31x_99 z2UMUN`xAjt4F?bg1+Ic9;w&L$WCFnfw&&eD$3B?gokRVlEe8yyC}aB*)&N?VJHX-{ zL4F4!Bw!^XK39|}@rhYh43hlO`+E*Adh&V|((BZn?@xQ8g&9@x#E&aSvX&5^x*f36 zoX4YTBa=W$yA?q1Is_N9kBlUSHY-R(@88NUf`+(Y6=U=bEP%df1)6=5I)Rw;dIa6P zI4J|ILE{g);@9zzB53-MIP?sRBFcGD<}MwevCR_F!14=B6Smi}0DdqzRRE4NsTgBv z2oT0J5j}sOmNoPaF9z7`mpQ7bOEoeVi>eL?trB~h9-NyUEnB%&K0V$6$05RSP8 ze?Xo+fTH6Rn>mXx)K7zcur;Rd{<;cmyl0ux$u-rJCQ1XYb%m5*Erpa2ux{wZNL9=A z{1V{_2|?|oz6oLDvxQ;H)~X2-xDZq*sSzTm5V9X|q>7>tSX;e7f8x@)7`(46`vO{w zT5#(pB^AzomX9J&=N;4qtEX%|8y0*6yy3YskE>yF1VFmFZ7kI6Pat9{2W! z#}OD`Mo#rMyZAO&3FqPMAk#eUmO3L(uUk2=)KWc5Yjyd37x=y*w|Kqi#x_oKEMcYg z{^EPOepv{;*6ZNw?+*F%U<_t2JKZU$VP&|6+|ZW%*ycL^`dirP%HZ%Y;9nIz>1c=kCoDjGf>!5 zK0gBJ?srkvT((VX>>C||q(R1X2p^X~m10Y~IC8G=KgzQOipBQt5U?D@%pv3|FWJf@ zuS`$;p2U}v&sj$k_eP}X&dE~XbKiWpzP30gqR5e2d zU!F@~HT6-u+LOgpj@-`A0=6WMZj{ysET)8ILi#>x0gC-~uv@1Vd!doj9OPlDdc5wp z4LLquZ4diTlec;&k@pw$i@7xn{JLw}&knDTo}|Nn-91@B z>HJ|MsFS9O`SDX;Yxzt##Cb49Vqh62CdagfBXDJ3a#aOMEPjxN%4{%!PV#|Henv%R zuj%ruvyb;{;LYW6al8cM{tfQTnBZ53-%bL?9AAM}1S!_2nMD^GN&e>?>+ylIX-*nR_q0!T6O@E#XTUMH~^By`Mdk$IVWqV_XTDs zVj%Daw{6jN;0D-w@Gg92(@Y=}yNhAV zF%U-ie^n1YW&q(1RKNZLm`;W*D*!X5a#=`zn==Cc1}!FYS%_hqGyKm534e}nSI4Wg zQ^`p$J00v&_q9RqM9N7N#!*bG`9O;RgEKY|>pL^oEa9Bcx zNNPU(I^kBA1&CCIgic5Tm(Q@f2K5hV9o(vt$XZ|59_vOHM&m0|EJzk^+F^lOji~_U zd_K%&9$`vMVQTox&sle;9M3bh4B~60F5081%Dr^{VMSs4!saz6R=&V>>$yhvuOs3nT`>P3!U9Es*Oc8rT20u}0rvBXH5S@#yzU0a2i%?#?3rCrWDp zU)_5v>^A~Y5Hq)~u?cB6zMH|QZe$_P5Fo^ZM7CaO1SE5eayK1vk@oFWd!^{_Pinyb zB7z4RmL~Uo{MrvJS0Bs(C{h{-KmUQ>4t(Gro-CEG)?n^`L)_lmYcp*^R^?eT&+iJC5x!nA z%aKhA?O58ANscyBUsk40d#Y)AgUjG;L3U7A4=j-`>Ks){0|V)z=m&t&noa4 zcpn#QC1eV2iyZt7A$p41&etGuT%K8& zcmkeN;)AKI@h=vZIrv$>6&ULDJIDZF$V~hh7&5cuZLb;_V-XEBMk^5VMlL}4K8HI< z>|QZkA(uBBT#?3vJ^us!BVl%4NWli;j9*S*_twfrm~B~7JeAokcvpgYs+4>mjVqX( zRJ;ZfxrMNF8L5!@FVrz4u1?qLMkz|Q@u3uO$;%#$U_+Ok?RIY85GMGEsZ)1$A^%~+n_D{Q7L#;I^?PhPlpI8 zH-yK=m8q2}RWE}LkumLx_V%fgsn9DQgqfftTa~Y_lD?xyeDTW14jRs+c6XODfgPsq zQGg#1MpK1T4fwJYY>1&p8KU2RYYC_Z|EQ1#`RPr-5v%1?xITgbJ+SU;DhY#pxlF$L zFjj<+de>5XYN<+zLe&o3FmPzN{PsWjp)uFo>fU!{o&S_`;q~uw-1z@p4$r55m9sNh z-o;zY{I?oNgUbUI-5jO#|7Lfh{-5kb>h{xP0m=INF8?jYKknbf2>#zn?UcJdq82UipM#YPut}tb z3#oKP%QIefNLB4r4iQps3{j|m)ge{A6f;D|u-it1@2WwmeE7%|7Qyiw=1wOy?2A`8 zw&?KcJ@|Ev^bmEAD15=rq-TK35*hHnB8F5HQ@;mS8EI=IGla&FxjRw_xA_y<$liZH ztPvWqsqf`b;)bo4Rj(Cg=7&23Z;6DpLsZ6zvF z!4Y6#jLr`*agu)E=1sz22A*wgkT6B-AXr6&{wlZn!VIK9uUI?Q|Gn=#*7e?ZRw^I( zg7JP8(uN8OGsG*w_F<}$$X|tJOB)^NI)9kjBm^#AvciRcPI=!k+`Bvc(>tzT1`l+# zK|jd6`%+CaM!omP<6yr~E0;^ei@G3NyhYx+Utj*|)j+s==xmR$XwP+N{{*bxFRh2y z;`aQ!(9eLykvsYS^wN&tMypIu)Yi{duJ#iXu^2e|Zg6XTy|3@Q>;e@UTim=~HG;qY zefRi=Ht?SMY+ldT3KCWXE`H!je{~#bo#HwH=-l-FQseb;@_sp}m7UOQyxkw1+#tEW zzI}$mfBNKQH^}LIi@N*ZJ-gm{clf6g>9E)9@i`ak0(eu+y?`aZ!|kC7!teMetgk$) zpSTz1O(A9v2Z*Fh+Zp09h8>VYSU&igb0r{ zK5zMr?r4|Qsp>)5lCfgBjoFcjVtbPr5i%dCWM0mc&$RGI`<4ETLb{k6F1@Z2xjo(a zr=QEMzRn@SMn8V=$LXt6Q6S|7a(3mJCzRs-q`v6261Ga9qQ}KDFwUl$a5o!vPi3NA(IDG?*&G60yO@ z-G&C@^^Bcrv}ek+XPbcaRy8*GQOBr%!-mW2{(R@zKVNw^yG& z!Dr1H@%WU0d#ucN)<5<`Mjmx3_?MfUwX5SN$86ah5L28#)DhoFH2IVL$BFy*skXd` zsoHo^wd292C=vo_i2IYNwqAX$%&yl)qD3z|-(XYZ?}%u8jt6_l60|GnR+@~h5+ftY z#+xQ0h}$Wtao_Hx^+U4yttM_xLl|%)#|fTw(T+Jci=akUtOPcFESP--mIlaZL$jNl5zaw=GlL4WM|LIqB?4z0x;U^+P= z&P`M;$!a1-SNn*zm{mtbW9hz-8+S*V(NK`G^a5j{P(VdP{W0`IauelD5(Y-~pubc` zlKJtXk^JtAxg$tMr>|`eigtF2sL}uy1xM3|PB#?PvCi$&sJ`jdnb9lodOMzahv|6x zr2Eq0LZjieBW{Cs=0I+9xmapD(RedE){Wx+eNyY8WOtbN*BfxvMT!K9RC_i;{@YN? zJ4z>-D$4%lj;zCV*+aO4TUI{ojKUhdJ6UZVSG<(IyPYf+bHm7-PqzjM)B`(GL9g|Y zCa&U+9VDtaUETB(tYl5HUXyqmR>6jpsLbaKrzexlQRWVXBW&y%Y_Kt!;g6))YCMePkRMUDA5ctj_;*>V>_%TX?X1Y2l*E zANBiE@%r>3PJrf<_`^e4`)#l`%R6D`l+N4cb0R&%YNG#&M%4Ox+aD^1y$aoFVsVKt z@Sl$D48>}%4S@LfMz+l*JgVfdaLv2dWPCBpYLjBg*YWh9&&m%9zCTK&lH4h?D5{s? zdlikNc$TMA(#|9D&+galxq6o_Dg})tMqu+V?%O|C+!lK+v0c^EhwiE!4kmRzc#Mxv zRfTMiI~FtHu+h14oTp64xXd)(E3ZX&BC#mzIQQi4*se{crk@mCZ-2eIymjr((airV z)}Mzo3-4#@$KGE46%PjqPIryg5k41Ah4L+qe(uP`j^_S!r~c{Fv8^HNiPy4`w^vbT z_)OWgL9EMV{2e%@qg2{|KGG9{&f5& zt-bZpAIN>TT+tp~Co2Z8&ze@umc3uMJ2y{lY`W_4?a%?J{AQ#Y*hiC$C-(Sye7(57qg?CJ34o!^**|sI|L5M?Po3RhP zwIT<04Z$@Pmx8b}?SUN5@97ld!Tp1nVm2hP(wqaF%xEW1g;{0?{_O2)K+XMi zvFo3?^4-eE+x59K3;Y-foL&MS?w+5Ox^-De4V_ZRFJ5jiw`)l@wc~62t6vD+h&rRU zPC|{_!^NIf)Ek(PLfP54n;J7Ux$Pg+UG`KtAh-vZ7R~IbC_*nhKiu@AMVJ(!X6za51 zZTZW*4b!E@JDd9+hO7LuEEdkCx2Scs{hC01Y?N?vMf)QvSxXh?xwikUV`znn(>0l- zHH70@d%(#);^^GM+D{Z0x^q1L@7Z*Dy65(OI+NA-hD!~8c>Yt-xYT58+hLsdKHqj;kb_87yziX&HNe*fz>h2G;~!F!u0o)Q7#ayD?}#%xMFoB&^Q*vHE`U`e#S> z@P%oUL_0atg$~<~EqFV62rWG||A(t@4ALZOvToaUPusR_+qP}n*0gQgw(Xv_`)Om^ zv%S0DkA1(2$f&vzc`CE+&r>(gi2-)f!~8c|e?^d|-JTQG{Sqs)1MmPpC9^LjQ!ST< z)yq+)do|K-E#N`3gf{745=Q(|g*{!Z0=IDpN>@aprgP+F17-&ifAw?$OW=9On$;03iB2T)FCJ(N?bE;6sB%yxdJ z&bFZMD<-B6xhVFiQJkD6F9CHF{7%J^G?= z#Gh6u6ZVz-diA}33Znm8p($u|GYcMob834mUdw?S=kmA>BgNj(q0=1XCu1Ihxs1ip zznK_0cxaCvqE{>`d$j$DAxaDZ8K(y4-vn-4HcP?s?8m%u7H90g1o`Oy=BLi^Szd48 zx7D`*WxV#SCfl1+`CXvFnz?srrNfq)Nc_Coj>F?TjEUPNlp+*w+-_E1rmN%by+&rP zjpjpN5!jn$WVd-CmHt~(3D8*p@?tIAYF2)xYD^&3coqz^k&-l}P&m>OWjqi5wt2NF zKRc)385t}Ef!?6ul0wJB$A_$f63vIHI-rleo1Xi^%LH1u;UWYMSe-GMmRH~(2@Ux{ z{v>~Z>bSed{CXUK8&YQyy<{t-Xp;^=PWHlp4vHrVJCCZ4*V5=s+@1#G4o54ZDC0Jl zdltSSM?J?pO7;G!54v9 zOc~-gg8c~F0VZEyDna6_yh81(J9-eJ7>^XbE2&a=t%|pS#K$9n8BEFIKd|BMDnf`y z4%?R!%fCa%=Tn0GpR_!MSMT`viVzb~B2VR63STw}ft5j~A~@fyl?N(==|y-q$5=`* zg>-QhcPr9>$Fl!PG!{|`0nhwNL*ivBpmGpA58>t%e;ueMDebE#uunKcT+KUS+^JT@ zr)u@-O%k%z8)7?idK~~?&CX2r)4Qsdju`Ey{uABV=acpC`DxxUJEwTP_~&fv%aD!F z*hot~x!-ojl+7VY{?d66Tji-gUkM55MPUjnr=DDumoDPPhM@O8DZIaW zinaWj2scyW4Ubfa&1y-O!E>TKe2EH$)m>SZs!J)sk{{^Bh!hT91^H@EdBoFz;AM^T zqJ_2ctdX}QB7Di|sL~%r$CND9$0UNKp9%|7IP+j~0Fu7ryTmP!wxOfFs%*d~qo-pJ zyq(JaB6b>NGr%~()N;M)wua}53$yv8*-TjtgS8w*S{TFeb}11Y&drqQEOCsM6++WY z$VI4-)D(EVHk{V5f&`Z9FPnKG(>gV5)-Vhi5p2f;n<=mezSaa+Hxq1}lZMv9jt(WX z)=EBDk&}Zc|`kJngYL>Cfv?*ktd=FYv>HasX0jqmQ=kvj|2EXArA-gZkl(u7(D`tA z@L}Bg&Ge&(^M~;9vDu^ik;CGwyfF9q&^?3;Cn3ST`uH{fPJki5x5Ml8s($p#`|i{E z-c6)g2*=kK%lESl3yB!I!8pN?QXdNc4^Gp8Qq!!Ko>+|l(#m8nub3*fU^D?4Oxj~+N-THLI z$5nUl9tQ|U^~d1$$!z<{_4C*MrT^Psz<1_l{A<`otWW@Sow zx+&S2$r@?e$(i})CTUvfxd~bsHAYr7Mny(o<6lD%aY~+8OOlDOK>t}?3hU`x1Q-aY z+Q^UVa!8@>I&kew4cfqz&T7f@i7pa%nDL$Cbhiy~u-h;pdccAfTFu9bCPnK< zbDofaWC->oU6cIlpOC?TyDm{?=4Jrid)u)zU2Id0$G?fXKrMlKQgoLVNeAu>t?Jhq zOD3B%jgc;?`^+%Wl#yj~6QtK6=)Litr5|}+Bna&G-`3H&%9ZhVAv|sipU>i_k50zf z|LTnryPUPKXG+OfDb2SM?FI;viCZV5c8WRg5dZBCl+yVE_dXVkF_G`t9zp56A=t}Y z;3}&ZV8J#zW?RLDr?AsdRag@B|L0~$*uqymAOis*vH$@g{NK%Xu{3ftOVfr=)fshL z^XaCE%PUz0SbF%a_dopW?_K)NA`DSq`rg;GqO%$dM_Ju~)!)D|$wrd)-wA~?F$TbNSZ` zS!TceV-*IFbsbk(UUniy97B{P$l<*^nB>dG$mGv=DE-`PZxs4Y(`*_d`0&+{uPYUz z-VzHj8lI=`{r6D1ApCMQ6%Zb2u3W0hrGnMTpG|{k8bLfm5gfLn>GgM4PvwJ?Mbr~y zQV-JOc8dkus0_+H`e-D+2?7~s5;0ZcAroL}ZNXxLhOs0mu9aep*&HU@hGpje!+&ea zV@EayMygxAW1Gl|u5Jtl$LIV!rl*oLqjL=k7iG%wC~69$H!glINOpyZiIxzDNyf7A zbfx+a78Pkl7{_++kmVWDDc2lk1&4+zI*C<p9AiO|w~ znsi%?UIROX1x&}tVO{WT?BLT9qBO7;t#$+-8_$)=*fuSNYUxRnC^xQYHPtB^!&Z)s z1P#r^Mhz}Pn!`Nh&6SD`$K*{kzGRu_NuK8AbR3}sqRuDfwtLp46B(1bd2lECzfYpRXW`ne?W zSY4}!ZAT7){E74CV$)7JmJHXK3K#W;;4`B-X3E%TDx|pd=wgy4~ z2{CVuQ@jStG<0=GGiUnzCQG)KzUJ_#syK5JUi+kMm9Tw%qPzaM<{&$tI=w06a`Cnz z=~y(5xLb*727D$wD%BIi47urK5vei7hKM%I4AdJsG|y9T6FOx<%-|B(L{MeXH58D-qoAv?Y-rxsOqwy#I}liX1({3K(H6IDk_{aQ;+ zgbt`iY76F}39U5@2s>xc2GAc!7}L%mQ0iVHG>1q&xfdUbs0mZ|bqkZ8JRu%E?cOvZ zbxVL*ZV(y~4u0?@0Wy|J0QwQhWVh`}(k~k^@jq4?(hvO6stUntk4#on3Xem8Bgq28 zR6pU+^ZnS;C2+4oJa)k6ud1}Kcv~(?T*};I#u0uiC;_rY!+ho(6;|7fkT-z8$eLW* zBe)k@^T|@fCl@Omzyn^(kJ@9vlD~JhPwo-)8n7I0NyI|$$>cMDgsRFRQez(uT3i@!~6&3M99mL-z0KC)Lw@^Kx7VV*fjeSuvk8)3zJ#X z7?bS@W;|1Bjw?B{bve(b}Y60(07_hr042GxX)(>$ykbas>)IIoOVDaZcXBHu_Nf@!k%nsM$6Ek?~K)D(=qC+#+8jqtE0{ z=$mW16!G3@*Y4x4XprYEJKS~JVf;)z?_a=IQV`=MTpN~eTZA95 z`+<|*b}@r`D}MizF#cANy&a}NwN>})&EGwKI~x`ez|i*I*PxY-PDnnR6Dp3>1#k7s zg&0Yy_tHca8~jpaLhKB0AvTxOX<>jc$ zgKM|%;bT_P2->WGfeYSnZ{C7~@b$N$ENo^gUjk^uczmhV$Q}p$`uL)?NY#X4p zE>On<*!Q0$`UxSo_@@h7)0W-w9pQzO*P$lc)LDPv5n`lF3J*QYxLXFHYi{iNRg|-) zOtZk+{3e4u*A_vg#I`RT=(p&y`vHYK1l~W^_c!1z3@hwAv9Fb62yVr{>&0<4=qFZs z+dT0O6#km?(qZaKeI>U;)pY<@GI`6osOG_3&a;2I91mO+PV~Nwc_Yx4z@GAm0||QL zj~UNk1#++h2Iop(Rt@^eyr`FT#)o6FA~JB7J9_2 zchKZu64Xg-3){KqueQHe%X2b(uRxa*4R5MSa8^F2h^N;w=^cD_^;uBhlUM}oV_E&K zPC~aQVL5x^^BGTT*{dn{V{8F^rqkQ@sq5ZQQvz|3Yi7G)<@vDVd%fk+@%pyp)4^VB zdtmE@$Z^-@|E@c7cC4eXUzQ)cdN=ykp_qy=sH2#I-$q{`SJ>J@2aa&Y!OvY31dKu9tt&PQFhG_62y$*xrsMpnm+irrPy0CS_$!H^sY` zr!pbH0P!q^KI30CL57e_PQXHo9_OL$^Cl#9x%OfHP~*i?Z2or1>06HekMjF{sW$mn zaosnU253N0Ue6QH7U}SO9(RO+Y#GR9nk94bgMaF0pf`iJQdNDZ@rhU}buDITIbPAz zgh(oZTeD&fWu$VgP^xtdW+{6Ft0AZ;PQ$@{(bIxZYMx-|Vaj-nvMh3`WeTnMdW*NM(Hp6aCqXYIo8>WfoBc7UIu(PoTWnDXdc2~< zTG)~cf|B<+ku)L?gLDR_*04>SarpWMEgIp`&oBL4_1Jl525CYaq|$lD;}DXoAK{#E zO1^bV^jF^OFk{Sc%4Z8SNWgdxCExr64*!lMDE~?i%$Y98V-Hb1Q-1|dqbqC|R^`2$?{u~tR1$kRa}D<}4e zaAor^_zjmtiwzS`rS~VvyaNfTXl(z`r=lk)(bT+%A26gvp=a$cX-ovIVc4;lCAOcs z>lB1{=z{!)>-ELS57CR=uu9%ZMA8h5_-vUM=*5sgMNh210`8~^ipgz$lZ7Yv2;Xli z$c&WfO31C9qZcRCh8|jIW&t|%#N^hF(TfqCTd+P=C1M5{Xt}MK`!!HbmxbH~X3 ztrXYM?H|iJtfq4=#98EwigS&)kL)I15Jl+>{h7>6LS2XSE4mE|OR2>fidqVeSTj1p z3XG_Tl7vVWjf_JyRelEBk~}&dPjrJBKqv~E3#-8+E`R60-{z_ z$pXTzB+Q$^l7hg^lTkrwqZ>%FimIp!^;~hSktXPkRO$~D?Joj1js``mL4HsS?lmk8nS45T1^QwJ^lv$`@6#scxwWEjRvLrJCq2ymP}W#Ve>zN5vBz_e2>UDv4S^?0SkDs?2BnobAwntT7?>~9AZ@%2VP0#yeV9^I9i9&5 zw3!NE(x*W74PMeaD#>}Kv$?Wdh2O~fCY%?`QmUSKj$7cxKY ze&%BLpZg9YspT*Dyd$_o1kJ?ECVHBvwAT&Bsavl+Ob-Pp6j0NAIr^iGhG7vYsyRqC_l_vhsNt;y^5uu3hPe^TrJS=3U8~k@u!x6X;zoS! zHG?y!Pa~&#J}5 z5psvMj@|Lw@lrkT_Nz`jj3^EfJgz(XJtJp>D=5_>hao8u?zg!cym{)jW`z55TfROf zWc{EwRkM4-pKp!@+Z!}` zCfQx%$=VRkkC}z;&9Rf`L|qnk_obK}BOt8C+=sT{8^7KFfo(iTbbvre^I&`HKSib0Wnn4(Ae(dQ41t zvNIi?T?JodN__|<{qXkC`tUG8m^*YL497r7M&`;q$53NNPDRga~k;=eV-M^`~Q+uLt*g zoF#%&uLsg!KV^B==O8&EMRd>GlaSYLuHD!ku>!~T;LEXwoo2Fq;E~`qa&^8w@Yah{ zig%XpQ`&Qe2QsBD!T>z~-dRxxu^&hO$=Oj%st;ZN!BG|F(Plq;mkVoI-=8jrSxuZ$ zo{Xf+3ugE3Qe~1C=&a{`AwoYd{IN`$Z&WFa0W{!5t*nU`*5I$RY9)54gXipi@cS!L z&;CipGB2D#b+1USFIb;3ebW}c`rE*<9S2#XJ=vSv-ANX8`<4Th0*un_qkx}Cu8orIoPUEQ4VRj87fG>SnlN03Xu`6DoyjYCK1kO=h!zm5RMlspNhgO7()7YxgdsPu^^jcp&)`|sUQO~W$;M^-CN2`eZSWDXJiQp$kj64%X(isA1{V=TA1%) z7(BiLy4}F7d~5ploV{<0g7Fh;COP`O^U)>u_X=U%;Pub5K(N&q^?}qezjJ5#8eeF< zEB<=DF5a9iBAo>gc$a{Bza|l!pN)4EUI|9S9IgECOUDPF1k07Tkw%VX=H;Z#EUew-17 zT9XPVnZcj0zwhELI9W@%OExW$1%bSl$NCog<>ATZT`jR`Jm6vMyCw?4!$zoK7GP!~ z>nR_SaKg^&q*^NRe(2vgP$_cQfVNUm&n21w~4RZ}u{TxlHbv zXKQYf(JXjh95%PD`bG*?C10dcK^d*Ooy=o+e&8^ZKGrh33Af#rQ*-vSRfG>7y)x5H z8wdVMYqUg{qYP9}sQ5CkQC5~~>flJxm~I2mllsI3FU0Wgq+bfmKNu%o+ zZl?izxON6leUB}W-J<9Hr95^*CPyENoeI>eIyGz5$vto-6wjtq*GfZ zTRDY2qdImkdJ<4}%GkG4?Pi}XcjOusbcm_J8S7VuepG3*02O|;3eW%R($OgeY>Yn* z+P)rq{@f^6{8FDSn!7o7^_4&TeqP#GBa5<RNmYx<1|r3X%WqqzqF*XIcIR>14$Y#P$j!M#j$JuQda0<9 z4=eOs_Wv_Z2=tuOcsw`SlR7im8}Nw;84j0(JY-UfS$s){(X}||*|QN=x?^z}NCPgD zPE!%|osSahwbkjK%%HfG^&3o!`3?gBotcewWctN%Ey0^Gez*NK38av1=0082H?D+*6T3(yn3KPD#=(N zd&&vLx=;A_sMqoIpcxbfM2HrOO+&x%i(`=Prp7~3H6<&@eP=KEm?+Z_(mey|oV*oU zpibIo%WH1sdEazZY;6AGC3~Z8pK|*5XeYTdG@Y;?I+HU?i8^Y*l~d`Q&e_FS@b$e1 zi0haH1C@^+pY6PeC5CaY{ji;jJ+Q@n0&czpHxP_vz2)d#)`1r%ea(AxwyTc*Vio5n z#nGPwwnnE-c~Ux{_qv6mvU694@r9EfP^*wa8+&8tbV#5LNf?8HgH&M9UYk&`gzVPX zvc&rZ-5;mAO1TBQW&hyfJup~i#cGTNhSA%|jwEctf_(g0nNyoCA*GTET~O}MuXadT zhBVgi&&wWNzv!MMKl*Bq&k(NqOWH8pJ8k+G|7U_zI^fVC`$NLk42tmSNg7|%g3kU4 zE5JasB?sT@D437vw%tWh-)~<-BV7cMu$jfgkWeDZxmg=HtiIu-{e-H3oH-a)vFYBL zWzVj?AvRy4jl8NQVd_Glmp_OK3=%wDq<9eAKv|_oRKNSVr2Un5vz$3m&!_Civ{U3* zf#_`R1I#w$k`d0xZo9F^xUdo=>8V`-1EWY8(!aTBGCIXd!%PkKXAbcM^!yUVe55J# zI}d$GlRsaYKE`7o7$bHF9w^%*9!PW2*}#@8vwfU)vI0NQDe0y~oc*w%c=g3!h$RM2 z3bQv?2&uTzu20HGqkU*O(7ADKL>q)hPbwo;7u&2#vQr*R1Fh@m_bo9S6`@Bqk7|F9 z^?O(}@xnw=LWuL8O?xvlMaTF5_KiBkdSuol{>vC1`!O=Bm98mU63sPR?%+C?yqnY= ztjxB{{8>={M@|~?HUsTuMAVb#16)J+@|bZ3X>|lk={Rh!aVAOB_-+!^zp7x4^-=dGUGlOT zzaODfIy66WG>X8dRi16v#@W%8r#kU|%z)&Vbc)BD)o)x7lzo^aoj^PL7pQ>oQQ?)y zSp8!4KL(BOltHM`DOIz=bYoGod3_NR=|**&>BuvTs4qYmvp|V+x`#1_ge$KPXB&jP zd4gq?=-HnN(^+E6`N--s49C zigX(xxXEP1bdjy<8nnJ~9myHCkq13J(Q%qE!QYqo+?n@;v>WPFXU$`l1>ohs3W+^J zV#FOY1cX?w+POJ^R;f6ub&qG)NDLJo`nOw~qcuB|IE8aJeYo1@o3faGgr)KFeac+_ z$>}4sH_%IbFT;d!=A4CG{Ei+KG@KumxV-e|6~uB*M#csUXn8-pwGx zmlQH;(g04{#qbUHOSLn+xEvC!yoii8pr|B2!aZHE^Ok~#j%#J$@Os?kHfNqJL$U39 z5OzB3VH40fbgHayOXv9*sD;aG6QyVw9+#`X5rglZK~2Z`5^Qtx&XMu-1lba-oBs7j zZnZw-SIxmQ12=aG9>P}cX`rHk1_w}^(VDve)7CC=JA4f_M;f0yLxxe7u_NQzkDJAg8CQFt;i0zz7wGe6!N2#e;^YWFc>@^}(50~pr#xkf` zD&s;~Z;^6_X%V*1^2|jjWJWz~E-w31Mp1EEJQJ5)L&cAOkMt>jV@MbRnOX^8_eZ!l z<&-zWQ@_KLes_C~aQ!WLab1u47y)jWT>bM<$+iC8WyX~hfMbR^r~j6PxNboTWfa!F ztAY>GP)0*CTKGtt^jYSr`unnb<{iA{$$f2jmL2(wMbMCY=Qj(T5E-Zx*sgM|1Ip>i zHaua=zaMRjXR%+BKr~clTuf6*a^L*tU7j*#^z^)Yk z)kC(xGEJiOkl<60B7=~2T|`^4)>m45&7;uZ4RsOV`UfN^Vxm-zf0QmR{N+>91`@2o z;kPpb;%%buKeV|y>#nI$dr;{t0cnQE2iWTbQevzW($S99iOM|fHD`0aMVg6n@2JVf z;P^%rxkcVx`;w5D`o?{T=SW?o^~zEuya;5%>aFdWu`Lbf;d`25uGUZ3r~sHx9KcIFjinXZznt5Ud&83*u$ONWx%@e1 z7102P$d*}4GDN^Ms~=*hw>i9yq1Gz6^(bcn^+YxoO3IrZ8~aFaQNC;29*ahZ>>P!^ zys0yncr(*tX)Ep-t@Bd|t(hwKgQpwy)~WH>D>vSw@}b+{6mnmY?FHhi)2YdQmZfYDMDb(<7POIIS=;L+xcrE&mu7cXssORz= zd*tdv+IL}5kpE3pEQz&i{#y`g8%JAX6Z#w^eNzIB1x#r679eM1{tMyql4qy%gWS`EIt&MjSv~pQ

9_$Jb(CyNkK!I=P884tc3$2uw#X_I)43#*b}~7_jxm25hb3GZABX8%%!A_^+8yGH z5Zs$2(y)8Fk^kTdLf;{q>*eC?n`xk+V(GiZWpjdAe>jy1FURkVV}4Tc+IqI|bCOez zX{%v0?T%l=Lh-Kq@)DcMXVCvOOMgBTRg>PLu*gm{ux}I|xH(d~ zOv0E+*J{ltfbE(_8~$T<#Vm`AMRKH&>RME%qHC_tiu)+%0NW=&nY z^a)&AbC&AO>3U#%p2{V!$y!4_b!>Le7jBX?V(~$Nzh!$YJUo?r&x!V-5X;IIyOHVi38Pee8gerAHp)WJn^JgO+JcJVQk!ID#5s&?Pvo_Q`@5EM^B7VybBQpDySl<`W zmd(!feaOqXW#Xx0O%^6fC7T)&Wz=hWtDWQXW~F@X<+EIjVu~J60zy)KZ+I?*(>G9~ z?U>`3o!*HB9zy9BqqduC_-;|FC$pt425)`Jv}V=#IV73xdo%^XnH_ZH-Vh4xJ(pZGG29?^~@nW-v@-D}{KyT$c27Ew?v@o0rY@P&bG_L0u&KsD)gj=?aaAb7vZ+OYWNZzfHWy6ST2ek^8U^LrM za#m{?MR}k7iK$(~QrQT@=a*^`QG}yLE_U77`^;)1(pp5Rx$>BpkOTu<@$7%q40kR% z6F1RNIe+VG52hMmK3*UpX#C_cJcIr%rW*d(>h|EL8GLD>Z&PeTrNw!v;pXi7^zjQr|6l9|Fx~ zV?Rf*15kHeOwJS=u19Ys`U%BYWrLgGoxi@&ZIYSif3RSIVehDc73<^l3-$yr?)nsG z**R&zqzhLGkJEc@%EeIu0lGsuOG3kMNtv4(?6q23|EPFXlc zh^L;&;x|Cu7KPX_-+r!Q6PR+|;qHL3DwHGl=U7A54Xj3_CkvWamb_nB`LT>5wY7uq zeHJ2=sDG#oN*=03{xP9ud@rxoG`dHsQV7MBL`ywPMw}qbHd3ws^>niwa@?+$B?I1) zz0RRJS)Mp}jD(=ijXikh57o0OT=W3p+E+{4qxi$@mYtOoVlL#KJX~MVostr6;R8av zgL4RU%9lv<8UqIUl^47nkQBKPc9OC!|`9qs}J zC~O-xu(~y{Ur?5{L{1g1JVGqtY8?sF69c1k+TC|asGDebD_$&q8MpjN7^A3!F@)|K z*yL_{-Jo}9@UOUjjPZN8{YiOB;0LkS^2u|jN_2CJC8GRCepiAFna0qvPXq@tV<$6c zHw_}E?nqa%&?j1woxjC$pThRkp6LF%8yzCFH|ybb}EZFIK!xH{vr@LcG{ZAHvSLyU*UW}w;`!`@38_*!_u=-}*o zjTU%1fBziS7C;M6$9VnL_l;`TCCAPEKKXY(3fe{gZ@vEYpq#bLHU(D_lm022RAOZ_ zWhy^5gfD}TX}@H)!sM^$)kH}Y{|akv^ND!lr2ZHeXJuJr;c<6$$-fN2C=rvL=p|ox zkdQ7EAreg>E7H!@8oc__9}gAc90qxq?!%8J}iRmkkM%{a^S~AS|t7I2Ge#3RMFk6azSi2 zA4PYM490qo8dndV%F7o<_Jw<3QqbW<-iWHZac)GZ6Ft6Z@bj`hcR9ILZwkZn5lwpySNQnsq)ha`X9?+AHgmi zpAb%&;aELP7T~)W#XQ&$2bbULV!eLZB-d$%Pk{^}dv>6hmbNi8+TSt|<1!Zq=J$$D zS{BQgMr5~vkta}JNzjnYK}p)Grr&PCQ7Le?kt9K5$VNnH(z{~g^C?>L@{T?`NJzb3 z>0CS_YZkmn1MSmp3TGitwMKe-1IlA4B97$sY~Dw04Iu50ddhbVUHx$A^`a(DpxSQ>PRPo$hg5CH>{aI{(Gboq#k0Q23 zavD25a`ZT6^%zdbSPZjl&X+*s8l}f0K7{smc5V%W1H=wq321dl8x6MNED#vc9O$o4 zgowSaOSA$FEgIxj`Kqyf8;~r$je5fFk2)@a< z*o}&)>x3*ekrJd4{8G5=?QB%EWfeMa#Wq(lZLwW^?ga8;CC&U%)!R1Aq)!}@%Vn?! z6h;w-IlHMK3$JySW~Z32IHiMwqx(ZzgY6ogbq2gY10L|UT|gm+t`Jq2(fmNXm{(Cx z-yzA}sJ7U8TC_mdw$f&r)#4G$_l8tk0uV_)4Pe_m>}w0BkZX`+JqGrzG@fT_pTNnr&FL);oU6n+dz{W5a3L( z?6N-ipo5$2ff9ZsfWPTF0T-}~5ZHH2OIx?Kd-+s_Ghqxx>$F>Q@47*CH8#D+T<06x zrL?R6v~+?!u*I^@}RAEDF9MMa%6R@}bOjIm5f4 zyF-337JRQ6R1FZ)dH;etf^Vw(2zUUCD|vIXba-OgSB?XXo}-K3WoxvqiP zpe&oi#_=Baewp>7Y%e5`jxgptTee?1gKVQltPKFT3`Bf0cE40q_v>9nK>EA8$Bwgv45Se7h7&|ACs>r z>5V_W;un-M3221ZuyqCor9m#=IaICq%bm>z^G0FKhDr-D$CY}RX+BWd)6+3|N5@n0 zQSB!pyb8Fz>lr@bDs%GH)FU2qxgO^?p>TYB)23Fh+>}N`ALerdJ80;bpKzi z56igK7KY&PpfJ{koaS@Zv;93QZOCz+zEqHKl=nAndoeQq{=Q5LggpizW60J-Vn1Ags@SIaiwyqB2hcB}aN=TGXCu_!Uyuq2ev? zcH_B*hZPl;onIv;`}g4^FWC_wZ+8QSM|X2qWVZFW1@u;=@v{phrQ@?SR|||vP;{%N zeBNCx&yeg7TI*N;kO>7OES}jK8fcN7Xu2EDudSHDVE(8RLcjzj7WL@yKe5KEx;A&0 zG%W&tcfE8a1f%i2`{VCnymz>CQf?njfx36j{tmIH%Yi*MG)#ba1T#bBS}87@wm3{w zkF5sI!fBgVzzlPtPEamg>#e>X&wq4(Li!n8pe9Jk8~E+9K6l*{>R^hXPM%G++K2rs zpR)oYprq10Z+m`xM=uk8TtwA3#)Bnknw1|S>Re|Z9=jH4wI<;-`3Zdj$U)5{e-wWp zhop)0HWi_+Pq_q=nKyd+BuK1dqKg&i*#WcI_C$15rklGI+uN0rW%hxwWSw$rPJzgy zRBsrkYycV8t16*b$ylNDgyy~Au?(@gm|arNM)+ny0$wB~0JX}^VfhZ9shrMevCDw3 zf8`_XW1l(Un)?N1D73C)nI{{jku2Sf`b@!(R$EwrgmI2mc5p&_o#pxx3V#lxR=gxh zFuG(bNKQsA!kAA_297(XYLVzwLqiM{E!f$SsQJg%r|m)AK)+(Ug6S*_q%1YCK~Q`D z@WZrermoG&=brc~Ng+n_l0M$r&(9Lcn5z~2-lVQkl3pG|HV$qh(j6d5IttNCq0prP zrhHS1VdT0RH)s%L2BE;k_ra8ui{hA$qK#5K>rN7&G;a#i_t$zJG3Ars+Chuye%Kof zQfw|K{Z4Y%&$W`=_|V93A=2HXOPkGD1#c38IuEw_fafQAeT&H%7F3GIVL z-9nKGH^1J(gA$8kUKW!}pBPb+CWQs@4OIn;4(J=^1c5Umgjro`e`v^040>#$Qdf;5 zAkbWlD9vo|W>lQ=d%h>7Xcl3*h=IO-n$xSe+#qpb3+M-pGPA>Z|LdHfnUztgE6ri4 z%H4Ye{OHk_)#&2C?nT6kOFcf(+HOwj7M-1RXrDURyi4aUj=rF14mdZaLLob8e4b#Tswu0gy z!`^#pYY2NxzjeJC4I|fP)DDPyL%*U%tpT{gg zJ;U16+VU|~iW{paah!c)6G%HA?C zLqZQDf^Sn*4$SEv!Q}ouJsju1nJL9Y3$i#zO-+LtNfC_9-5uzMR$v`(OhLGEV!h?BcI zMTC7G$qNYJ{S!+YZK_}6Ausrp8t${Cl+pW;1~%Wrt5u|VwFeI5xYCInnYEUxKS?<^ z4=D+*clA*9xF&Z7LCz<0)}&gunP*!0xap;Ns-M(-p1)(e};f9DMa`-2ix1;&= z1qa>RT>#JNds>fdCfbESC^yZmv&Xp{OSp^iUzyox!+Dxr+uDJTQYi58Fs&j6vjw;W9Y9_WpoGBRPiUX@UdH8^)acqZyV=SF!}ymb^N``I~}2$o!{v$H9wWV}yfYuSP}5cI6= zU+5>i>3eyS9ei7>e>Ycf%Q_tJ;u?dx$#e2s?%gawm@ImVc9_{5K7>$X-+py$hrv;f za$5!d9V=c<311V%R`XFmm?Rf}Mm9Sp?6Tjz?QW%m-@};*}3CTGpHfkLdKJ zN}f9B9GCsOw%I?hk9tYJF1GYgL_~OXYoU(f1y=)4BE2eQ6tuRj!22zDP22$Vjy0xy zH?)hSoSJDqN4pGr#qFEEO&!Yq7yg#DTLoHMvGAy$?lv@_wa(F(8M2M(BzF%?zEB@K zVMJCb*lnPFM6a6Z?)yx`-pKh|-XY9^Z|4mdsZ84}y+brKpK`;q%@Y5kG0;sz*yt@c zDjsF!>Ms5ZW;r$gJAEU859gCzP*S&7EbcjhW@SXw_$e&zbp>|JhZ}5r!RC-p?`PDf zP$4Qhl-QmkS@7(xJNhE3*hNM!RC7v*?%7^LqK=$OaCf3koH=e({TDq zUP0Tqedo2-yK$7ZtXeug%kg0GVbGJs^N&(3&$Jt66`=tPtu#%1TJLWtHi{b~kbpz= z02*qG+sIRtt4l1JM|ZzeNvOazKEoA(Pis@$Hs%d^$D;38XTt{ zhaC{2nFKu7AfxjNQCQsaOlD|Ps@tbG0r%BFHQ?EdVDIH|geT6zcs1-aSS}9Vi1+{c zU76B!s85BX6nDNMQdCgC_paz-cL+b%;K_O9QYP*Kc+1`jGM#cl0ku?VQQ=1(GkH?r z4|t1faGHo@q-bc8Hy_*R6E5_?8qy`Z5QyYdX}nSV2VlcHhPAzai~u>#qYY_b_&d2a zhc75n(VO+JlZXT`RAk_PEm;;ofI z0tA>7rj$J83dP`Gf4j(vx9w}x$*D>>vt~G=96dP5OF3r%%zrZ_$X-|hPfsJ?1Kq>F*j`X~3w3OwH~KGH>|Qc0 z*gBv1Rm*x>s=h~VIo#pg781OEn3$U+kG$D91Lm{=gTZJ|@~c3nRN{G)6b2`br|@(x zDWiMeQOnv(#oYOQr&oNL9}1b+_Ita(b$T5_6~>V)0gk$M999k|6slyCKHheXbQ_l{ zw`U>*xi40okMM3S^9gzDpqCO*l+#OnK58r(zb=!4(ReS-S)4Z!q&&7_VyjsK)-~lg ztmZ(}T*Zi1(?=|CNu(T8S%z%i2P!D4l=@vkX^nL{p8j`NH?doF$Fi9n~6_)>Q zA&qRxstHKZP~(YU-MS@E098P$zawfLzfBBV z8Hc%?mk~@{8|~SbX1q=&0G*3ePQmr`)Wbv4S9Qt8znz(h$q}S24bq^&A zafd3rVr>M#!8*MPWuMLHOOyz+sqhVpeE!}kO1-VM{q|%K9F4IXX2@)Q0qVaDE?(di zD-kB+#)9g=wEDuCK31TCCae;yR4-essDRK?*%RC>I228K&bs7;AAm z9HI6WMWm_QnjXW~&bH#t_;t37R5;d?)W_;Lld2;4*(E9$jL?ij6&MHdxy*ZGBq}g7 zuOiwv;CPJ(FbU7VhM=nuc|PDt3-@7^L}G;0We>q^>gNQfF7PE2Q`cl+JLKb+U|CX- zca^%dQHJxG+$N+4u~r<9A?YZZkO-cnGd@}2&>#&f|6bNzZ;Ha`@hz`B&vsqB^f=$W zqw4hP#{XGvON|Ouo8b#@%KO))RtFV=eDd8lqyFmxe~c5w)V{(l&yf&_f1sSndAQd8 zk=GNgoh8*B%-WPMr0kg_9@@Z3N2%}c1BLKQ)o~v zQ?w?1hBp-8j}Ck8!%uw@MIDy5mC1++zkSiGg1oo?$$x8o|GTyi1>4(uH9ha{!`~xv z!%AY`_KglhOe#hyRY7$Ie$EDx?4`8w3K)3!Rj6t+*0^LG+e2C4-jKJ?^CTpurA#R1 z(%2OI{CeLpit3NKv&%SqQXfm!zNP0_STWenf5!F&9FvJirpY9DXzqEJ;%Wt%cvDTe zRD1V`!9L9PWFP;|j&JqgmIvo4(EVJ!9@ zjT2r)t-290GbKM$ZX!bYK^8_omP}w+KoPJls930yFCuBzo@22E>x*Z-GfnO$LT|W0 zFVlppn?lsZ9a|14db(h?&Txmbw>;-d_pltM7Bs38WYSk?< zv-VY*1Q#_+8xI@(HbMd3fh#Zm9lNl7BLs@brG(pc!!j<3xd)N3nRjEgF)9-i$MWb= zdCkR};0zVZf#cRB`dI2m`AWUk)p@LhyxVBp?2~al{Jdbqx+um7-~Cqro}>HC#&>S- z2>XaEYSdq+3&moAt}C|-7Q^HJ!(Lq`8;G>`H1z7sG;j>?Y_^h4`4Uq<3<4BxFsqbB-2v}+w#|EJcDYU#!QX$l4`|e zQi03ul}gy}%P`bt4mPBd9z#s*C~C2z(wrQAauaj120?)%Y`ZFs@O4eZ*jZ!rk{Q6JuddM;)&rN? zmJm{cXmd6QUK0j=Dx}fcB-$N(q=^3?dKu=5{X-XR)SoFclkWT@o4j8Ejkm5_7Q66t z-=fiOT@5Gc-+W?)P~ALzdrEW~WJjQd`k>i_CCFRo5QuzaCS8=k3vWEF?$8fe+Z!Ii z!mR)eD8IN=_Q}B|9iwF%#)!@$H{}WVh?un?xAKC8mAt~mGv_3vAB8H)IBUDVdRlb( z4nbrMVD!O|@Y}~<&M;L)^-W;*$+#COAq`kPpKlR_t%x+j{1=pt{czS&1f>DF2vex= zgd4(^6_q-CsKA}6RWp^Rtz22!3(!YXHz6k{Ig(+Cnp@Ocpw0RzkkqZL0#Pc7qoyLNv^^iM@?S-jvRo|z^x7p87U6{vI& zyxugj2>?Ek0ov{OEIkL>_&cHpk?wdu7AOqzpnvR|1!7tioF^3Tt7c?B@C*kgS$oj6 zD&Cz`vY7U&a?h?S@!n0=70g1b1Mn$kBeT<6DG637;tIJyhS#_>GE3Q+karuou2lQq z>%Rs8CXgiD1R!i!# zI!O6^{G+>Y&jg7R8Kw^kAbgrjMKOS$#i@o<01mkL9+6%)s`r8R^7h8>fEj2N$j@!f$P8rzev!{eBfi zbpG$60rw7yBc?|DrP0~ie!G}~7g9>SiCs(mRnaFh!{b0)DZj=HhldonNN!T*GDQ!6fmll$0SJhoE1$%5i6Imuv#D! zU&Xwp3{`iyqP|#vF#E8QA7ZhvPt8AD3oKQqm0@40EZ7tMSxlT2^M)MpJYiIE31At5 zV(HjIgZZovRT%I9VX)5RcUlxVn(td*lF6){4L2VuwVk(%KXsM{r8cIRLQ9s$J?E_M zopHO!opV>0v7}N5YU|BMFvjouK>{3#Fv|qIWRAPB4qebtswJD00!`v6oCr{lDmxp& ztnh6um*MFgj1lHf{i_aSoN5MD?QxdJI5dDmz)@Q%-n75}ojIQnQvLki$l*FY#V6=m|3KawO zsv_g6BWQ6az|Zo;p1bn1QXtXR8pWttBp3Rl!9&1&BY@m}8q}X1L>7>Xz!&+4xMSO( z)j4P3L;WACtlOsj=tdD_o`2Ryyf!+X_BZ4H+B1XQ=&QpEj2XE0kk2;I6SvfvcwKL+ zi6_Q=#-1GQpcOXU_eK!>H4~y^)|K$V-?X?K(>DLO_&oZ%ONs6yR|D z`OVAloC>7F(4}(8%Bw1Zh*H5&C0FL>728p(++G?m8C+d5wU$rj94UD=x{@lf#sEZ} zd{BT_xw)0v56Mb<`n3NVw2GS_N z6wODu!@S*Em+F^+@v|N^`6d%~n$-xDA>L=lTWC=BZMu3wj!XRiu-AL+3NcT@Lznw2 zm&alk^bMpegE_-yxX*RKwCA`zmF@?0xL_|{Q7PML40xk`xk?xf$%=NQ;t08bJgb1X zwjOw?>3Xs-gLZnAuq7n2QOh*CP~mwP5tK_^vJIWY>38h5+jzko3ur*|ad_$~a$yO* zu)1|ey?$RO2;I6KUX;>P#lM&uwDt{Exg073t@UiRZ4*K(9xk9#iOf)7u8fa0v1Eik zz&9+-H%xxo51e1wGBN*4{z=RIKonl~X2m)7V&M$$T_uA^V`!9dAg|9IF@ zlL1SD)h4hi24+mKdivaqabxZYbS6{)^;LjhU&q@GSbG{-9>LycOXY#gLmQpD^$&)^ z!kc<6w2|GqEpH{K+=jVMH@N1nGq-$^WYu+xb+-Bghs)UnUX&_>_RDu!v?G%yu&4yTP*ZxCEyCNb#z=vVN=QZ#!Sa@F&gU6q#*Cl=u7dg{6Hwk~$ zFL{(VD2n`*c5zjIlQ3cCc=ge0->_TNn_scL_4HseZLX>Wqm3qJyG(PR`y8>%9Uk8B=G2^#+ zIJ~*2+lf5t$HC>gFkcAS@goeEc6f`dEI)GfMp87-P=WEbNFD_jfSP>R6EIEMjC?Jm z<6&uFHOWqN6~B9*oOp}I#w@Ak1{)&}L-B?x0@L3q{J~o>ohyngwwfEh22Cw6B54W` z3C;GcOlF{{740)If(Y!1cYpXeY~-6Js%9u_fydrjkY$}=3lZ1f2m;%f5VT$GBMA6D z>lC}Ddcd#WUN${7i2N%fVs3(kfdFQi3&++ti|Y34Y@4?32x3!~!i&&cGYTj;h?()0 zEckZl@uR27z9a|s*3%0Q^U*V#O(0>lOG&vZN2?m)|E%iqHYZW9T z_XKbI+vtZ6PZp6;o%Isc{UM2T6~sZ7l&0Iz=GT2HeWD|jaD9i$G8bLFuKaav_Jf!u z`mCp;7&!P%{mhcNdojQHkX<>ytyr%Kc*qz{E@(WODORB0C(pa>E=1}9#tyCH7BZ3p zXVut~kNw;g7}}&IEf^J`rsYnmw9Sh^V6V;`sUPAXkcJ##-PuuL$1D`+B!HkyX+6@( zhgPX#Dylk<1Zngnj#(jciF;=FiAZu>OKEY@YX$suN(ktas`25ou9qEqms=N*oVn*c zYiNLY@O9qZwf+@ol3}2oNcRlDeDKTa&{ju-`|fwsf($eiH{@%Z+yqz9t)#jzelo9o zxtUu;oEh&@achyhugSRPB;=T@TH^(I4H4VvVXg0HZxYdWunxjSCyAGReT3>1M38h) z;lR^ecPuBN0T0qq`x{I}ff`dJDP_#OXi3czG*LJr?80whA+kpjD0q!?2cJE4Clpip z(J*vAqm$SgXOsbF;P_qP5oSq{?u8GFyOLexuLgFN_7P=rNeWt&!3Eeps+;^I+0$Xp zMOzNfm4(490F}AeEhuY51;!somRv-WR#12S-4ZM_0hw@7aGh$mAU_1aY z)w$@C0{^oTXorWGPAR{(R5pjV7%P>VDsFFaG}mphtj2Y!G@7R>QADYQ0hjefyz$*t z_6;)L&G1C50My~NK_%|x!wp1|a-&v|F36|tH!RT#7ecX-9-J2~yP>I@(y;2}08`s%kn{%AUm117jnjvl;X09`uoMXU2`!fBt@?_JFSvq!z89(=_Y zICX}0zrxdwaGF>s#MwGbPdq&c{v69zXYi+N@zmPZGlTG#bu=Ht_sKp--F&ko?OBM6 zmX|TFjYvR8j|p_uCVaUf2M)(amLBc?{DR9EfKA*AO-iQ-F3g*YLH#0*3aZkwf~$&) z)e(6jl57j4$-g+beU;JKDC(CT3;r#j+xn8w=&vi4UjCq-g^FA6oPbn8qA15@3oC5; zt+>G5tIs~01%R?ui1eqc{ojnA80t#Z|2*NVg%BE=xjWnwi;I#o_@2v+SLf1K`3fnh!S;3vI!?f4C|25wGIxRB1UVWrQw0S-N?>jfAlN^AGGhFI;>f;M3F&Foi62riZkvC%vh~Uci9%-$M{B?JAGoVd6JF1-8aNIxra?07T^mjZ|VBt*knrFpU z`d>k;Y=1@?S2K462a0#ck1vwNEC`J(csc0tb-joN87Ck&#&kK?S`#@wlouPG%cnt2 z@%gBeHpexi;hVpOjUy9Lcu*luTle)b_<@mB43e4xwiuU1K-f%xE8`rjV3NrF=2eJS z2mT(OvL|3TD5E$)q7bJM60_ z#_GHp4|aluZ^}4emI)Y8b#a9#cBEn)gmr!pM~>7OaePTpMEus@purVpK?-jT8$#xb zD{&w|soht^XE2`~sWyA!c}4YJK+!e|Kk_r$3~o)WHB-)K+nz6W6mzc zTpzkPSKWz`krmib(qY(iX~+GG^d}p0_YjG$G2aBiUzPsm8XO({&K>AJ0A&3YcyFWI zVe#KE=_K$LN7+Z~($4~g!T=yZ-@g{2pIA6=Xyi$eS0=S#rGB?Ulq|-F{;ilVmu?*65pT~)BJW9(Ccm%C^H-k7Dx|s-;e9MU>R00WdsQ#!5 zWh`YiC;rVs)wvdL36n5``ns?xaEQU*eil@ZVr-NM8IOuB>zEvD;ZfbIA34aotA$l# znZ}%KRYMft7fG`CPrm0QQkA6T3W&*=RFJuU&{bk8VLi}$GHDx67B8Yi zvn?i^0o-fa;;jl~INmc%Y52b?AT%$|ByN8e132QkCvJ#zW#T9KJ9`O4gh;Ftd-Yfskocm65 zEm;<5q7p&}IX#}m<%O$A_g#LESe4(^VyR${^jmlJtjNi&fIZ2Z)!|w!!!1d188j(4 zyT)5}$pAr!*eJI5vCyD0X3#eyz66re!PVoCx`qvLK4`MJax13Yv-C0S=|UElvPnz* zCywh9b$OQdFu2z3FVM^X%m2C#j2#JlkzFq6yR(cUbnv!N7(Wks<}8jer<*RXb^o}| z=n~Gr5sH3HoD=#lr%M^7XXHrE$S`PT<|-c>kNIdQpHMxI6(>>mnJJ0yV6x_1`NbeF zH`2vL3EK^>I|0P{PpvrqK-6=q+7o>-zCq}4jwMpsdDC*YhcRe&uHqo-QvIpKD?PA{ z_9>Twfp?`_k>d~A%7CKrH`U`^P@73Ocwk0r)$FuMC!<;ok6tV(rDfQ7{3p2U>t*|2ZDJ z_NhtZtT-PPeIMAv&+sqL9>$O;oK)4=lwRi(;2l9u3gH)u zMdYP#YO+SzR<2!lo1(jhi}S#h07;yZpq|Th_|ofn{RO+94k(>v1)514w8!+VOgqCjV5_Gw`H5LB-9Kr~^ z5hHiw4n#$GdTzdI88{a#g+uL6FGPWLO%FSl%qd`HkmcoqR&GI+p9E|pdmtM1Iw^Mp z8P@4&GYTFjWMOHpo0i5GDXVCr!{NMu?@Rb_OT*Q>3XcndLiojKPIUdn>?0wCcyUEd zwjP3>_*h5Ii=7thD9V{DcCt02n66JW3M z7xayEgmmkSF`mwqk)F+>D|Nu0*>h-5RabubgqhnfG(N>#I%tS|9bLhFL9QKo#}rx+ zoXQ;bd;%*pN#2rPjN=LFCBhI~vIT>0Xu@|Cu-u4hT`CLM>WcDMTP|p3$JWll#}b?2 z=>KU?r>xsQMUo=$qX}YaM*sD2r@S$F76u$aEPz#e) z<~6(Tj%ee1ehIwsLNMfg^ms&M@j-fvoMaa|Zo|yykW|6OD*LpPgDXH8S1k2o0 zCc&EFSmcS#2IfY>h8a#y*IQXHWNBPI63#>8+%eRO1nfI6U|6%We_ll*i*&C~Jz+2h z;*q&swgxqRgzHKTtlO(CLcQO9~MWFWh=91?YV;|TpGilXD?sG3Yt^(PP>69aD^LK$Sbg&g?gd3F z0{tq%*H_YtKXKu~!@GIxJ_dRC$)%vAMs$!G3j6{!sCCGO1{49=zg?8@f;s|)psea# z9sclf-T7gvW~)*CwAWT2k=IEqDYm)Nd9nmPST;gah_+A;jYHoUh4UI@Us-pPV)|<8aYhTf_YX4P9}S`e(v=!einz3gQKE zrw}I=1Jbd!;H&iw-RF_;9W-%I6gE3mzL@bSq-9Q0(XSz_UDTF&23FKT8H*+`V=E$t z0ujt)%Th=tX;3ctv4&SBjoSf$5oF!9XOCuwafYa!>r>?UFUPB?3rd2c7W9QoIn2UU z8C(hGT~~QaBbLL)S0d0h?eFc2sR<^b z4KgwhCjT212Mjp0_RXULbm^-3%Hc!hqOg1iKaF|oHRZ(!cyB8!?UeSoK63jgP5@!O zHmQMuChXONV5O~}aZ|*ORhGD0T2vTo#%2_S#z;C>tAF7&O5D%}dtx$UD%MC%n67L& zK3B-~hm|iPLB?*P!#}!Bz&kM@w-S)cZl#&e4(^w_U6H)-9TTbgy!Uoib*|IRq(eoN zN_SnQ2`woC9CWslserZ>0PXK)qZN7Qcs|AxPzJD#gP|OQ#CWbT08O6m!s4DYvlv|a zaYVq|ZFYyaUD7X`L$iIhdwA%d6Nt(h@OuM+j}Oq7`cir(B1&K8`0sImw%TV5K8M_M zSZsJ!F*rp+ARr(hW??WeARr(hG&49bE_KO*u%pPiEf|Ds`(O2zzBPw~%L{$NeWiXQ z&*%tNnJ5MLhNQTXTcPl@ksOO&8xN-=N)?mMV@6Iz>oqvpOO%h~^0hi$Kqzhozg_W^Gbu;AxVB-q}ZTzg_ zSh6m4dyZ%zd7ROptDA73K`B_zJw=UEL4{w<7xu?N(zCU* zsY?}Tqyx3~S+JM(WFLR;qBa|T(#EoJOoi(fU)Yh|Em_neIHa<^W%*+<2La;kPygI_ zGiN$U^6 zpbi({VC|ZpQr_dR*PbvVVQj<~wRAFZmJck=J5j`F(p}$%v5?2R9Ow?;xLWmkHv(v} zobuZ3J?@#eS484@)&`jLuuvy&h|vx8TkdQu8wQ8qL3oy{VIW7jRx}!0$qsyK7X+RU z2M~9huhAdc^H~6XCX>un))P zu5+uLpEh`@?AZ6{k|%_mhIKq%3Gw3CNxSUZ-A2_cpMV#F?Sr}k@33@>0&Ieh={oQF zDgOyw_e@%b`IE-~^N6a{7nf{djwi(GsYi>Uy2Q6`fW=Leh7kq{Mk(IEy;E}B*&$Cq z1IWkN+^zcT-WnbO*!jQ>iDG2T7+^iv_VnMO>%2m`uYzhYv3uB;3PHo=7|1IAUY0?5 z{7e^TyZTW^s9?!2c0G$w1CZGI1TZ0i~Yn>{{S$!_?D0el)X6P z*)dIr>B09-ma#}xnalN{RRAr38Y!~KKbUJOZY4_BxRo^?jRDPYOAcCuLClE!Ns0#R zqxSNCBy{C0sQ$*hL0(K4{P7Dq;u(eD)q-GuZ9w-Aaj~X;6c3p`Z}UFh}P+NQdv7#>-Ko z)fJuJ4N^eCxt)>p8TV&OXkcVty#Vy6B7d+Dfw{IhnTrl0sf>U~1o`7=0PbI1A#nel zbXf5Q@7LP$+C*&`mjw=*doqv^;IMgg$AI11viy&$0KxqQ8i+APr3S7I3QP+r1-ds(T&w5V-o>%Do%Hx6@RA$yukL+mP= zGr|?d+MI@mXd~)-l9oV;?Za80(tT3zvZj^8@>$7gBpIXM;c-lMJ<-rZP{(v}C*MHr zAhUy-k=M9>zo2yukLB?uO4z5$m(%e#@+CT|FqJe*4vibQI1RBg_*y+pM0szc^(})HYA5baEhi2_*Cy1Oz!tmAvy)zmYb@Wd zl(mE^J^@(w^n0^iW#8eahE04MM zt8kJY!7`?@)oD}I_%j_MvximB>cg8K-(YwM?$Pyq%hsFBc)Ixs$Vjz9n!k9@nM|H; z9@e}1Ivl?hg5Q^QCd=X=2Plt9*!R!e4uc6QChkeI&tPW1g0J_ur=h=+Z?K>3wECN5 zcMKyhBn?X&Ig2dbjjGW$xBv2$XKJ{BVSx~wmTBEhC|5-Vf{D5VZt#}t4DiaS ztFUFw>nSa?djJ@9&kyy;2BYW$B3V1HUCyUn!6YYv*E37JRNcL;<748F(-5m*+u+-% zSfDy3$MU+ENlO}(Duk#;N2`E%1kSLPSWW+ItfUvq|EC^QIx^oOI4_ArP2^9NVx(8( zCvcl1_+?SD1GMaOnY||^u9p&rj%W_vGio2$+RRF%&84HamAC(JELn4#X?K=wh)QmV zOQiK8W4;iQ2OZTmqWODz9+Qt%Yx8pzSK6+$k#%zI(&uT*$eC96RzGd2^7v4)@i$|C-?|-7tLHOn#27UNz9>F^l(sU@$;?lnqr^g>!gm+^`9`HsR z3tGhBr76t08XXxOn4I4?Fu6_jtflS2AS)9Ro|;+cWUuqy@Y$nZ+-#NKT~ZD*t@i7^ zU7w+chGu++>t4dW%$9?$S_(G}y3H{qTDRy5st2-7EpmB~7bZ~s>j!kJsRPRJJzu38 z&R(@*!B67QRvshMMeA|D*32sknuIi<;4YLymoV`t7gTX&ZAdPaEgSn$JGJC~<%4^b znJm41ne>0M`4BAJ?n@zFyZaLj2-kDpVd0W8EQFo$x2?D+1Du8Kbp3`q+xBYy&=Ahd ztT_i(Wh&|;Guob`-Vd5p;? zaTe`;aufX|0IPf_DijilS&{)W)| zfMHuZjP6^;lm#E_8yTa;vz0-Wb3r#SRf&tT1CLpEX!UXd)xlOg3l?KQ2jh*wtJ&rg zxXVxKWhKPaV_e1WEkPT1fP1_4Q6)h0kz)hOU^u>Q!DC_xj}f8ro~zcT=tt6{TyGqU z0r7_n^Ivc7I3mP(PKSX5xm{mu_y3r=I1AfjNBHoJTz;UCwQv9sJIkh{WVyB)dBk*j zI;uEo_+`xTTXS8$Ajp0U2-NeCkU@UGc^-6IDcmxclPJk~hD+(6$nx$%@D!QQ3YH|k zN>+*q;FV(7T+;6GopEj5vUAD`qQ9IVQAJ$zFyFzrN*f&6>CyJ_a_4Gr>+Lf$DImxC zzYzYPlFS@3*3IPfMUT->J~W74ERzrc^Ej&}eqm$0Miov8|3r%5pxKBsD=F{AgCz}BgWfLeyA43o0C^QKMW z5nwc!R*p!O5}ZV5A!aOn_-i|64kuJ;aQEb7HogDAk__tL<_O^Bt$f%zik(~klUq^E zAx#G8y53dlr^Sp?3l8LFlJ~nTnCmT_OH$x?$1$s1#9=CW8S@WmXT=6e2r&?EkiUHrbWa zJGfNN@z!Sk`w6)h%!fxE1I zfK2Zo@kp*Zd=JNIvT$s)hj@h|8n1i-xS2ICokLglVd2ha1v`O5%XsXgv>QcOD)+9cwM&w0NL3Rax|`my zFK%hunNz;uy{^)t^AyClD=(&SUT2^c;=B#lXRUw6nFz{v6orfC!4EQ-`xjUhpc$Bt zyLI;Gft8emWVCeUp5Rj_}ELppWagq=_C4r>{5+@M^|-5Hrd3HzE0?=@0K475DL(?%&@WA^L{A3l=Tela|r>OeV}>d zs!HOdeAibjq>K{Q_pu=DKKv%Q1i)c2Op__*(Gg3nWb$EgGE5Eng~4zg281An6UV{B z=lZIwyP@sJqM8H`(C@fsqtMjms72$$^RN-KHOga+ne4)B!xpL3piz6*h|kqv>4%T; z&F5aR?Wbj7UAWS}w;2y>kUm!&x&Q&Q7kCA_+T6zwCm;Ft3)-0PJq5CAu!&GWcrRtE zl*-wnpns1tN%NLRmajNuC-;+9X+G4xSrC%YPz@K~0=vcE)6!kko8#DQXmCs0LC~@b zDmn~QhgWDd*(L*hkr+_@P|Z_AzDbFQuK$HTAZa3xT<4bh;<(}H59XjZq5s096?@cJmX*vY|&*6%C zP}4<*aj&?#?;k&Akn7k2;~DifT_Uo&Fo*X3YxKtP1DtJaAu}%XkUh5>f-g^3zaUf- z7A|8yfC+;mZGocESaA1C`r!dMa(z&0xgVLw3BN+d%HFUzKXr5#MFH6(JUIE?TM`mK z^JXR+R(V?oxl$@Oqi1lq_K(sd)UR&Mk@dYGUB8W7b-eG%2QE+D&3~e^x{HIp=eMm-E7; zf~dY4C5P7F+3N3EBuLVHdZ8k7S9r-DyF0dRx+?-hHEg3s&LjhVKC~i$kVvr@!YIpqLrJW{uoJ9LU~VtMm?fz8=heQeEd$)&~92*YvnKp^ei z6~4T!eRZOrF-Wq8IZ2)_5BfSvHy8+2I>(t(uhZk=jZDtmo1Ed0St}?ZP98YPgvw1IdWf@Ra6_Ix>mXczQ*d(4M>9)rAZ>RK1`7k=gLh(pRn=~0 zF1OA3E%7<@PDL}$Z62Sz89H~Mz~3c*m1(Oe-&~8BI3H=alqDVr_li-VHMQR>5-+sq z?NF;+a;UXaQ&FCM%L>N-gfC*7;?kylM%CI(aaN@^oLO(hj5=c(v6Z95Ox# z|Cae(cq3CUK^2S9Sm#0U5I#5L3_F@T&;rV-!vv9w$)6 zB)2nv)twIqoKU?p;R8BFsp4Qf;AoFb*(8_0FTC5rlB>nsCqjviT;2zUq`60W~{3+yvE+s6(K%4j~1`4E%SnbM_4j3qfqgt4k+QlVM=rA#P46%LE1JiYS> znqq#b?Hl7N8rW=NMWY@pmH|B4hQ2jfx6k@a`{N0O3h%E!Xa2d>>BZ|oBUlS&c|>v_c%$b&RS?{1ZjWnabu;U0vZ{z% zr6GiA1f>Ru8x~XSIz-^>nOfUCl#oPL^@_hjeyYgF4zn!OZ7XqvDPvi^*+{8_*3`CG zSSrX7$o_qbZ~K-W&hLBZI(|utHk20nZk?q+i6H~j6B9xBko7cNt}Rra;RY7l^hccJ z$h%}>D3Ydgu-G38^)Dt9_PxoZ*!x_?A+nF6P-H(X!&*@V2jygaZCWtP0mf5H>p3kAQ_Qfd;DT) z%Gr^CANL6C2U0)Yq~znNAU6&0%`BQ*bAMn^$E~@4ivoP)w2qra-X9fL7N!g(7pRvP zdvPFiidh;THe7X`hda6Y2meX6AUxtS{$CgOGTkqM&t7wOh?e1@vB+c?Kr?cbg?i@w zr&kWqqDhxk!;(O1kc-At+FRY!XS{O=YF^bq;;kg-eRN?!-`xPY2^KAzK*cfF9uZIY z;Q;MXieT|NuG~OCzx8dYEkvLYcN9cvKmzn_4SvzUK2PeP&HPkkp;;xnBXw*7D8bBG z7>Ud}e$1%zv8;+Z@;hQbx@^2NKDwnA5BRK>J-jgfMp&ni2-hE|lxO5OY673pH|62q zAU4I9_b8oN-G#olCOw!>Vdx8*hInbO?XX(sN9p#U6NC4@w;N;n?hf&hZK8AQ9WH1N zU+5#;ck_H^BHA^!k~LK3tHgi||Lx~zLJ6wVwE;w0YxN2CEOhM}v)32REyWVbvaE_8 zYnC}5QsLkGSJ~Ly9yq!LT)$1}#@6~7MHrpndU+T~D7FV_ONu1DV?0#5W58g+jbX@l zc1pYrsdQvfoh^l*4Wl<;LqISnCz6h8v2HmJ8W|n*Urq`0Wx%w&h6n`*OcruWwQ5jw z%b4A03I_1{Q2h*TgY%6T&@+t9uJ3JFlqXG|QjIq-WE(1yhxKb_3K{H8kV|mI%?1s@ zfFartRp^9{Z=T!$%-~>j9{!$iCY#oJxJ7EUYJOiM=~J|j>_T`azOFMgsqss!qz;!fT@@jTfACix9b+SBpV7T>t>#Y2;`~bJ^cG#Yjqrc5Ap8!ckBa(S9>KuA@>MLuSl(nMAexlmF|2p;Y3ag<96_Om6L z0v2=O+#D)dB$u*Zguw!^eGM5e^Eu4L^DPYa(LNSBhGN3927eUY(wKL@xG~c%jo~Zz zwvrO$+nj4fhG~1qgr}Nj3?oxx?%T=peI^3=*GO;aKI|!gZd+J8h>9Ut_rQ;cA>XMK zan0vapZC_uL+Q_)mhi$&;7qKbK?6U;h>?(C&s6jLCTbDuV~G|Kx~S{3%~hq{TNw-0 z@oJp@6}EO3i1x)EQn%4?8hF=z;bc;AoqwHO0F7iiKW*BC)y$zM6?gGP?tM2C+WGIW z-rA%7>)3n|=_%b*iCl#&ZF~I7Ja|G9Eyboo6_WdV(x>tzbKHO&iPpZ3WzhMtZC0vP zk!M=eZ0okz-&ZF#S7fHKL|?jx8F8j%sRzVj*(ugD$+}c(qyHdBw{U!DReb>a+c-gf zG$%5e*hAGHOUp!vvGb$UIx)(t5CaMW4iWW@q9R}8eF2@R_yx@*7=MFu7}~iX_a1RZ zK@qF{>d`ju^Hrn<8Eu+@Dajz)Ijv8+D7rxfPIw|S7Sd!U(zfdkia-r$+C`ht&3z?k zAi|nTNjc?Ci$risMJOvasy}|Xq1a-Fves+apy2sOIUpBKZyEOGO>Hu(E#_CR2caP-1h!KS|Ss#6&?91zY^YkKaHJ$7}TNX zZhG~CzzT(xmnYOg?6$3orQ|=%konAi$q#Ox&pUihfSR>|xB>6*@GIC^4{HggNjbN9#U>Nfa{bv6gjYlxqsVTB$?WGI8xjCsk#djjVS(C`x2$Wt zt&vT{Vch*c9~a(oEIKrD-5MnkHe_3i@`SQ$x2F=*;GKQLv=R!>qYryd%a!oy4~=j} zq$>H7`?hKZt9$A@DLrv1+8S{KPpfb|%w<>K`&HNViIgcl=Ho;r=NdUrv}?lY1Bhn4 zuDhJclA3;1PM~>v(1r*VXw7(U<}>nV_LwTmTmH5xSoD%Fv zzqet+S;nN~VB8LzHNNPx-vZ=E_fN{)(6lIOcN|#PhFk|%2Nj&DChRmXfzgb8f)Qw? z`JWtgk8#uQ88q`)W>_Tp^~6|F0Ld#A9r=xFL(STK{PIP4dNP7GcVNJZAY3*g(Uv?N zfsL5rDp#CoM2QkF8=pmwfRX;}a4uz@?*TSMQwf}@9t zei0zH$m$4YQd`KQCBl3CgAFTpWVX3BsJyFd#ALT#^3sq)viZ(s!FJ)5M}!^+)IDps z3@+izncp4c68=3ludw;6gfpb&2lXzNrIyiN`N(y+uvCB>d(3^!_$O!l#T`49eT$z~ zT3JX#TqX}rMc+y*q087CzSBa?xC)}`@(*X4IOp4kZlHp&HPvAN!PAr&hTesAG=Tgr zzON?D)>RII5526kV@P8a-U2-Fg4;&(iFp59Hff&SQ_cv{skvmVtaE(y@zT3xV<1bN zauVmRz4XqhDx50M8n2m*#OrSF!0;&FThfH!;&|O%%V4k!{Ua6r>_=B$7X(kf4prD#05kL(g}@m~Rau^F;2dtH1pIcWhR=0H{)PI*FoqPMUa)gRuIjU&o4r`GAnYo{;r z1&Zhi2Hz`OsW`hQoI-Nq=G8gv49FXZp|xa zkD60o+}ltJ=;B?kmmtAA7bo5Lp=fYB(og~bq!M-@U;NYC2c_uK@OQN$FDUb}K#zI~ zjo9`-C&4_DL=56eKl2JCI{M>*ZK>)WB22O{sa02W%#zWzYje;GZFpsb%uftI0qWeW z)PO>2;A{$2{MH1FXq1?wxUm;TDZE;q;H{n3+GFV%%Xnf2y z-o*fH;EJh7Ui;h^j?5u|mYhYVh|dhamKijAU=KKJF^sDF%s^PC3C^7n3)B-9;F!w# z*oMXBK8;rUOV3*n%2OeN#bFkZy;S&-$AyBI+ZwTd@6-#$a*nWrDaFZK9 zUt(kx2%FOny_b2M9G@FDQsuG1xqrh-&Mc$6r8;Q>BWriMrx?WZJldf>i_&|%q{)h{X{RJ9T5GH=uxG0u3c4_?2N1BKF>M;3}aJw zkCPE?;=m~F^JAb=%3x)*2Ap^1xCOS?Z`@6d#**4TYEwZ}*s{u6^1)%`R^%nmE3fd& zdU%cloFfpe16O3u|DnEU#A=&Q@d z3%(pz%;JZ{cbk;{u#MYn!g80`%7{4AXc|kl_~SGrT<%yZtukctm*SA^d8M1{K;MZO zLXnPviDN+}73m5b!E(R!YoNSjeT4_GydmiO#|pz|DQXPRQ0NVxg>#~f^FSJ)6P%4)*99k#HkP_sK?MLkdREBF0zPKSJlxahyQo+8Tr6ugopq47IZBN+xh^PPr z-Nk8ntYa9@O0xw?AKaV#Fl3FKhBTR@f?0zn+exlUIv^MKczR+TK8X7k;naz9o#q&< zt-XxrL5YcUolQJgWu+jK(q_h-*fr8OS@4!qZop;x)Ntq@UV2(Lw4_if=OZ;i%yuHJ zX8VxZSdKxRDLRJYP3_aAJlE^P&(Z@6Pujy4w+QnsKOeO<%!2Mf?bpPxGj*CAvQ~C% zFM|#mTj63zuOm~g;FlE2r!O--rd)!2SZdibB_p}JJTk;S{6LWu2;R7DTPN> zU^soQA=q=#B;h9?ziEtMAcjwM&`@>;ch6*Sj*a6!&gcO~Mw^hax*_T7{2F>me3`qN z02SVs6@nB#BK?5K_*!80RtN*IqYB0Ss}2pz8Zt0%O@l|M^>V9%zsT?S&YpWjX($9{5T&rt@6QrJCa)tOQI8;-JSk|7X^)?pi7#hcXb0!!8S^)yrx!JMog;TJ21(R^wt<^qy z(;k+%B4E>6l~aRw!tEb}Pom))S(Ni1>=tP1m>wSs5mYa_5H#+0-k$5XhRK;?BMr3m8O)U2=UrpU z3m~DC!qqTc?U<#hvQbH@7uQU^F&~vOaa9tY{*ekg0Q+s_LAaH2*nlftq8O4YzN5oIs_Y}Z6`P6~&=ctGylv*(H5qbJ+8~FkqaX`*P{uK-nhL7m!gqkN zX`}L0O7w;pWoooxovPOE1sYI)e0NA^=$Z^-{ID#$4Q=9QfAY!J2{coi2wfA!v@RBh z`B-e69l7PV$XcsejkJ@{FMjvBi*XzG`%&)Ch1Po68-ZLr?+zrW2$8I#)#QB+T`3f2)ylY0?73N z{pqq-TN7b62e7X62kaWmd{!2WY~!8h-wwF4evz1R64HjkKvAkxUek3DBHY=+yXsbL zzhl`o@M9L&G(nQ{_reNKqDCtE%A@6ABXs5s1dL8K&;+mDAq3msm$CxQ3Bw>F1QC2> z^k2Eboi4=LG<4YFk)~C)4a+Rf6nnjg4eX999$h@#nZ*+N(pH_K5ip^`lR%p1qMlPf z;5BsP&$CGYo%7O={IIH~B$@nON;V5t!F8vODrhq@TAA7?Y7273HKbH4NV90zvn);X z8wt$*5xD%VdJy*IvUAAXsNm>$wJMfIq|e*_WS{+A+yeiQ!YYcM^oGWcgB|p-YnQcg z?QhP@Mr^>=jc%gQ+e-hu2h`7779|V6&pLTrUl&#s#F1Wj4a2 zaj`mVurS`)EK+|#vrkca2X4X2<#uk?N%lidpu(@I`tdNk2BTgUo<#5SRrpJQv|Wzg zC_Zw&X}-Gx400*(mpX{2Jb;$JIe7Oa04L$2%dqHD1duJxa(C5#k{sXsn*FVdQBetm z=36XTnditLYKqI5S;Q(#3syC=aZibv6?Iz?G7w!Nd3tHN8M%>ZBaJqPl(DpacjRFK zJ4;7*v#1%}eZXL9Absst+_FkotNFvxAYop2g9;kQn|VG>U;RLa&Q!(3*Ru9#ySJEa zk8y9n5W~n`GELZ6C2{ayK8nhoLh6 zN@)28A`nS#q1@b8`2}51Ll{EFwaI{phI^QTVb@ZhxPEKp}8f!%9=@H*E3N7yNqYFS}AA2i7sMxugRm7i5JZbDEWoG zG{GZAXy?;dkLDc&)eyU_xf7iH=}cr zJ6y0C`DwD4D>MqHhXE@kXZ@XbW96HV6)0@8X+sfr19y^n6P?)92G^*70(*&g9VU~G zCBS++_9j_iUY;Bm)x|XJK}{+5$*w-Tzk;0NE&XPR=qlLi=(>dx(|S>(HHUr!+Xf3C zk_(D7>yAjj*HZ_t2<#3(+gujILq))PAWWl=XRu%( ziYxZC!W2MeF#p&@bq3QN{qvZ#(b4@qHPoM?c1C9w-4}?Hb@I5yg~y$E#7RPW%-HFJ z`@*}-*$MDuq#`Dct*-Kc{t%k1?;gh{ip?F+=|+j*SclKsu$Z=?>H@mPXJ8?L^=y^S zt`bm=sq}6drelqvp^eYc(nt>=x*uZuIOAX@>u!$mNLGH|ix8q;1bsFKq*L=D9nB7P zPqFK65i!^p2yhl#XN?(;vb{aca#99urx1uDt}7+wrDaMzq~=K1ch+!Rgv8n$aay>m zbx^oeu0)wApNdAaoCXAPfe10xVk4EKF3vg31RSA=Va!KL!Pw*NKq8GG1Vn*G3mF`` zRS}da>0kVEh3Qh4IZ;y_Yi@*U9>Z7x4|L2AyhNThUsJrMYCSZ&fmD_Mwgi@B);kl{F1-)%_!;i z-Jm|(6SsY3f2%Iv2k*KxpE1nOr(bGgn7PNRF~lnkgchb2A8CFIv;g3FDvaqj*#5o{ zW~~c>%mBUJ(YZ4JxSKZ?qI(=X`Dx&ZyP=X8vDXj=`V1frQ_G0=6tc>-0%FZ%4Yw@5 zvgZem&fQiJi_q0r2MItX>GvzpS{E0iA;8sDO87dCIKl9h4^bQ79?QPKy=BFxdWMImXe_G7HDp*(U%HGyK7zLXaVS=sIhSWa9^ydUZcNl(~7J|EGdmGA;O3ta45{S}9lJhB) z;UxlVN^J*r^9x3LA;-PH6D#;9t0!`;V{^vE#qHZcy`I~ zJc5)#x2;I2K|TCnAmX zBhb;?*@X>hY@9z0ZTSX@H;<12Cty*|S;egL8apFeikY)lOb~SqsKNGgq{S>w6Pm^_ z%?(wCd&_i5$c~uJqOSMD&X{>AABMkDXwvEix;cFy$mVhFMlHB_Hm^PR%Bqmn3%3hbOPo(U zmM3#Q(I+~rtIS0Nr^P?>-kwKfzok``?v@|A_ivpHL90a&;&0xCX!h>m5qI<@D(T`T zGEna^;6P9~VUpZgQ==1LHO3l9f=S(u2Nz&BXodO=?YzG9Uk*Z=s1G5w6)S9cS{bFj zY6BzhNQ%X5Ys|lRf@m9fYiGHk3k3WLc2nPnc%S#OStzkfv5&0f|2TzMIs)x?V3zsA za3`<9jY^n4v%#%GiDSMx7~H71w6aqmN#ZVjr<_zyf>5=~?0ohpOPdAA1&8&>clNY; zj&`RO42q#4a!_tNYhwviC6&q!g`OG^_V*D~g2Tl4_{7#9v+*JA)@!@v*>rTa!Lo4^ zC0iXSk~|Tn!exB>T9j*6s>g@rgW7@N@$+ze7$!{y)K2*kSJ{XOY6aVc@tyCpj#_NvGI{U*>LZJ+5 zCk9UWMve_~E!2Z&HIc8nzWW--S`pJVI?9M0I5lgvzn1HmtVs6vMEs;oIB~}w;Z}3^ z3bq_XGU%JabHXNg8%u|R^wmJGy^=h(MNhV?v=N`E1+$hdd$w?<1#W8YQ2(1LOZ~w~ z9t&*$2})>jXb`%(yF~4CSNeyEk_6n)?>A-f6CrP??%`i3lsAD&wtaQ~u>>OKRXK{+ z`$pcxjDmTfgrMeN!+~M>C`|rF6 z-Tk?9w3169(f*7owy5ZZ;;N!7z)zY@FA!?_%gdw9fw7ZydKDX%eGL-(y7tcd>Ver! zofP&1s4Axh=k%hsBG zUK&psZv5;+`9&%pNO+kY(1rMT25=v?W~C(tORi2?LYI1HvbbqRxTXuxx(k$MPBQyd zm?s|b^g#uu)8hdeIp832rL0G`db}DMI@ZZT+<8SamTxr<-jdV!M*C$I<4^V;e1(I| zaC+*#o5%XZ4z71aE?XS6#DmoWMJ-74BB(g$f5BC~+x(($pXTn10N^rIdBE&7M&Sux zd|0JUI@MY_#E*;GMIXNTVG%z3E~Nd_w9(g!YJa%(|MJi-Q~|gmqAz9TFGe4biF&%- z>2kCD#N)YRW0K@3NDN=ru!m2)DOn7Eq#-q02v>gV8?-tH{7|=>q$02=Y0Jj%I_Ekn zFT)nFB>(knAk$>+-D_Lp=_RN&3np(r>iT-^LgedXq;CGR2>QANM35`(;V)&@BU8r00e97+qudz8N5uaT9@^5 z6X@Fx5*V?Nl0OiXb;{?@ei)}C;ldmD*dR6Rhdaa+JnE{m$^vJOJ)A7lzzoUs6{z<8 zlKGyn#7^qnf_9yoW5WQ8yg4& zGFZQ@$q)+0jtAq1=xldQ@_@nu;Wd@c-y7;;e5=t*JEwjhZVXYFO)(Gt@?|R1zf_+S zvN-q@BFgS0Uh=rzog+#x{u*A&e}&@8%02PL+3^Fa{QAY{^Bw6CGz&;nZ&i)y1Xl%t?A@ zqOQy;Et&1=YG`qmkBsCh7Ll3GNx(LSGd1L^vSb&cMpmk)3XjL;Qkr94L>~E3m)6)B7q~ z#YYC7I(Sb)@jxtzi2|fo3{cx;QtNTo3g+l3b?;Z*WL!Vo^=CT7uGKe7}F?K#(cx^y6R$sRJO#~h&Hw76%!R3Y{=VtA_udaU9`8D>I# zJmG;6K|B3>7q{8J=u$GA^$=iIehDZfRP;>3XXfLG>9}suMjcHK9}F$N8mzJaa^7>< zK=^9x*>;=N1U?7Ev?8eX{YBMe-8U3~>%~OwxDo+H@X?)8LLxtZUKj-V9i|DWg7QZ2 z^aL?2UH?f3H~0)@E7Inl)Hmgag~J7c)^OS=G-U=Laiy9u!vt@KmN?grd=CKnRvN98 z9G-JzuRdZf7sb+tDa?fptGo`dOeoSYQ3oiVvrvUo! zX{f+KYT~~vde9Jc*_CRLpsRdBI1j!BrVjAM&W1NtI=10CdEX(|;~~cE0?_KIEBMlH zJLRdiVEOD}yBonTUzNZ5xQ81OyZDmgX!+sOI<_rr9rEMHHl*44*etM!?P!0g865m} zp!~_&g;gKZM@Ekg?~Y2|W*pBw++YgYVE6C%X83Bl12G_}O^-Hnsr;bq9KrAFvTR#E z6}pHkxJ@~~tRp4tK+fPt;15SxV9vX4h}Y2mI=zub1oouYDAh81hdz(JaGkc4=$MV z#(IqYlH~=-(pe1nPR=<`*aV8dsp9pq>kodLYU5rs|7-+o#dX|u@R5HSsRMl-ZdQ`@ zPR1K1j~fbtxbiU>Va6>LQ5A7LcU?(8@L=JjyKr~yOH9h;EobCQ@qVdbejF_c&I0b~ z%#tV<1x}^Um-8S|#5v;632Rvz2S>NMe_&HmW_A0TaS8S6{@(CyJAtuDn7IuwBsJyg z2O&r~@SKcjbDJ3ZFH@P(1$23`hTjdpTD5TuYz5cp7@I$u^V#svkV6k5lFF{)Sc=S)s&D#7C4J^!l`GsRh_vS}Bz)SX7s79&4IcV5Rr6UHa9!3kDnvBBE?849c;U7xm^Qn%5a{Dw=hm(uAvmZ| zkc=sUU?K09<>d;Y8b4f9@%L%Z{K?pSpXnQ^XVQ6nmy+jcUDJiT~G1JWgltG?7~;0+i^2W3&XFh98r|s%=pm)YqC9jF`w8P=WWR7$(Y+d%9HH z10xuXGNPI-?`FDVTS%JRR9+63&cMhqa*_RZ@=j7edk7oL)%N-!xrXQj5Zs@uMH?h6 zU#Z!d@Hz_CBrlID5`Pd$txDot8xD_6Dp7g2R2!m=g`s|mEwG^X63v+y_7M&h+FkAg z!lbjipk*XM)8f$Hx>FoJsVXOkPvwZ{gOv6x8R@GhvK)28tzh>GSd))^q<@zcV6WN$jpoQK(PEMrRw80tn?WTznoj5pq2#Od5IT)N9Xr!DG0qE-I3(#=EczL%M^cC^5Yb_G0? zZ^7Bg*5|Li1S=6B?TE;i@N=z|$1_}zf(M`Lh@^Y_ikurRj(6@A)*!d0kG6pG2*n`?_^4 zSte4EZQ**7jwP+h>4C1=d?`NjOW^Q94<6_oi~ZzUjBwncQa}S@*w(Brv+f^@bk+Hg z`Os+LUk(fb=%ja z-e2ZrrcZ#AJtvk;SpV2@g89Y^GSgo$!B_D30;DX)dCbdDePpzx2xTF4e3oKXC{KuW z(HX>)=r@ir!awM1{1q5rw0K?f=a{nKx++;Ijf0=u3d~5?VquNhcXsvm1;E12Dm|rJ z@BM(*GM;XZBa{z)#Gu{YF}10w)n%xKlu}01Bm^2>mavuJ!qX=VXP)VwQb-PKrXF-9 z1@^!XOzfpJ;?!R0#iWx1Y7)Gm$Mh*TCvfKM_Ym%|RZA$}aVnD45N(r{dYO5rbPF<@ zMfk%*ulWcUEVL#KFUex@_}Lj{hIXyMzs!AD#56G34L&GDSz?EVQ%F4D>8l6po1X^+ zqic!+)PcY0`prY5b!Hdyuyl`{JvKSW?;{fY+!szYv>J%Q2y|@lL(^SkxJ*ags!cq6 z5!$s8lRU1BVRYqF_E~3({(8OpnI<>O*Mk0TmPI9V7n#LY02zUF5OHi*@_jr)ewy`= zh%joQe0fu!8OJUrZ(t3Gt`C$l2X%^)Vscfv;h9eHv`Nj@AzqKw@#5p`o1*!g`S+Y* z%V97-dTav>g;#uY+WY93m(5%wde`0E23&u~uS#~}6;;fP^qwd3>l&U*%G?cVGn>}W?N!={ zM#+odbVKE;+wv!og~{qNl|4R%scMa?0e*X3?OwY7UQI2Wo=GH214^wy8qU_4$TPwa z!)v^;24aQEYM*(nMP6CIofrM!NBIi>#i|X!d7MA!lK<};SL_lG1FV^ zTe+!I>dpg->WdJbrsg}RwCI7%-{Cz={zNSGkrqZrd^KiU>t?VN^haarJPG`Yr=Y=^ zsGy6?Zj9;*feqYLj0v8b?ohco=G|i-tisi>{V7L-j19yTYBu)u2Xf(p=E5PE9GG@$q}5-)AwbJWM}zJoo?% zA7Agy++m5S5?-IXtC3+aDsTt-0K>7pm7VSfE_ugP+4Q6?Jav$LW!U|jpuS!2l2_`VY_V-VjnN_8N@qu! zfMzd{>M`<2~r(^{j9jes9LPa1zr zVmFdW2T$}=jhQ=6Wn)n;7YRS4o9o5PE3n+NPeGEVG~|!JF5&{O35~)ExFUU8<*U&? z6Cx#ckI7w_xj5lL#LXOf+N>FP+u`9PJ^sYR0Ns!|Eu#}$ja8wJ8h)nyLA}-Atf4ea zXBgWFq|R*B(>e=vfISeicwc|qR0OUdOO_I-0bY%*K4rP-jjH>|!m0RNdE-%Y7|)4z z-bG_7_h15Q@dXBR9qWm2vGgUb>9<5(9QeV~$#!#4=~x*IqiO2TqU1UWEZn!S+6Z0= zqBG2D*vj!f@THWXg1XoKES_uter?5GdyZrfTVz9m5k{$@x?|ch&R15%5xfH}hYn1gtFQ3JrP2SWMkDv&AA#9{ zu`ruK!&C>LTvu~;{~{Y!8yi?#^8BO8+@+eTc!Fh zNDu3%Des*?(dm*?WyBt3qCN8dsgx8XwGS1BD(H{6-<$8ibsgAuPnUwAWx9knlMLM& z3zRDbYA39^0ww1|q62h35yyumK{77Ls*&Gqy7nK{SaSlwkd{;2ALNvmW4}1B#Ju9P z5#GpAXZw{y!p^~jDxo}~n+w6KrX8K`6O2Q4G%KZ@KP&6@S>xRX@hDCTBa77PgMC9P z3p-bihLaVu-JgI`Sb&iDX!g@HbzZDc2)~jNxXp!gPy#x(m*lVIyt^lJ0QEhk;!E|B zLd{#`&z=iw7C))jkCCn>LU(Q|`s185!J?{~q^1=DV}}sKBP?g#18kRYDibj8=pLL~ zMVJoTR&z|GZ~jA^0AOi$FbSev3JmIBm1J&%sD)}U`!222y~t~vUkZIBL8=aLx=luBV$E4n<=v5f5=bCb<3KLA1AC(}_0SZ7I@qJ&q+Q`2B)7O- zH6>ik%qL59Apf2UKZrXN(xSC_9=8P@lQXPe4MJ$-%he5t*+~e|L$`$axUL7@+Eb5- zCbv`0J8%$wGN3^`0ToOY z8QF<&&S!Hif3{W=$gOK0wD~1J8FXg2+^hj8fa`o-(}Qtf<}V4{I%4oW9B+7@C{wRz zx?B7N{O&EEs><4S38T!^8;|C{KDtScbM2}0;>fh8di4LGb%#;`^JpQEp~td^Ovfvw zUDq}FDsuZPxh)UAuxhC7O_!E?5gfmxtei?y`}}0!q1ZOy8G$@NTv&m`on8mvIA0Dn z)NJF0n5^O`^F|ijt2L%c|5?8S0z=`6iTznD0r)1qdHjY>E^B4*j20Rg zGSF*`0zEa4M(H=#0Tv9B{sFquvmVmDV*Ly8I1*sE2WlKj9}b}%##2wq9~fKGFNqD3 zu~5d!Bg>ZSNl42pC;`2l)ofF%&DWRgHk)joCJpMYj!Rs4$P|+1TbCFvvByAFy5&5; z55FEd#Yb=xM=v`RM}zcNQ9ZX?kO1qZ`-zYAchmNcyegMd2}F2jME5S796=yP=*9cN z#x^C>cu(JNCrX`twFtQD?~;0)E?|Najc4A=sOk6HXixK$zIm8%WtN2fH$%pzd0;Md zC2E5`rdxcjN;~U7M2)6CF-cB&6o@5qkIZ_*&6!(U9EG7IRK=!9P_I6INQEjESe1wJ zrUcT9BFVSa6I~hpyR`*v5mdZ}QHeL_`#2~kO;6F3;==S8pfS|dMKN^p4;Oz@^pf=$!XHT4r&I&0c{|lS5PPxAr-Z!n;8UZdllSuz-YoG)>7u#rl&Ft+GTTKb5A@a*a=}n#A>?Z44M%LLI3fI zSJV>lRtYZPKhB|iMGntXN3fUld63+qE@S1Rvc=2Rx6rOuzy5tQMd1~Fo3 zVM{^sKyY4gTzi5N#S@O6VMw%v02qFr;U-`9E>})qYgZ9uV!{73xWqz4B!x}`gK;W! zJR{^`Ry_z!K``h&d~stlDl8Zv55Eh!Jj%uh+PAv;m8Bmy!R#{#%n4V-7r8}%Xjof+ zZf#ypSiXUo8C~dmykhz^mrhzZB93DpxPSc%>p;P?;RtN!Tgn~|66Pvbn%VzDt{WQR zST&$h(XjHjhhDGF-Q~}^_&QvJ0q+uA(mQ1zDM`eEd@q8uIFw3_N4#v=ly zTVzR)0Y+CCp0_ZFmenbna%=52cnB<%SkEZVx~)(DCNYLWL8yZri^4Rzz2VT`>JLQh zLA8X~#b(X=~MMZ3xUoVk3>^PnsV;mXhW@zBq_SaJBI=P|a&;&`?UjW0l? z3~PC?IIWyZze;V8^u^!CU(|nhY{O^I^d)s%A004!95C?18)n8UNr%LgQm4tz-Xu#fIxmBci>kCEAK~YuOUHgbaIYxTNVlCYog} z`F<&&!+vE*wY~oH^2T3g0k4^}m$$=XJEAg?qqI}@q)IM7FI2upIUDCFq{{rOL0fNC zDcXFOBogFN|6#d8Lw=3*Ol!9g%vlT1i7a_DmSbtUk0mb!2m}D||IM$8GxWLt%&l-> z008jc*!{U<&d73;tah@=~B+{}}`M`$71f8HN&o0JH!iOp;D+R!WLi;trx#R+g6b iDol)K5>C>xF3KkKqHJcO%*uvV%nHm77Iu;XJpT_M3Nt4F delta 39321 zcmb5WWmFwq6eWneySrNm?yd(q_v)TCv)0V7 zI(MIa_PvY8KKDMVsFoCH|8@ur#m|sXSYTjaa9~`K!3w`KIX2@kC;>>Qg+cM}o@AmQ z;cVStiYBD2k+BZmUIm=BXh!>Nw(dRFeC7FH=Y@hOryTpt)xVlZ9^G3Kdqr@!LsBO8 zU(qU4r(%rNR~O23u89vL5aU*v?ko^Iu}0jrGFr45Hm;Z7c#lfw9amRV$Fb=4GRP1F zmVy_cStE+LL+S@CxdRrBx{Wk)xZvjDYi$<4yF$eH(W0q&r9KAkQl}7Jm>=D8?tIB7 zFwwqI$oQ?miLDTS+rBw>obFWtbwWmPCt#g3`_ddOLsF$IK*!@GP$3h4-6W~>gR>*R zD{PW(xa3@3;uo%SB>facv{76ry4;LFlzU(OtDd36SESy99X23wR9`6ZY>Mh&{g2=D zwW)(hwNU>|RC1Y>lq{>nRO!GjPnlHr9w76j-pshcTxi6=z zIG9dRj36jfQh5&7L29NU;yiC{(X8UePlg!RQID~)^$gTcSPH2!sbP;Y+-<&?UY0rC zJe|IU3?A*2D450gTn>9b*0y#Z7iQT4CC~XUcgAnSFU=3H_m5k^<_EhjU7$i}3kUGM zoZsv}^1KxU);4p-2Q4|fjj$>Pmmf10Z`vM|RA`kZ%6z_orB(!vNTN=F`)8UrZy(k!#B$dUJHR|8aft zXMfs`)Dcd2T6oH?M)*a5H{JNv;GUHB@!;kD=FfHx>Ua#Qu<%bn&o@(eB0lH*H}Iy7 zaGe9NcFZLtG=Is?%--Dc?gp~Bvoo80t|o7fo}AxqeIMO+S2fqoz6^u8)Z8WS-2gHs z+nFxgdF4&E#)+e5wc#PeEzDhm;I6X&O7lcK!;Cm`I0ZJv=&s;e?rC2q9{cBAVhKr( zhtSPM%lK2?;D^Q#lB^eX6q@(t3X9Dkb!DC8Vc6YW?f4T|0s~+gmN*=ixY<{+nrztG zc@Xyc&y&zmtvA-Qh{wVn6YksIM2P{5XHIZRg1U49QBq4=z-=Ns);c{49|;AA4&YXyZXe3;~NH{3(^--gOV?sx+a8; zvj=Px`WeyfI4gij#z29*rA6TC7q={*iEnnxn%DTN_41|`fszaF@)1qeVsVvC}*2hZV?U!=ESrl*Tx%w1+%-(<&VVo7dVbv>S(x zart~EBs-dKc~3{l39a1HNC&%fl3(9T@8G-#ySrSiv629Ez^_v4bTbc2SKk-U=5y}H zw;s3FPk0wIa!`0jkOd|3P;$*dko%?s+{vhn-Tqnz>pSgY1 zd6m7my}SeN@vqI-oKL-yM z4~*3EaRhom6{uMq4L@hzM3X)z>M@^@s4ctwIJ=6{DBc@?ELj7qJ?!n;20F15Vqf1R zSD}3`#nN^c*&o#yylLJUAJg<6y)&5)a+qVP-Q)n9Y3>M~oE-KpBc)ON76BElv9+Q` z0U`dbbmE2d*vM?)u>O5p#3@`HL9(o+Bw>E__mAMjbi1@fIk{(2dgD zT>`uzU0j`gh~Bawd;l$Nk5!7$LkNP+9iL z5U1C6lPKrYobZ#0u zm1vJu(Ei%GA&YJlc~&UGF6Ace&`vmfs_-pr;EydqQ#)04_vc?v{~s>E|Bb@|D%^c) z|Nnhqs_q^KP5A%!!VkpNsk^$n`UYdN>!<6r@Dr8F;>-PQFUAL zxI268j+lP$b^cxg(-4^nec4$_spu9$t2h0?99C{~vS z475JeIZFCqFnoR_&(_w=iTjQcaTPl=t3)yvoOSipU(J!3v_9Ib5#4HVf*`vNC$Bmv z&BeX}huC0{-X;g=WuRUYGHMMr``!jT;^!G;yhL7XN9jbzeHTO9J1t!oMQy?k;Bz*_ zHnfr?=1U6iS`TAhQNZ0}hF(^1>{XMA4Ot@Oe=o;k`F&Bv}jyY+)v7=Z1n?wCpH~EE2m@ zd_`2ae#pSixY9d%cn%`HjXaGC4Oi8DG~cP66Wz3GE%~))nH2P)hP`M05IN=E<&m$RWaiONiZ;8&Z-JULXiu^W46Ifp3f7R>FK~KEQVW?Zr99I$h!k#;w&X?oTr4YjX zIF49Y*gr8NEIxSn?mkA5GyK#T0w#m!MW(#y)kLwqnGIm zUig;fY(dm+kD-elB1JL!G%5nmRTT4+$Ai?^9N7fZ*~yYiFoS;}R_l>$buW02#!05*& zFnmwEyqdNbk@#IRaJCyHF+GDve=68<2;)DifnDiWkh0N{&?7VKus-{Huye#PR%8{d zCSGR9w`+lxt|nt5UHuApN6}?c8pTLKM9$TUZgQNXY$kMjx%1!KjLQ9!>BY%mv&a{S zl_#6z@DX_b?B$-NT6UO>DUOI90MIVOD#|R%!D1+U)TR8z1g>dpSdKHRB8zoh_`jog zuKYz7Ke$AZqX$Ev2q2VtqPY4n3+Szoi!3_T9wXj+`>(&NPWM)S_<0R&`=Txg+umhA zjoZ4fcT05g)F-I7Z3_HP;`58@^iK5$BF7b+f0&b((9u~JP@ggW_d_~+bA0o-tWE!5 zR(+2;iY)H>fCwIQ?zHoJdJLDc!M01A$#*h^vVjG&?f^&l7w2b~Koxk#<1ctJ`4F5@#b}bTDEAF!8luJ>HJ?Z%|Qhf(Vw~JS}V_;XEv6<#RxKQsFGG5xQxN=&FLjx znbqsT9roV~hjD+L-+CQ5BP)yQ|MQqa(go~IKHgZ~ecqg3wUEEEf4AUlf9-m5?bM)& z#X__J8f!9lJ_UX^PWZq}wX^pbh4irSv}oSzEojOBsA(5gOlBZ?p+E6(ShkwhVRL^o zS>Y!VMIjx(U@SQ2et5n6H9Ds)FmK?-LA*oglS$E6Xoam*^I^Pn`5t4=(^Ll4@eh^) zf^3|9JO^>FSIk5{{#ZP|C%ma(u!}v|s?(?7YA$e7X&#@!eJyJC{SRlhjA?t1uzYT! z31U#{z$f$Dy@%Z}L~6kBgKf&=`oJ+q#o~IT&tMeznW0TXchr3Dy5#Xm%6%Nf8PlBA z8ufZ!k25BfN&prb&(W3OUQavP$NQ7&pQ?1fZ0~|}sEqt@W%qv0=sJ^p*BXexutjGN z-j#wh>SN|Z?PG@Eg>QlT-RD60%DRJ-1-rwd1`HR=vqq+Mwse5^k#(e#eMG}kT!#;r zi4`G-pb`!!W`=ey%cBe&Qis9~zH7!Wiu@e$k@M7DV`za&m{9AJdLC`gUJp0wt(tob;I!ftiY(&=PZpb{>dX5_<#YT#Lq7m)8#qkCO+`RE+&|!t@ zCN`op3~S|wy-Gzd4kslqth4Wl;xcC6Qk86yAJ+QHvuJU;=ORCoVN>X7Wkvs_DwLS4W>* znUcEAU>{sEr?-LGu%@?hoRu#+x8^y+_pEpMPSjZ(TKrLz9`7J!xW+Uk;qc^S+xe>< zA5+9n_$%K)*SkTGuOzO9oV%VL_D?qq_eVBj*Q#h;0#7YfY$dJlJxJ0h6*K|(@=Sdd zfI-9@JD)RIYUYgvy(xrgb`*0F2K5TsD7Lz{WQr^!C%$$N>eiT1W##zufc>p;OLKOX z@X;(g%*3g}fKL!Z0W0)CoRVLVl`+;YY+`H#omnn_1D`V7>g=U-cX0j+A&q$!-OtiU zMUcj35c}wUFFNaNhnv20>R*AXqL|-BaZ1ScKPhKFb~ygLAkbE0j2i(;{5bf&p~n8C zpA(^B79l8UB9&uay7pT&<+Q#iBw_u5T+%BSSOiZLOe$AyDz#x8M_<;jumW|tsZ;wi z#P7n|8dAh^FVKh`5pbr36hrS|O)+yyQQxg^$ESj%DC_W|uMmh@ci9J&sOHajr|-iH zeUi{!(qxk$x$hVp!#nRYpG^18(jk#OepV%wcj88LJu{di#~30}6a5l?5Vph*G9uUI z)*9yXE_@1CGIB7`;UpJger%>jb7A(K^>DpxwY9L!5fE09 zByx;0`PjK4w)g#hy0a1BkWMi<`-#b!KKbe;wpQaQSHGZ2b70kyWK^YPgY#M{q`|$8 zbvs&x%zukf-d~CQ90fm^9KCLp6FttLgF*vqrBDGaz>tJjrbi77RbTQbx)TY=k|edo zE!5Z>FS`@b3q)sA#XKX#Jb#HBmZK+`d?}Z9i;|8TRJ+1!`ou;ML%~?MH(RsdFzk+1 zL1uz%yl?;X5Rpvq@=C|IZu9ma*-j1oYA&T6%&Gr_tNvM#{_Wwm3j~pr(+-k$ic0{$7)vKbFO`hIHM4;`LBnUEx>vsyUm0s*h*NQlp_lk3MQrRjs09)W2+Np+i;sA~ z_Y316NBfdZd5$F-QG>r@*qabG9{d)}YbHnwwn&9=o;lAdxxnBpERn;!g&#Dm>spol z!Kpa#EoJteyN39t<1xs{wdokUSWPb1!iH%(> zB{y-F@ow*VG=znM$1eu4-%jf^cK89kpMGTI>+0fPjmSR8cq^TGe}oM9K4FC%mO1`y z`nUGvt?{0UnkQ-lqu}QaUo&1|7Nbh_E=R*-iVZmftq&RW)}PhcgKV%ZifftwOkg!P zCB^l%O?*^dQ6n-%(7Mno%d1qrk)^PTa~$bqAJ+b6T;AGj6&Kf6E-8gjfrC#ERDLrq zthM}y&>*yfkiP(#l~SvYk*0?Uk|x7fnh*h~_91&l*C^^o-qZ9;HS`QAE~*~)T>y?4%oPv!> zN{quUNKK5}oCVFAbO5EL=M7_l8p;lyrMjH8lubiCNC!+)s*0%U<3e@qYUr9}Sybua z$HvHSysSp0I&n?-O_N%9N)JfN911MLTOLCS> z(C%6cYlQw#<_8cMxjxV`erm6=U{#~!;NL!qLo{XwL76wf1MoB76iMCladZ=V=b$Cm zSz3d2c$&uC{o^@t#FnwhIhhWAK?~})Z7av10TU+X5*;Fl34oYb?c0~jLHvLI65BvT>P5L&$_7M| zXq{FgR*)nf(ntTF#*^Rq6$_TetZwCAVBbRyv=-Ihod25}I)G7ybRdr#*;>1OQ6jWMt%wLTIr)IHYhg4-u$PR5C-b5E!4hQK6FJE4)Hi zF{@I~>DT8v_oN3qx_@KUXI>=T?@61y7#aLQS06f13xb|89Q#<&=W8V9?*e{Y@sc4o z&w|BcD4cusLAm_Bp>*+m)?MZQB>?^(a&_(}^o#GE|Fh0Zsp8$}l1`0(?A!3z&dsbE zjNzNF%FsC%Ak47qmeEa`blE<+6*Kmrvwc35cnZnIb}5@t*Qfk{^q>dozQ+A1x_>=r zUm~@6086aV(3Kt>8Vt+(L0zg=cV`n$#WjN-p$ zBn4_lgC&r^ml$^=ZVMoP$BenZ-_aGoH%X6*mkN%}i=HZdLb8mh{{mVdrM$>#98K<> z45p%2A$+OezqZo{S|@11zOf*}v&fSYfPW5oC~eXKTWEP?JUR9tmmNZ4s_vPrCYtV{ z5=+2XXA|KJ@^_~-$lp4XSk0=1X?@MP?8+-kYNiP5Y{N1JIu5Dy*1bScaJ|ATZCItG zrR0ZkG$)I=I*j%IY4ZQg;#g@CrAY7^b~(t@ro|vr+k#9D>jg4( z`d?GSf;?>w@^s8`e9xGBMG#wlv2-_^iucs5PL*nkg091NDC+1#ksVXS@ASy@Jpu{d39s4(FL_Pq; zW&%q3mHka}h{=&%e*-0R)>K>8DX2loM^Mtf{BP18l;l17Iq5=)I=AxmXW7J(}uB80lO#DLq` zGz`?g^oJ6ILZ}n1mH7-oE>^ulrZK_bkJf8tjmGqpBJ!uG*+Ik)sc3~&L`WU5#v^-X z=0b{P#3O_msfIdVUsx$D5ypZn);}#M)i(rOQk5w>p^tl)08PpuP zjN7;qNldB6%93_nhK$Q<+PvAW0AG}=-#k7F*+&npf70(9G+eD>bWR?8YG9cy>y8_9 ze(TVCLy2&jA&ZQERau=%5c&j|$J3<$9OAmDJzt~v6mJXYtsWCz(=w+$Q6z(ZSV`?>e*ZRXHSQfZ`irQ0sAxvR+=wdMFqiKA^+gI90Onpl4gr0Pu2;INxqgA^Pf0pSTM62YO42n1kI#D zEl01E7M@%R$9j?i!<;j*udFk2DvL#QKoPv_mwx@W!XIG>U#jv@NeJO%ofUNq-CP0g z&(q}h{8XZ9RHWd`3!uWsAwP$g*ed>F&{Y>ojKb5D4O(t6d)9S)j2|4 zOOxLkjZm&-ED;f)m~@VC3s(9^v?$iQaL;)n*yuy6cgc2oH)E0KPPQ-fM4_ID9; za@>J`SO<^|0}qQu3)5_2W(`6K2Vmyq{}v$S_oH!#A{S@C#F@6?ON5Zy{+&cvqGnxW zPfch<-)s9j$>AyX;vWuZB)k?yuq6`&2H-lITX#W+LuGYTDzI-9h8KV9J~^^2B6!xm zem2*>UQ}WDzEiW{EZ-nI%bJ5O(>fOO%ExZEwB4LXjFu1?ftK(pu9=q5m)jjAB8KuG zv{&f8r6qjCLeQI)5Cs#Ifb96K>s+4P1viRy?cX%PEeVyY7wtNQXFz_PzQezn@`UC> zq8L_I?xLu$G53|{NPH%XYUjyZ!@f}4lFL5H6Cfi+J`X)8x7wj8x1ugnCW|-Ta?5l7 z=^Q9kMwhHzjW^t!vJ&yJ)KKzAnZS=%9(_Q4i=k^si~e$M*TsKqePnt}*Z7fUwvrrD z702=w-jDTnwly9wF!{RS?OIJ8YFk(W(>`SMV}&e|wyI7P4|UookoC9t0E~g0Ll|;j zY7-VA)W~HxG9=a6V6cH4eiSkU(d=+A_!Wy`KiDV-$f`(XAWN@lfgGLkkt;GW=rsKD z7r2)--pLimqPq<=j0|-Qlo6lMG`}fKB9Om2g^a6T3vhDg&aZ0P(I>=glNiurit4s2 zj!Ed7ofgRn5hzaT9}oGHCJ$4N!MOcsQc$(Zdb)1n!aty6!1l9RD+k$J3zgt%zt6)4&tw5CeLPzGgQs<2!I|iQEVC6{{aSzL=G8j`qKnES}swAFbaSrip%Knoz1) zR!<(ClWw2Dpla9)nTVbEO4Z<;V!RYsm=TNbl~<}{x{8`co>q6CLSVAAPMvm3en?|H zq?=-ysQLbvzOt?v#YT7%KLHc#jLBy7A&_&-`a#?jkTIpCYM7;r*CgA$D%QZ}BTQLy zS3cpXf*$crF`*r7Mz{*sI8u4zhpu*zCxKB%U?jHhXHSlbxMywsDskXPnB8Hiy&uw> z6hIIA^WsKi*Hr{hn?Bm12YI@O{fzK?Z;}`sC_%-&3nPxFJ?h239efan??%zL{V94L zoLc};9dDhr^sg|1O*pBJTCsq(8BoYDrgZ%VM_A|7wHBJyFf4VlU_w}@++aQM)!L9I zIgwGDIf~x`XRvXC5<&m`r3K+isv&#oqx#fgv-&=^HPibj#58Z7l{?}1_xc{E^hkou zA;)~Ci%hAq;Fh`*Iz)kz)ruV(rY~a<(-sPi!E%z-E?%Ab2ql`IW*{YQ59ylQQy2O2+K4>WXydV&|X;O#4&rF-fe&3b^k zHlhIJif-v`jYD03qy21uBNq=?LN(yNr*2LMYItk|YWTk zpW9mFl^AyTlN$*UqZ=7f#dz3C?UNUJ^x~=P$f(0nozP!P96EGob=|fOHCf(L&F{`R z=U^j(wLK-IkqX(yeB_NDQ5%hM0fvWS7)v9FFu(&HT7=kOc5%Fok%oM3NAMyu_TxU{ zx%E&QvD#Wm59$A`h>q-S1t-RbT?pOj>GnK4S{$qs3ibU77Tf{BQ$THDGhNqGm4Z@4wo$7!fwMDY z3fbgG^ZjeQ4OJW$zt5CaSe#de6yaQ%SM- z(+ZjT*DCT^*WdNGM4yAmW?4oi)9uJ3gCyGTc&xfYS#dBXty%^W=_Hk3ofqp^eA~yWIH)j42oJzjg&!=RzqExsnLAZ z>WWH3-Qkk8b@}QFM{^YCR`%iYbAj%gU;F(l4`QsCHH|vPBpK}2GlXKJ+ z;PQbmSJ9aj!K{TFYpU!0{jP(S z1&i5Y*$)tOX3rBOAe5Xo$$*Mwqp#jJuo(R6Q;Z&~RZ{p%j*zAFQ(S9eW;lmA@F8FH z11|cr9mi4q`zoOHu(6D7BwTMu6fG4}(C>!`1pKIyN@+ME+PHOphc~@&Fc;F&U`X## zOIG5{>kPvan`=TWSD_%MF2Sghl}H#h=D?5oMF&+;tBMt%!%Lt{ZkP_!Yr|Qdsd`Xq zyTvgu>#o*aX%5jY!&ywj%B;TzpvA0z!sMw?kk`P>k&Q=6*g=aaS0?|n;~HN~Sstnp z_rO%P;J<;M@qUCcm;S2|@>ZB{nEVqt4+{$3=jf~!^5Rq8Df}TKzD0jzgj~rv_~j7G zDY!!(+R5}Af7tO`KnX_$dU&5ithdey(?Iaa?{)Br_oR&IPMfIOLasy^!1=QfND#N% zHRiB2cU?z#{dZv%TBLzP6aqJOZ zmaoISuHorRneEIW^s+IgyULVW^W(d93_nRXWzbe!#1`l3_drq7cDt6Yc^k1>?>p?r zllHv^8XuliIaF!bgvi$|Vq%G%6cc)?j+OF)UM|K_O7AytczS5t3Kf2Cz!Ve8F`56J*~ z2epxczJ|LZHX@xp)RT5NB&A%HDBQ8BWN@deZ|4njPtoAw@G|9+?Iylg&iJbkGl~ba z*I-_$A@Gfe;ZwRGAj!P%at+dVeY0>VmB?JhjO1ab{xy-UKafU`ZgvMnIVBgiT2G7D zVDf1qS+F;iS=q4p1b)a`kh=H~G%PL|;OL~qo4>)boAnCV6#H1zZYK)}^3}8Uyj%8v z5WZGuyWZb2B|X1p8F+1aXAydbO~CHD+Yc?ktz9`!8UPZUi|x;gWxR~Th0+W~it*y< zrHsRU*r1ch^gO@PW3WLJt4bA+`3w<7}GwcRs<#6y1(7~)P`7fV_K76VbxX9orl{4fh zSk~L3CkrJY7cs#4s;Vtb#!xOZfhARkF#A1B^@1uwbwS7@bP=knnt*bfdt?z8AZLOH zlw5cHw>X9*>q785;&$8k!o{c<;3u$X@Y53ev;e69T1~0^&_Qu2sSDLdRPb)m>dl9s zTH}}e8wxBywNb3c4v*FDrEkI61V)03`hBd|U+J?X0V%jIcpmawVOS2Z-(yGQFYu1=?~>girhB^`G}Ix&CRwg4#9HMs7MF9tZQgfwB#tK61qrB*JoL!n{pB;%tXOJ z3HZ_Yz9m5dFgr7s^XCZ3q0jO!FO0ChmBLp|489MC>TI6}0{^3s_T}xCYrj zs`ox9$ypls`S!cZ|Kx}eQbYfum#>G7_ifv5jg<5{u!@hG4ioH~pB(`y>3ZM+3%)nu z{5WY*}yJX3@sCHqzWVz!NV(z z`&$&GKz6P1MY-EG^mKE^_USaiVk6`p`Kh*gVrNV8;kVFt#dXuIC;_}xeA!G9s5dP5tsU@(&Ki?%znXu?P zKsURvvWLpDped^=jG*VPes6(t5;Fg^n0dj<=C7_n^`-=KOhoj2w<`a1_fbTSZj?Iy zPEB(SR;WNa_zwn9{}(*;^1t9sHZ;G5!vc4+UYXepe27>+9{}qdG_!XGG%@`Ii6W@9 z{IT>1nG9SeODNn8ClafZ29{E?0yC94&vgl=?9zn|k3O*sca92+zSS!udfp-*4(F2> zJqnByR8P+!Erp+zh>Tw_GzOU+Bz&v{Ie2|%ELfU|jEFUAft8FO5*!toxEMJpRjwrr zk{=nkD!V?w0|sB34xU9x;U|QEp(stTCMx0gy$}!gN6?Mt(+G0>i!GaV6bm?pc@!FW zqf{&yJS+xTRv?s2W-Qnt5tRrZEPUu`9%lfQj5IkoK1RJ%tY10)41p*_xv7X1)L-c; zbPB&ExWCfhC_&OHS~!o>e_e{vd&%up52QG_>A7xQ>m9XDzOva~6N=SYuoH7FoJ)Lc zP`eW~j_m$fY#jM6W-##*Pc(stV3s$@!cXR;+F`?G7TImu{1PyfnM?n`xcTka5WUJD zEyQau!jEwT{*j{+VvIrKX!)4Wj{x;T4!#!!LA=^Z-hj`{$)Y|^CbP*RjG0q59FRrh zKn(N5&`#LKqGXP%p*h5tk+V3reHHC0Efd*m*#6|N5eF2BRHyvRSnQ2Ow=1z9`B{jh z22MZ^;oK{P9f`G6rk`(57ZU^}2URLK63EpQk_n?^f!Z#TH%Honm?QHawA7zN;A zToYr79g&Ssi$P!z+N}B6Yc_(1B3eQs_-3q$OmS8;`l~9auaO~?gsth5(ohEJ1mHBF zQkfHJs&_^XWcU1R&l>_6g3At`tLl74lp#L1>d6} zQ~iHC>|@c*^kC(J4Oo97YSNa$-S*W|#vPI5PhzQ!uwu|byI)dt@n;c}c1TSd;cJ%q zp(TxwVV~<@pE|Rt?vy&x1Q;x`)~&mh8(^fIYXrkjBcp|U+k=I{`PA>F{yoz~3!YM` z*$!j*ElP>#t|=FEZ&91ItkOwqK*m@HK4WOKON3hqYY2}HlIUCG>L%VA&a=)@u=+}L zifX`6Uel);34@ee4}Bd*gL#?N#RVAyWo4emO)aGzex;YUb5HXuFF=W=j^D%_tHUZO zTnC&;UwHtR#^c;3^Fk+6Vs%Ki@6JIxf^OIT2bCRF{TXU1U3eYEAk0z|gBn~d+4q9u z&KS(Ds06xa9@!bG=p^2Ti7E&VdGP~`ISvJdCU*4ITkzZuIYnWFc< zo3xfnEZw%piOC4{Bme=q>jGU%izRUZZV>vSK^L3p@LOrJiB)M-)^BR~U_M?I7>kdohe2|Qv0k4JsM6zae}h>fQ{!jQO@C&1rH z5>3h;#SI`2q$D(10>92g${aT%=;bBKMT)kjApPBy*&G*nF^S)U41x)rUQNVu@B^0MrOT5ddGvT<;-R4Svxn;UHjr2_&d=1zN7Bp_PfLb$%*%!$yev~)n?O! zlQ%<~gcKX<1;M@y|2c`9KSMixm`jNSJ}`ZjYNW&s^$6*lDk zvkGIidqdjhE$uHV*V^qn`5oJRF%39l`MV=3M61OPDx`Npn+H|rYN)pb_hXV32+Ebc z&?9ayQCN3wsyQ8S9 z{tXXy54w={YtyU0)suU9L<~qmf^S&Z8$QSz{w>f2CtNaM=MFtsbY(=!xlV?1{*HPd zp+EHk-?3`D(3NwIdFA}aW?7-j9s96K-C>kthly}7^br`q`)U5g%fDN>k^~lwOj6-1=%`WV+kE7^10@mOk-nzM z`ZduJ(+>+8`meZB_E)g4B{AWzeej{6Q^Z=Qy%;1x^gMh6@uztYVyQ6OKR2TI-poQg z8-)eV4758}Z%fO+D7OOF`Y%v1$dE&z=Uq zvPAfA`)30k7Gl5#ySs=FAuUnOkf}uKzeAZXi9m2zk%6akhJsBI$oQFp;072BvZTO& zsUw+wO-Rr=06llxYN&{I+Pw_DJ*oXAUY%;ecUH%#PyiW+dz;>pp%!D6)3o{aEp*~b z`ST*ZTsbrfkcw~Qk{CsZRg))bCQ?cy-Kk0fEk6?gqerhtW&S&|Zerrf4Z%*;f$Q+h zWq`as*~@d$xww(?V(fF|F~OBc<_r~=UwnlSV{Rd17r9~~8*=W^UOpK01`V=51?~*k zr)ro^T!OBh0FME@NW)JQrrFcV|KsyOKp z{X%+5$_)8C=Pd}2P^6$nHn#dK<1hDB(IF#>Crp%-gi@f?oO>veWGfq63pEw)OF8`J z-^U(uhTNicyEoozH3UQT40`Q0B40l-J?xWvtV_5E>b1X7@r|mFXPk*KZjG!y`L-Vk zU2>dY?NH`{s;B|jG9^x~P5}3Pusn`l6-BdHz^FymM>bx;&hAHF{u*Ve zBfG+mIN|e@?tW`rxP~p#o1ba#^A5;nd`mkETa7{N6TxMKlXje`TMAp{8s#6>#AFi; zmJ4XaR#VdJYM9G}3?Y}3kHz~-8Pg#1A+vxLx`?Rg$>!A`umVdoLLGJycGrbcw_%cp zDf>xiN8C1?(U4!gNhA`NLKi+WEZBXcB)F|#h$`JQag5q=Rgt?@5?fu-p+&OVseMRc zY6$Te^+qx_;I}k4^w)8k*C$`4$5|=jN5+}UGW6d7K)^aZjwcO{C;uQsdt7<17yy)L z=(`bdYT%tQmC9kfR&{6s{DEjcA!ZO+}mCfO`Uy74j&PQc__yktsf3k$9U_|Fx_234b zn8o$Mhp4B407T)0!KM8v-c2g@n%|wn)ewhf?eWEzSKy9XAm$7vQ*iWXn;dgm;{%|hqr2}y_-qf>P z=c=lDCT5esOqg!Nxb8 zl;=U)7o?!4lrZ}U{Z0RU5fm$fVo44C=fAP4SAkY|revfXVwQ(j?NqtBJ0$|x0frKw zCH_!Am(7G(5QJ+PV~uG!J%lJRz=dI_td?z~mLzELZo&pvb-Hu@j5R1YmEv=Tjo-0* z9eByxJ+v-e?aE*$zo_59u@BMAsH_RiiWE?#9Moru+lEuC^TA&Q94SEmSS7D^mAMMq z+Cj47!-+4Nbru7f>o?r$qG}2}Y_N9VcMghH2}021m=VHCH3B}i2oBW0v85Skd<7a4 z{5M9h0*%)QKqc1yqoUjvg!B<&i*Um=D_|pJB<*3<>ZtMti0OUS`pHNGXJ1`Y0WtqJ z{`P$3mL7=B(ScO6b5D|q9qX;%%GQMiaPD=BZtYl3JJ(MR@t6dk;Vp52A?rORds2?lzb+irLbZ&I%xcR;40{me~Hstj|O`(e?QO96`LQb z%P59hd9j)~dbRvT872}27oGx8qeMhlwCAk432)6O-(EF5KucZ)W^!XEy?i7C7eb1QvuWvrMjXas@up;h zq3uB?uXok1HJ97z<0dn*|Cv3IQAv!;l>4>yBB~6JsD<*9#%dTkA`C?Dr+^tgmF^f_ zbLj0O2twQ%3GQ`h2hi>Q)|X0w>T3<`f9n2MU#bMEw*u8;UeZuHk(Y-CEgyE_b}PNe zD+NvT!ClUJe9>cv&o8%OIqRrx&?Qs*6!XfvFH|RTbQO$KIJk(TD-+@`leWbe+a{0= zZQ&3Yg!}bMCs0y)q6J$>Z}W~PhAIL&I2#9 z{tNrEOfnJe6dkc`=WHC5`-9Zs&)a&?53zmFrQBMr9kQc?t#x*xD25+{(vcL=i2x(; z3?dwP=XhZZr1s3O$a;DfKk%36{~%?&ki_k*Rlx`AbX5h{d>H$Qbd2R3EPs|TVt+~u z-Oqxn*}uGOXB+H-z}HXnLC(0|B1oA$G1Z|%6j^2M!c zUWP$dz*H?g4H=tuyEh0u2cghF;s1aeL9jGRfPwmhB1ky>KjC7ypb>jwKe%8WB^l7t zlH2}U8U!(B|H5%<5`Xmr>fLli4(R9&yz+Hxxn%213GbUb%4AhMPc5c+9GLrht@FMw zJepiSj=vZz@}|9i?DiSr-)v74W)j*`U1q-qhSlz!7E`#mZ3nTC85>Jz4;u7nQ|zLr zr%lc5zM#^k+)fTyaO1zSXPl^=2QCltso zk-EM+IKJjRM%pc(81Bd4)Wq~}C5XB;J>2X#*)2Rvds5KpzTEsOc}M+U?-YRg`%;I5 zxL7Yp(6s?I&JrB>`<91UFtfoHWH7KIN-!{#|NSKcPUZ&oCP|ur{ZDm=Znrj4udViZ z!Ony|TI%NMI^yw1lP%e16FB;k_Jks-HcYpY0R;s0VKqbQa3gDKDv<~T`0rb$`gjOH zE_`93KfOf;RPhU5i-TCI*f3H8Tb}X|yBxqClm^w3$QP?H>Fc(&asS-ag-;dZz15Pl z(b8_W)z$Lc>1D=;^|a`=C=ZL9f)gX0TEWu6xXk1FFd$a#?f!T{9P))(JB4w>?N?qx zgd4He6t?TZu;XA+5m8);BQ351bEdcc&L3pL{yar<{x?*etw7SphW;}itTo~0Fj!!4 zxaCU!)4=vGtF0fY9T+T4@9;_VxEX_LKZJZf7pB4xD)c*x#K$^b> zVk%kVF;1DtLR@7!G(WQdDr3eTmhj}vGYbyNv47dNoPwn4Wz!y%LZ~e^Q#&wS>VvdO zx1|WlLa(RG=vR2sHP3i?p2?V5oULZrAY)dyLXHV`Aj(60td#YU-Eu9Qu< zI;;U7tL-{HvM`8_&J}US#QbEraiBSMFa;YF5O$!6gjRshRt*6OLn>uBQ*WDhfnk+0 zV}Fw9gDWr;#(UH_T}#82D&cM!QGKux`Njo8By2*R7O+{R{1Uy8Q%-036B*dz=73p6 zZK4;hLbi|GYBGjqDA?w1*F@{KsoG4Lq%>?-{mh-7ob)lWaJ82yXnM9@s$^gXXPluG zjC3->Kv~#nVtAl=TcuDdHH$M@xJG3>l7C{8hHKqOxPo(yef@BqlAfRCLT%#E+y=N_ zWvxtNd=PF>$`YQOM0f~xsezz4aW=qimGxHEYU2ZtSA8~4>IX`HR&kk> z9i?V{W)Q}dDkIN^Gq8uEG}~fc2KEx&FtmhyxXEpPZN4dCoB$R;AOZVT6oL844S(<^ zm30Tr)@-rStkjK;L3p!Lj5lVAw^R(=2RC9yndIH=CT1VJ#Y6Ip+@#X@Y`N1(t`Gjm zM)FyBtGi=Utav|;HWzVr-;})Mv9j^1S-D2O%!nY12lc_*lZHs zrQ$psPs4*$-}8lWoSue?V>R3=#gqt5s^}}Ca%fZ>e>4d{1ykDpoC$WML2=5e4x!1ukJS_0uG&x_# zqgZJ*r|@}TO2Z=pZ=ZGzn5mU+cB^S^Vh}zkR3Dr1!JFViLJgt#G+MrVRstRsDpE(F z4?c{I&jhZwWfH}KkADaioxrMG&B8~8>Mb@7RZI2C0q%qn@G+b^SDLQ$!p8+g3U^_= zaqAF#La6@QT(dP@MTdn>Kt<|s=VHl3Vryl$E#bg*Fqj3JY zuYcjvPB|nW7V=5qe!d~(XM}u@d|Jq_2)R*yTgaPaT7Fu{XMcsfQHNsi=%>Ex&JF{F zWJrf{As&caDB@tB*zF?>p;0*f$ltoQw#e5|k^F^_N911%S(a~hZ5@@*3Asvt|0Z0c zF9@Hlp0+K~9-#FwTaP-}k_!5C$3pPfAZU3Pod4!iuH_vvEBDKXb{a2tu{LNO=e_PzKJA|yP@_rO@Cup36JmjuqW2=wms zS@Q0mg`AY173kwT}qz8?J*vPs6vW%R6#WS+{6VFIu zy+#K8M$Z4YW#qy$UR=(7eJy(yvy$!Cw#zSiE}#1+&t-uwN7v#q9Y!?LI}-L>Uipn> zWc8e9OZU{E`Jd#`(C%B{D!CP{F7_RKCrUyw{|Z7 z$P2)kb6x=A>kUA^l^Ac>F8{kPF@Cp}0611+{GYvNFZmMVN1n^!^}3w#yS(!M_Nn~7 zXXN}3JR`~V8qt0ur!U!YdBK-`zTm@pjSN`XxAI|YC13X4q))6R_)#lg-fw9;{X^ev z^?#D*va?>7H(0mT2P`9J{==7)-}8(_*K0&sN%^p4Q8-X^nzz3wceEsSv!38ul~koWaW*gJ3D;lbsGPH9S&Ld%k!4D3$J?GR#u)_gEnF1 z%L|saGtc{OtAAWe_Vrl4{foWQ|Mh&k@PG5QtTgOtLq-f9J4+4oMBGFz45A%RvR%9G%Nh@{v*Uo;8rSL6oCID94RZj#CijD#D&oeIbZpP!*C}2xRWM!~RjeS&-5#Ob2Qo^1Ul8SD!hfDo znPGpatOQZ+)YuyJaD;Nt7DTyKCX}0Kwnj~J5amXgP;QY~mfG4N%FS*Nc`{W<8^za{%f#q5Cm(MIuoB`C&S6rtQ-aX6@- zvQc~$3ySe&PbilGM(NtO(J(}VVt?E`6UuEhqtr&*Xc%HaG46dBrLKrkD#SJ#hImkn zYd4`>)fx3BcTo2QHz3CCI4_18bq*sn<~ACJL{N-NF#AKrnb%Ck*ha(PTqZ_+4WZoe zus_t{*k~A%K{2jogmRtZ$yC>DGz_T#;9~g7`^pdKO%=H%_vO{i;xJ`YgnxE7Mv(?u zwL>1HdQJYTo+C^5)Cz#?>q8%ei_k>O|#Rp%f*aGjcP8%(B zGg_2fhnBsz_B~m?UQy_I8QjxSNfm$IIO`DRcvq;6#rtIo|p2sCr4=}}LOAj)| z-J<{*=x<`(129x^Q0VhKT$LDNH=K@N`jgqv!D!|DbPOsh>;OsG+jNZQ8**sa^ zHMy{S7*&97t$`Ug|DnvVnxO zKHgo(BnAl$>3h2inM@#|VSRUZA+u{FbcH_FUC3k`30Mmr`i-b1nMeV2)izgQH z9KTW8y@K4NPk&-^_!+L3t$bc?)~Bv;2K*e}l2&WC%;|Rz+3ILF3v!G8tQhX5Z=bwM ze@@s63vTYR!mawj0FSfBxa|)J5dA)vC zq#S50E-y46I}^04Fk8u2*Q_UL_K{j|jd^qB0(g${rq!$rumz$VkF`wJqZaxd|o3?*ULt0|XQR z000O8Hh+wUPBy80S^xn602>Yf01N;C07Fn!Ibvyab1rveX2q33ZiFxlMfW`o#PK)D zbs&+t=|~-E&fgECZn|n+Yb;oVBVeO)_W$=wtYf|m)`R%)u4EkK$fFBu7t7=Gzbg!p#@Dm{#MS4Y-!kY2=^G$fXWsnY_p6-nSM#r-1BLhv znsovg7XaLW_5W=4iPb;RL#^lG$9m6`AkKUqdZhb2{7C!x`7!?U^P>#tPY~rme}X6r z`cD(Yc+kU-HK8X#tP33puAyifdUE{m;keDdwZa|3W9kb~O9KQH0000805*(KG@k_iDD4zi-rB|Mww~ImJ%9Xv zJk{>7@_+t=(J`VZ)_LF8W#FPCMoRkGVOei{dNIP>MqrTjT8aIhX`EvOA6fIsgFiI? zSf4o8v7nQ$k{pC7HVwx4&ts}GP+YYe!Y~_=5(KIM$QM5m2D&L=mik&n@~nN9CGi^cRA zjUQ2`kMffX;P}t`iwu_#X5KL-+L&}6>7}F#;p&Guv8Twr+Anch`rR}`Pr)k*6Y7AN)*sd zZC#jOU7R2+Ym#|7(QNPYsJ~iqQ?|6~V}Iv$`~;w2hw&~&Lb*j=x9@yemUu-@!D(UD zHq397A_lnaV(tF9hsCX;!KX`i!Izmz7_I3Co#mq58MvsxO~){3c%S?OA!^&J``fU` z-ubRIot|Gmt>#gNra3RbzXLhtMyB5|DQqQcKxG9YQ6zRpuqEY5Mj{r74xo~Km4DS) zIq32p>aW$gmn5$bC5w7GwQWDm%wyU;ew$I^%h2|riECHvJSzaA`dELYix>?~BDG3Fzrw0~-dcJ1;ivL%BCNx_E&Di7}4 zfZ$ZTSqY$BI~e~NhWccOE(1)?n}0KDy>szA_a@$}{5mrnIf6G)&gB>>=1Y=N9W%^K z>E-cHIdod7el3tQF|@P?>EZS&4Wm`$IG>0-kP=4KB{3S&r25c5^ayz%47$qVKW`?I zOW9NB7h((3Jh~>O%r{RQuh$(th(5`@^gABTX8Zt@kM9T0vHDS|Wr~&0CCG>ymUa*i z$5O%kjC74JW!n|N?E0woKYu~7$fKlM8ohSXg`7ni$~j44H6!nWdc8CVKFt}-Dpt`V zW1K>Toz$t=w9ej*T}WtXfG1?cx7NaxWd=5*hVFbLS_ujN;REMf>)(KBMjs4BQj8lD z&Gxol93v%98y}!hgCmQN?Wof{-1T{x+`^xXLCc6osYmOif$bnD)_;yqI~F+p5YG^B zc90F7IqQz;X58g4Kfo^s9&$C-799Akyrt<8eq*xGY)fEml6$M72VH9mv^SoH5I9CC z$0oNrImRBsaX8+&?SUoKb`S(Qz5~ayGQ~hU*)qc=(#Hs=IImlkI%nf(Av0vv<(*1U znt)Usv@|mp-X<*6Z`%LDI z4xxNbUcV>%{`@NDvR@+Ouuy5D5U6adU3)g+*axf{nLdU~z}|z&U$}wYB}{tlbe;Zf zcfPR~WGPeIKDvW-xnGXG>1M8@Jx@I~-5XYuHYDww;*|fFBr~ z@@{vPI?oNb7O<$KkGPN!vE3o?B<aYVGc9 zfuh6_-5^>v9A7(D93}K-d?3fml)aTwe5AJ!h<|bbkz_2y%2tNRQ;f{-NY5L!L+~22 z$OS>F*K6HJV(b%>m&G#&(sEs{as>2rprC_y_DY0WN*R$G{E5#=4Flrn5y9&D6@wyi z`7%EK21XXJddaw6vI`TKSY@p?-B`&~&yQp_5(I#>!>`csdmsx0mGv7NHV@O{^e-XO zz<*RMV>w({_rRV+@G3H$UpHak{@J^SY9sV{Q z(pcwna{)B^Sj;q%LSbJMo(KwwSt}Fpsnh4sJ{NpYbTTtyO0~VS+2YSH(T&e|lu&{< z4*APx+naZ$XtxlIg05?Ew_|Pvs}lGuD}Ve0e}2ai#jYJuivOact7(Od=9N`UW1*na zEN0&%dM_OQBAalrU^3oS?Nh75Lwn=+TQDY_nGt`Cdk9^3zl^9z+-0a7J7loH8XgON zL>irAbir&!WnfC^1C(iFTr>M4b-zJ?PnTT`-~bUvxnB;}*6?IWd25W2VTT}?e1C2? zZV2A@0r}X$xisZz_E3*LK>-iUe6ji!Y=xR0Gnr`fMSCB!FLh^fyJyo zv!3U(kBv?$7j6#*8J$wx@+dEorGEv}F|lq$j(zaZ^vJ8*Kx{TpVubb;nHfyDk&n5| z|MXPtN{n2NNr&X=4=b^G-FTxAz)anpTAF=6RXenh{gH&DHN@Gjj>4b+?J;UUYaGO$ zh~L!Z%`dE6cAXr_!H^{7Ff>8lwqA|nZtfxU3poD<%IuftqzPJp4ZQ0%<9|rho}6AY zodg5k*H=mUqBjHKFX1obct?|gc?N=7DlNaol;#FV|GT3I1H-~?1F^})((h*Xnum1a zBNQ6GTLyg9US zDugvlAsSdb=$PJXJ|yy=kALvMmCiQFZ-r!y6qLm9EbGFbmOluO;>n%o>|ckjhLj4` zPFF3o({cL&s9Z{Ht<{rm?SGI>UVqrSpF!u1 z%i*LI0*P*CzoBG~7%tc|T8aD|al&0zv`BD-KVjhCN^PbqH%fZC-H&a5Ic(LAk&Llk zH%T@8$zFT5>y4Q{$zSwHURgtoBFnHSa#fXe@pLezwYImoI_s-HN0`*`VqZKlqm6p< zaxjFc(>N7W#&rgBgntpi&^k9*AN5k3PFy|=)0rXmu8;p|vV03(SeFTuE7#HmFb4QR zQ_Qu{NpMajI8chfKuz^91q^ajDEH=`uG^;U+yKA&B=xOl3>;oRc}e3tNlWFo?4+sA zj6m~i1v(O}_;NvxUnWr-gkSfL`J5Eh#XBKHR(m&EJE8HPkAKJvAS2&7@X#I)SXa2M zR|k}tosB<+PtY1q_8;M%`>=0RO7bPRc!r1&K`wRSk^HH9Zh@Y4Y|)IQbvQIn*>2Bo z+T{~JzV;Y%WK-viswp&}v2vHOx|bqHv_&a?n54tRFz!c`7_dBND``D(Lpnam+gYRn zI@h6d-Y-8n?|&&Tw;%%CNiKc;VGK(w=7eU!b^3iOmAiAmRtCkpv!DCDdvXJGAT-K@ zenL~j=E!oZ*(AVR@l+%t23mk* z=#sp-^b8%XZcj&ZS(gg zRq^e@l7EU3kjf=o8lTCFBo4;wQ^)Nxv>@_ba`#ix+Xy=>3?ZM!6tTQ`FIp8HXb-WS z@wk_0`cf6IhO*(!Ze%_H65FieSfM8pY`v;)CtHtr38q6Fjdxx>4I%fqU3hc6f_|^T z{ukHV`vLA-8q#pGc%C%Z=J>1DKTv59$*xC5R)4&V05@BjsjkrIwI(FG@k=ovVZt`zp?(`V7)?(E##pq;F{vuNY6i|JG5@l zD%wv*ZvctM@;&F3r8I+)jTp_6XBXjNNcYm|59@yOb9F6{A@oe}Q1Nh)39Kvs&0%+B zI{uq-PqFpMY`L0%oxsw{WH}QsY}K2v?3~FZF^!>xL#_X?K%zo{;?+fg-eg&b|9|$$ z2l=+35Hd=CT)lNk_1TSvOpaLbc(}PNvjeWcg;h#vuvVa9Xt}5Pfd!i`f*oI?QM%*b z@If5suBN`G8|X=^6QL;Omjg)*(6!?8R>oJf=DcfRrZz zccfb1=7QlpUCm@w97gLx`bDz=W9`IRGz|NG!gdHqNd?fX&rn?ZVyX z!CgVJgiOGhVaEd#A3qJPEa=m{oC`c@ec4;X0gp!vhbFWPqg9%dwMXUS_$Vm-Z70 zXk4b(FiDY?H}lX?sEOgKQtWI`V**)I%pTe{c+R^eCu>KlvMB-GEUZlmIl)TtU=W6V z$p!=$W}|8Q`pQMG^aWf7CIOOSZ1m!Tew`X(#}X}Q;Wmx8t$(C&`0~U?6NO1WuM?4bOHi3 zaTfrBGn3!1rjIZIaQ58d&-+hOrIJ-j#O*eISVbPQ@GVC8xePtROz8!DXkLk zSZl#GiBWd&2!ERGzz&m8Sza0eT5n~9uzuXALh^a|5S$+3`~kzy%?U3{o3bV~Rkfs= zifzIL+2nY)J|m&F1hveRbk_Z?!TA^jjftKM+$)`EIuDyphG$R=YNQOAxOLr59nqw$oBO@%$5)_p*t-JM1Nze(U*>eyAHvWpE{E$rj9F! zzZB=mLG~o!6QRTjFYr3suh>3oca1SeI~LpCTyW{MqhU?5&P&KelbK!dD2Jj|hcKiF z`)+|z;%e#T7uw-X-{|MLT_-&E*a${X?x!$(q^Unkrv83|nILlR-e8p914~E!J9+~s zSPFHNaPc_N43LVCUjP43%Y_pp&=T(^6 zm*r1o+q%jIaDS@EZb{TmST3Q;hQZ$mtu4{A zU6Fz=A>SpW;BiGO^?5INVB=8|Np>lQQkXAiyBxu)tUo=)Q;xU zZ&(@K$B|+_Tc=7{Cx>T{#}r6;W&&;p9)G{N))=M=rgf!n0%|yHpa|>)d38$E`I~@ z7YHuxJ;%`T0IW1jEKf1-M21~tY=1K{U4|HFv$AI5ZZNFXG%;j=^jWx%0QnCQdeZa& z&Rg)W(zg83qq`3yE5^BX7{%B3uDjhdoEnYcmRi*_FDR}x9H!) zp;_tNZJ#xu1wjCD>DC=#93Ok83r%UYJ1ips-uyB>-5h8@_t3tN&9Ua81Ap=&mtIAM z1!YKZjg5P`A}|Y{a|EuQ8mGzlzIoh==T9EWR*M4Q0i1Gyyw$x_fk}*!v$My601<*FOI*wORLK(=YIfFOOhH# z73mZCK1ROeIxf!SfY#7s6@Q$Janl2?47cspaNwP;Fc<8m_o;x!fJPnYz!*nH)p@T` z=6ugErep`GS%XVu7W_pFF$R}s2UY`oI>dF-!tSrQlKwnlaZGBv^gWJ=!aY(<(x^}{ z6V6{Q{j$^{#It}-4z0_T_4xo~7E*cm0YhtBpUd-cDfC8uyx;GY@r#BGxhMc-La zgR>%fDsQAI-CMzpmuNA5ip7voQ% zZgXsc7@#ZjPa0>1dV^=`hn-N(gyX-Fy&SvN)E8mUv*6MS(~&ne-#z2$O<`rzt$|gu z3Wtnjv7_Ra4Krx%1aFsk$cLOAh7?0ntGkPdT|V|mO;fWOs(;tKbn1Dbq>Tjr@JW=h z33B(Muz7}SKYMA#u@ufGttZs8Oe`jYSqwk#PYV_s_NZvex`*rpUBZw3s;+T44IYZ{ zPyt>Tf(hpZh36`6zwej?m-!2)GyyC`b9O(hUU!2>h*94Fsfr5>fx9d$u6o=wkv?Eyp6W z>{#B*nSUexzYAcQRXzmGS*M~drgA1bJZC9~c`AEHH>Sl33A@oWm+C(N#{?!j-%_I8?Y z%nT5sRljihf+B~bXABtz8hMs!Ay=5~fiDWdNZK$YMT<;Z%1rr2=I9%cN z?tg%xQo4xG^n4ZgsOYN+0#J%(FJM9GLPcVc8bD-8Ogiioa=5qGn`{|;8U2gER^i$~ zponc<6;*p}5xBSHNC2Zz$6+=|MD<(jNR?x^41dlJe)I)B;w`&RhXWx3=o-UGekPO|o^J|h9Wst7 zaWmE%i|rO!rOsaDtXsW;z*JiMZx952S|j7C466Di#CpEEy;U`h3=xz=tLGZ{5m22y zcrpQfDXu>T;)W$6sa@=qG&lKKM-8kq#Oy8WfrJ!*KvURx$9AP?i{OnHQh#}%UMJVU z^3hs$dayg%r>1+J^)p4KvE&|N@`=5G(Sq`1@Tx6=rKH8(8Qg17(rr;wE&G`*P`{`0 zB<}8*cssYGfegnj`WlpVxJ+<2JHu)|$;OUtz(xHntk_M{tk0P%w9<Ed>x> zU@EHV__!#=a%wSwQ-ay--G7`ufBU&%D$wmO-3nDiYuQVqlTpV)T^vO< zHe<$awh$SQb??G#jnDE`lx}RdCKeH~9RzBuQ20ff+|UFrbTrZvq`ioV?;b3DcENol z`(Cy4#`5YPeP~dydNQPaW_Hsz5dNcwH(Hfpu>8A_*vI zydqdXD+}O%Fregy-hU3$ruu(HP<4)nCRad$?-gjAymwmOl-%J;bw8W~2Gp*CRbM{O zrl(4*z<*4s2)0Un-zAhaS+Fb9Q@VtH;I-`)!>rL_9caMeKY~mmF`Pb|TI9 ziC=Cy=G?cOAgIiHl+Rf6p%M~7GMb<>Am}X0-xE`g`xK^ju7B}2Gc`)c3S}el{_+xp z%kt)}s%V57|3q+Be4phsuxSBLVDeIoHYNUSBRng~(hG6sbSJ6K9FYCRLD{7J1kre=@K62s?H#DYF_D{k<@n=x|E%q?Fq@p-rFZPT~iIq9hV>dN* z5SYHoWNeDHR)3z*M|}KhaVtx~)|o%dZfV_bNXaPkI*ALtms50{2Xd~18(bw49!VzD z$;x&?y3yMJau4iFUzk(Ld|RuR$zsX5t@v@PR+migD*x z3yp3{lTBXiNKZ2iQh!8OCJ#GM4w-|tYoYFA9PG&mG=HBr1twf%>Hv@9@uYPDU0!IC z34`S03TjU*g|7XNyvlI^KLdxk&*KcEX1}$u1Tow%PC@upWMG1+M8L4|DV_^8n z%dT&nhJVE$`?3CFX-d6}(kSFPiWM;7P=5`uD5A2gK+2gTH*)~ zI<-u2aeEJV?T?DoH?xv~(3*0>Um4$?VwhX0UigNRe2%V!Xgov=c3pqMqN4`0*eLHx zN!>>m0Gz%;z#I4!+uG$!pE=INT!nlR%h;%co_{mghRPaZaFxXH--X)%J})S4$W%Og zJiAxpPf+d#mcO&zyW>!G4mHwy1rHUoQm@G%RNE;C>M5?(jr4;Hws43_c=GMvE={XW zH$s3ZOw$Bv<&T&?!o?9a#9}}YK$3T!+0G(P$>YAB#}WRg`Dnv2B=rzQYoa51+A1;; z-+ydGcYvUo<(m&gCQ)PK(X>6)^;a8|efm>SpipA9_n!Y@Svp&2Kal|#B;3C*YDh_o zLlpDI8T;ot4qrr0gF&)=8sGY!VIdq8vCn}I6@zlMDJ`x3mzvAMho!=lPUlGf_0&Mc zl2DP>dMjb?c?j_*I)G`(=$DE2wxchW<$tQB%V37Yie`&*6e^U4fnkVG1y%u43$fGs zQ4n}mo7wl+G|zo|JIm5Hk=3x{>Q}qfpP{rbvKR2c;K%nvqVqaLp-f~UW;khN9FL0# zbD1!i3vbo(m=_PupvU%1SW4~7Z8nLZx)aBm?{1N4wk90H((WP^eiVr_E~CEgYJbe$ z^qGe(Su+>7UryL1@mtFd{w`V&!^n@-KfI7l20{~DodXzZioSX+-|GU9Eklc?)hShO z$7~gK!zInfxW4Z%R7$X1&E{j0YMGN+;;!#SvbYk`H5J-F6;3RB_&~@>G~l!;WE%gjxnpmZujv<53WEGE;F} zxv-3u^!IG_#`S<5KxfHn$S*xQB{;v}aZs@-&=D=lHjrn`!eM+6|XZA314>7mL z_!hI}sj(+$-DmD%Sqg+RhL%T>YVov=hgcGg|9HS;Txcct=K4j|yUgVTM1K}OOQqRA zrl=*elt{#(j{i7KRw&9Nv{7_a!^&S$E(c?>>!mOeuajSI@&S1nOrm{8+OY?+OhgU$ zWBRjL@inxcL}EY=T>gjLH! z)lUYnv>lImmmEwGIHg=UPk$mq`93)UZ0K_qNQi z9lrJ(uB*xSS?|yG)0^ko+0$FuyB)l2iv+D_iZs+msYoN<9Qj}|yT||tSP=Xrk)tJv z%F<7*wT0UzsP8Fo1_j!-D`Ptqqkt=*2}QfaQbtPu8+~Gk95|P=Du2q~IYz~F+7hvO z4C&+cW)Wj)?XF%5UcjjyuRbD0NbG?HlV9Rb83hQ`9jXK?p0>#IiQGlPX5CHig6E?H zCX!|eE|{_LSLCj*@aqn+_3H+5B|r#Y{XctJn84?Zw~cEY7Ie7pUeGxZkT#*ki9bI$ zSOZVW<87FS{(f%cV}H@;8YW4wBOCXyDA=8J;};XiV)>xRM=YF{1KUf(zsd^p5rCBz zbyHVAiDIbr;{N)DTs8!>^tvHAF;Z1JA4W`e9OaO^N&fHM>kzA?%PWyNDj7sEnhEo` zuUq_1&Bl-$XI?%)ruL?`_lgRb2B=Q=(y` zIPo|-2U6Un>fN{T6&2XJbmd~goD!QhDhKZ`XyWJ?6VdPwXiS-PfQb&{9Z5QK`_7pz zp(v;g%?2J6Oy?BbSnbeYNHe6^oFfrit+<9)u=i9~YaaA(Uwit7t3Xo7l2wR_^rp$T zopi*dbbPy&dw*@+@=huyE>v;^i>U`89F(ty zl$TJGu2mHU8Y%k_=E#AK08e9fott-1V#ormp}|Nv_NA6fg63ylR?GO0|?RkT7~$rydpIZu39y;3?!Q z=?F#P1_l00W7XVu!Ut?3>SMe>S|>?xdCn19n7D%6WD2%vT)LXUgBKmv^Giw>1N`a= z#8=8Xdj5aeMC5g%!X`Z>%3eeo#_8O(;E}}2y?-PE3&DeCs65+#zwF!3A7xD+EQQCK zs^3y#wQW0nuQo^GT5E;MjM91)gLB>gJ(^nY0OPC($x7%|V!k{orv`7WkcsmlSwF=}|Lk+pQ zcG;ty>DtLTm-kr=!*TuZM9}WWQeq>r3V+e%zaTz)@;mCiK9Uuv51M3xtVy1nEVLAj z8vc6n*4?kI>)Wkc9BjV}&Irch=aiks<4q`8^A6sXtu%_J*TM*}wHpiI;hwz7#3@*{@_&?68K{KY zE#Gt)!`T}-e!EeAhoT=Pwsa(Zw$SaR?F4$@O^7#d1({?z$~W%beWUNaJ%thcu`a82 z|c4oY>iTV&GJ_o5ud#q!R5XGK%jM0_;_Vz3ax ztlRbKotJ#lzb~2<{$eo;ID=t})k?UM+FN3$wEz>keI3?>L4e;$kblzGw4px1P7`z! z#NZRBIq3d)k&%^fKY>Y4z(}7d59(?JT}9BKIjeW z&Y5DpYjV<&qWXg-bbk}WwUV^X!D;*37Pj~QS&bnErywcQ2}uAn#b0miFr$-+KlaeU z{s@x|3=zoYV*(MVs-zl&S~N@&cLtE>?v63ek-!5sO#dww+VU zRNd%wGVP31d9!Cn)0bm)-Jq4{mO2%+AKiVIqvaJ!Kp9YLqt%Sym4kTf{x0JxsIDd1`Fq$^g{IpoX{ zpa!{))KH_PR6w(il#q5sn3*7Y8+1f_{LEhSV1e>ogM{;X3w%kLS>W7i1BD!C7f z+vL;1&`|k8v_h`C2JL6FwpJKus9=DMhgv?D<`{QdTuu z%=_ue_@BOY12pex1O;~!75;If-K45!7&e>MUw?v=)=k_0<;q!vgImBPVYMBvck^<8 z1Rb^slPCnQB=L1V3&|9oEQ|Kju-(`DUu2E@H$TY95Q}SsbNn8ap-5b$b?JR3R@?Dj zKiNfwpDGe!dV<<(G}T5^zCfAjT{%i$dyP0F)>jWRP|PIvp z-+v^wQ_bFG&-CT6*~@X4i7@JR+jIT)7@L3?B z&y=7Wub=D;+b#)L(dkBuYoX_jvA2Cl6@NzV0+Wgvd#S>PvEYYK1^TKyh2I#RYTC@u zHk8rYs2fJH1Zu6Ng)+rfpkZ6QNHO|O&8As_n&~JRz@rN|91H@r8nVi2 z{ZRmw?4pD@JKN%*VUU}%22&-}1!|2Rm&lFm@@vWQ34dU4 zW6H5=O*zftBQ@8fxzku6YJymI(f&0mDI6=aFRap) z1==Zaq)`a@V^wGt8Rn{RATuu-!`=4Kc3CX_xv85$#R(;_ZaOsP3ru^0t@ezqDzreD z9R47_(XYaFPPmOI&aMv)yfR#l+J77?xSM9G-G4Yd41Boi&u4c2nY~~R3>qz(*({g_$3H0E7hEV2pJW$IUdaQ-2g#?@!1m zz^I1Mvt>KFNSL?J!$4UvK?XuC1SS&)Qd&;q6zqD9f{iCQvZ6kef#BzzfT79{C2GOl zx}%`Y{VTVx${2cME%Y(5aD{4ajP)qx@2~*uf^%2C=ml%;W4d)Cs2x&|i4BQA1La0I zo$~4HpRQT9%`}~`hg$22&3}>>v%wvO(k0xl&X~bdPn%%ZplqgR?5Fxv+gzx-pi6ha z7Y*6SWW-!1g@CELDtSRi`f(o>FtCAv6SJ5u1M$;w z&)we@pBwg){un)|6hs6kQB8JHPZ;%Vt>;Hq`c>qVr^IfHk=xNPD}T1F+4cs0CWmR* za=(yBt2r(^#0wZ%G)3(}F|}5tQq91G^FIjD@z2c!n4KUkTkCM0t!E707|I|abU_X$b16OLU@ zU7dAQRb98nIe;KYcXxM(aOg%lq#F()A>A9KR5&1cC}|Ms?ruc7B#v}TgM`H8_1>Lez&3%6vN`5pa;2q`{p@(<&tBAk(;d%tyCpz8R5I z9fwRrEh^JdU}srDp+4`@&;ODz>KZtgcEKl)s+>Tg85t?15P+HwhqFxbt3jF%-2o%9 zS1YYnGq==ULRl26Qpt3zYsohqCp@>&XYi}J>~vY?@zB0mqjT#|5g)TUVF##DYJI+van#-!3`s#*HDT&921+pDfj575IRHTU$a`Y0vhyv6TI6Z#V zc!8eZG3Ws5I-79~0nL!t(xP#KX;e`SxPzsf9h_>EQ7N>6&E4J^p@~l|2E@Zh{TH97 zg}x8l$;Im}mQzuP77ta*(~!_H$Trc z@{gK|Mn3a6+gwl$-v7`Bmj{9p%8;&PlO^@EdQhLo8RSILq6)){Y0l*Jk%z(egf~zc zhU%GFk6q;?4$f~`R%(#nHO+#3yop|DzYTjEH$|DTpjX>Fu5;@ox{r7GFR!@HLRBkk zXw=Jv<0t#Vz2Adlw%=a%dt)b@tfnBB-sfddUG4Bc@wBmb#%E+YQUJ*&^EG0+W)@yq zFR{k+uS&eTBVI|zMK*zKt>`VG=tL(sklp4mX)TF#on>pu0ncXlS_s8*6MFc?s_*7B z$+mk#)CIBkVG)E4zk#8f_%5ua@Nkh*M&A8KdoRyzxZoYILb5K@J$gU#Qhvg?I~B+x zn({q}9o^}dT0g^b0+9Wz=c2uSQ$=uzP03i}97`kanxBbY5}4G_U5$;^t*T~y+6bw6 zyI9Xw7zalCg@GQdL8DSf>Z|~knO&TBVi=uml+(p)NTdwja;NO9y5--MRChqPj(BzY zdS3u;-w`izA0E+=x5q;e?#{~nOV^oCJ>eQ1vMt$yGlsKy4A4}++TcB2I5;2;=w`aJ zsH=bwLSm#?A7Tc4-C~)JOK5Nq7Q3{Dc_yM(6^|STnp^Ex zy}f8lPMzmCPa{*pP!Nc)5^#5w$W#wv)5qJ~-$#3oycN4M{%#?#n= z$e-%)Cm};Td55>Q(lYUjGhuhKy$WLeQZZ1&h?`gD_z2JGszcPJ&AlVLJyNpWajtC| zNa1@|$UU8ZS$%leA%UxEDp#loMPyaa(ia>^22!%N@Fy2&c(j5R;Zf^jw%h)v3N9FJ zy;_{i!)Kr)*6sj&sn8AMVBL}NH?Oa_KCW@l!_0#o3eP|Pnu;b7l|FOTKu_gjX1Pjc zOqB+$R&xcRC70xbOS|c}2#gxy`-9RIZ72GSTDd}~5A0o+UtLovDhfSzbp+aKhOxhJ z0qzEjNfG$-*E{J2PSDx(;CK9G89H2nG6}VRwBuqkQ(-)`2P=0Ue1{9rtiadEJ9tGy zJ>O5M*g2?6sS9@)t8_(o#2gxqpR&uhYDpo?F*kBD5h{K!NJs1@BqG1Ww@@wrYKkP{($q~s}rR5I`WgezNe%;v9J{0kocTOW4!w~ z+VBw?C5*s1LkE|x7+f{y3?D_oFhA`+efq3rj?p%6;hmnjgMm`l(tRl$Cr(x`10a2? z#1xwHYSHMHPGU8l4SjvU7BT36WGpu)`p&*vX0G)qW=@=@^)cbpoZJs0VJ?6`@ViaO z@n;f;ejjnrod&jNbTW$>cE>xpC%!mJ_baJXpaS|w3ch}lAnIwcnaEd4d%ev>Qa6_r z>4QY)7A((0g)AMtlkZpF=E*Z@4{Tv+k?Bs%!A*J!-&L;qOv-OF-P}V;s*h_Sdy?~; zZeJ5AB@SzS`}$pLrqwdufE!6%H^uKEA$u0~1~S4>q*w|kP0cT2CGFkj{JW9fYEfG$ z8~rvZVpAW*5i?r`aU}HIpXrWu71Li?!VH>3vP0e;(t5*ktT0ZjqU#g_fy}`Gm9*}S z-Jb2<_u!kY%(3glT4SrS^?gG#fe?nux=oL92#VhP=5R0 zV8%Kkcli^#Wh?XCCTl-*-{of?-SKscAXG~0eoT_OGsP^ucNsEzaUG-7Mcd;sA;f{x zs84JlsAkln-`>RqaKAj!XjxsM5F!ooec1RQebq;M6A<-&7x2rd;r=6wbnx+JX;^Kc zIn8Q~3hMp?A`a%VeHl{21XJQrvBG_EG$~>uF7h?{k>yIZVl2Bb&Y72NO$(p$RXo(P zsDs-y70K3O9#fVqk4<6{#O$UdQvRd2hE>5AiLRJ&?Sg{#qJt0do{K4A!u5}jNxJcY zWf%^ZC!%Or5Wut?9yQ$1`l`gnWMdm5f#nCcqOqOI zX!ik&J6_hYXP)h4R^RWDM)I06uPZOy-8i&bBU}&OGU2?B1SM%QR@jW0?zN07C_am8 zz-i;}-)O}w`Ve@Dv^|VaK3W$=67p8e<_~x-FT)==I3;{!sy}oL`8GRC$r<^;jhY?P zUz)tUP4|QB%{s>I$~gt8tmz{+y&I;FaDwYh3yIjx#XY&)x?8JJU7Kigk~+#FivxFl z5RQ2vm^honWqvQ z>s=57AKjZVtZ)!X6%T%gz|Vy-&_}grXQRqq2Dbg)eiyK1{{uXYmd|4olp*$#3@?h+ znqLv8YHZ~@99uPH*D~74P$pS8l^kd{U1kKDXp7&FBf#|?-6t7@mT)}*at4#V<5>m~ ziaqeJ=;{O`e_kID1LfNh{C9-q{|)de3#)D+@EgFzkNq zp=^8d`V%XxHGlp!)+opqhuT!a#6tjoDt2AwlK@>mW?I18M_*wyT1u-s*94R=rBDww z9?XO`s{V3TR_N-xCKV1LsWS@5`Kfv8E#YMTHx-lMwxeEsEavP?E4_OdvuOYA45B}f ztuVstXqFn*@L7@@+|x>@GLcXifY}R^+m3W)X0z4l+6=-O4OI z$6#U7(BaNq|C*hiYyC#IzXPFvlQ{=rI(+_U^(YAJiyAkLutM#t^q(@*;G4&nQC($~x>++nZbefb49%cx9N6F=Dv>@t&}`VI_{5}dzB-KNf8 zk9A1a(sY}vlG*hQrLJk`IjtwMk|G2soXw4n5s9=1y4DK0p+svj z`G(n1zX|tAYVD(#Pu}<$=|9DniPv2o;0z=3!9i`X&&a%(bH&a!bQbKUL=L|*ea=tKia_ z>%Izx{SdK>;I3{20)k)Wh@TSJ!6fpS4yzGWU8;g-&pxCOpZ^qy4XGf!WC(bSM|N52 zyC5=NXAIoOJ%4Lvx=~j}j&5o#^>G4RnrB<|Q#$@2V^&i1;~V5=$N_-&W8>WvuK$wB z3x6jJ5Mb#P)2bT*?VMHEdj^o$3O|n7p{tPTA<~D(X&+bsMh=A5>+cMKQ1#xd0FtVQ zOv=<{&Kv0|wp{X!!lR7DklS{e_^}xIE z64ErPC6YPF_7y%+4dw8tVGb{!3tixivF(HX@Q>Lc@NqrCIdSI;WVRHG`L#${liBO_ z`54nSR6%AyD=yf7X5ll~DmANNfP`6R!e(LOc#toBRmn_3BD=LM-z;A@!^c^rxVCbn zAkbG0vf(D-?5}FG_qXXSO#i?0iNO7-Ui4`xm&ei za(ftA;y?Eu$mgvIKOCm)%a4tuuQAK*h%;mWDq4iv!phqaSvqIs9LG7aBsc?mlHeLP z^==)`b(Bs#wmMf5)~PkA76S3qH)9j`41x3|yGi+UV|a7*HFL{Fvf>`27ieYSf<3=H zpI>rs94qK)k|`C1*5-`jB>wm(f5A5xkGo|tYovR^SY`(&#tJbcJ&?ZBI0kGc$$`u! zQ{OrIZeBFym+K|h^eCDN8ftEp@$ib5Z@$en-v8s-G~y|OXhHwbU>k*$K%$`M|KMP^ zGX;Lae3U_)*#GQiXP`Lkk_ZTws7SC{Wf1#6V%VB8hyo-Hdr$_E|J_rlfG7YN1O!=4 zJy9zMPY*748%s@95F!Es!4o9s`CoL-zbUg%2Y**XsH^P%asFk_{@eR+MA1LSCt?vo zL#Vdaf4s0p6%gKEp6$OOJ%7vmRR?PSNB#7VzApbRvo<`tcJ(Cmefl=`|G56U%y{bm z8qGaCy{x#r|83@DjF&+td6IWOJ$V1meV&E@vrq-m3vgy2AaEhb^E*3f>3i}D^Z6($ mup8=F@!9x5MAg-uo~C}@c-yFGxTuTh3puIrDCuj-gZ~S{eDfLr diff --git a/lab2CA.runs/synth_1/CPU9bits_tb.tcl b/lab2CA.runs/synth_1/CPU9bits.tcl similarity index 51% rename from lab2CA.runs/synth_1/CPU9bits_tb.tcl rename to lab2CA.runs/synth_1/CPU9bits.tcl index 96e7183..69dc80e 100644 --- a/lab2CA.runs/synth_1/CPU9bits_tb.tcl +++ b/lab2CA.runs/synth_1/CPU9bits.tcl @@ -17,32 +17,30 @@ proc create_report { reportName command } { send_msg_id runtcl-5 warning "$msg" } } -set_param synth.incrementalSynthesisCache C:/Users/ecelab/AppData/Roaming/Xilinx/Vivado/.Xil/Vivado-10176-DESKTOP-8QFGS52/incrSyn -set_msg_config -id {Synth 8-256} -limit 10000 -set_msg_config -id {Synth 8-638} -limit 10000 +set_msg_config -id {Vivado 12-818} -string {{WARNING: [Vivado 12-818] No files matched 'C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/Bank_behav1.wcfg'}} -suppress create_project -in_memory -part xc7k160tifbg484-2L set_param project.singleFileAddWarning.threshold 0 set_param project.compositeFile.enableAutoGeneration 0 set_param synth.vivado.isSynthRun true -set_property webtalk.parent_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.cache/wt [current_project] -set_property parent.project_path C:/Users/ecelab/ECE3570-Lab/lab2CA.xpr [current_project] +set_property webtalk.parent_dir {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.cache/wt} [current_project] +set_property parent.project_path {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.xpr} [current_project] set_property default_lib xil_defaultlib [current_project] set_property target_language Verilog [current_project] -set_property ip_output_repo c:/Users/ecelab/ECE3570-Lab/lab2CA.cache/ip [current_project] +set_property ip_output_repo {c:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.cache/ip} [current_project] set_property ip_cache_permissions {read write} [current_project] read_verilog -library xil_defaultlib { - C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v - C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v - C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v - C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/EMModule.v - C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FDModule.v - C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v - C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v - C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/WMUdule.v - C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v - C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v - C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v + {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v} + {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v} + {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v} + {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/EMModule.v} + {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/FDModule.v} + {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v} + {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v} + {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/WMUdule.v} + {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v} + {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v} + {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v} } # Mark all dcp files as not used in implementation to prevent them from being # stitched into the results of this synthesis run. Any black boxes in the diff --git a/lab2CA.runs/synth_1/CPU9bits_tb.vds b/lab2CA.runs/synth_1/CPU9bits.vds similarity index 54% rename from lab2CA.runs/synth_1/CPU9bits_tb.vds rename to lab2CA.runs/synth_1/CPU9bits.vds index 0511bf6..462013c 100644 --- a/lab2CA.runs/synth_1/CPU9bits_tb.vds +++ b/lab2CA.runs/synth_1/CPU9bits.vds @@ -2,12 +2,12 @@ # Vivado v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sat Apr 6 17:33:19 2019 -# Process ID: 7092 -# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1 +# Start of session at: Wed Apr 10 12:49:25 2019 +# Process ID: 13540 +# Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1 # Command line: vivado.exe -log CPU9bits.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source CPU9bits.tcl -# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.vds -# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1\vivado.jou +# Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.vds +# Journal file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1\vivado.jou #----------------------------------------------------------- source CPU9bits.tcl -notrace Command: synth_design -top CPU9bits -part xc7k160tifbg484-2L @@ -15,106 +15,102 @@ Starting synth_design Attempting to get a license for feature 'Synthesis' and/or device 'xc7k160ti' INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7k160ti' INFO: Launching helper process for spawning children vivado processes -INFO: Helper process launched with PID 7732 +INFO: Helper process launched with PID 17664 --------------------------------------------------------------------------------- -Starting Synthesize : Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 359.789 ; gain = 101.883 +Starting Synthesize : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 362.465 ; gain = 101.664 --------------------------------------------------------------------------------- -INFO: [Synth 8-6157] synthesizing module 'CPU9bits' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3] -INFO: [Synth 8-6157] synthesizing module 'FDModule' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FDModule.v:3] -INFO: [Synth 8-6157] synthesizing module 'instructionMemory' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v:3] -INFO: [Synth 8-6155] done synthesizing module 'instructionMemory' (1#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v:3] -INFO: [Synth 8-6157] synthesizing module 'FetchUnit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3] -INFO: [Synth 8-6157] synthesizing module 'register' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:773] -INFO: [Synth 8-6155] done synthesizing module 'register' (2#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:773] -INFO: [Synth 8-6157] synthesizing module 'add_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:56] -INFO: [Synth 8-6157] synthesizing module 'add_1bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3] -INFO: [Synth 8-6155] done synthesizing module 'add_1bit' (3#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3] -INFO: [Synth 8-6155] done synthesizing module 'add_9bit' (4#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:56] -INFO: [Synth 8-6157] synthesizing module 'mux_2_1' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:332] -INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:338] -INFO: [Synth 8-6155] done synthesizing module 'mux_2_1' (5#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:332] -INFO: [Synth 8-6155] done synthesizing module 'FetchUnit' (6#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3] -INFO: [Synth 8-6157] synthesizing module 'RegFile' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v:3] -INFO: [Synth 8-6157] synthesizing module 'decoder' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:261] -INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:268] -WARNING: [Synth 8-567] referenced signal 'En' should be on the sensitivity list [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:266] -INFO: [Synth 8-6155] done synthesizing module 'decoder' (7#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:261] -INFO: [Synth 8-6157] synthesizing module 'mux_4_1' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:403] -INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:408] -INFO: [Synth 8-6155] done synthesizing module 'mux_4_1' (8#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:403] -INFO: [Synth 8-6155] done synthesizing module 'RegFile' (9#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v:3] -INFO: [Synth 8-6157] synthesizing module 'ControlUnit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3] -INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:13] -INFO: [Synth 8-6155] done synthesizing module 'ControlUnit' (10#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3] -INFO: [Synth 8-6155] done synthesizing module 'FDModule' (11#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FDModule.v:3] -INFO: [Synth 8-6157] synthesizing module 'fDPipReg' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:849] -INFO: [Synth 8-6155] done synthesizing module 'fDPipReg' (12#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:849] -INFO: [Synth 8-6157] synthesizing module 'EMModule' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/EMModule.v:5] -INFO: [Synth 8-6157] synthesizing module 'dataMemory' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:3] -INFO: [Synth 8-6155] done synthesizing module 'dataMemory' (13#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:3] -INFO: [Synth 8-6157] synthesizing module 'ALU' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:3] -INFO: [Synth 8-6157] synthesizing module 'sub_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1341] -INFO: [Synth 8-6157] synthesizing module 'twos_compliment_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1406] -INFO: [Synth 8-6157] synthesizing module 'not_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:683] -INFO: [Synth 8-6155] done synthesizing module 'not_9bit' (14#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:683] -INFO: [Synth 8-6155] done synthesizing module 'twos_compliment_9bit' (15#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1406] -INFO: [Synth 8-6155] done synthesizing module 'sub_9bit' (16#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1341] -INFO: [Synth 8-6157] synthesizing module 'or_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:720] -INFO: [Synth 8-6155] done synthesizing module 'or_9bit' (17#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:720] -INFO: [Synth 8-6157] synthesizing module 'nor_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:639] -INFO: [Synth 8-6155] done synthesizing module 'nor_9bit' (18#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:639] -INFO: [Synth 8-6157] synthesizing module 'and_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:175] -INFO: [Synth 8-6155] done synthesizing module 'and_9bit' (19#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:175] -INFO: [Synth 8-6157] synthesizing module 'shift_left' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:883] -INFO: [Synth 8-6155] done synthesizing module 'shift_left' (20#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:883] -INFO: [Synth 8-6157] synthesizing module 'shift_right_logical' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:957] -INFO: [Synth 8-6155] done synthesizing module 'shift_right_logical' (21#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:957] -INFO: [Synth 8-6157] synthesizing module 'shift_right_arithmetic' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:920] -INFO: [Synth 8-6155] done synthesizing module 'shift_right_arithmetic' (22#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:920] -INFO: [Synth 8-6157] synthesizing module 'less_than' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:316] -INFO: [Synth 8-6155] done synthesizing module 'less_than' (23#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:316] -INFO: [Synth 8-6157] synthesizing module 'BEQ' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1455] -INFO: [Synth 8-6155] done synthesizing module 'BEQ' (24#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1455] -INFO: [Synth 8-6157] synthesizing module 'mux_16_1' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:531] -INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:537] -INFO: [Synth 8-6155] done synthesizing module 'mux_16_1' (25#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:531] -INFO: [Synth 8-6155] done synthesizing module 'ALU' (26#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:3] -INFO: [Synth 8-6157] synthesizing module 'sign_extend_3bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1026] -INFO: [Synth 8-6155] done synthesizing module 'sign_extend_3bit' (27#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1026] -INFO: [Synth 8-6157] synthesizing module 'bit1_mux_2_1' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:346] -INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:352] -INFO: [Synth 8-6155] done synthesizing module 'bit1_mux_2_1' (28#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:346] -INFO: [Synth 8-6155] done synthesizing module 'EMModule' (29#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/EMModule.v:5] -INFO: [Synth 8-6157] synthesizing module 'eMPipReg' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:866] -INFO: [Synth 8-6155] done synthesizing module 'eMPipReg' (30#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:866] -WARNING: [Synth 8-689] width (62) of port connection 'Din' does not match port width (61) of module 'eMPipReg' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:49] -WARNING: [Synth 8-689] width (62) of port connection 'Dout' does not match port width (61) of module 'eMPipReg' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:50] -INFO: [Synth 8-6157] synthesizing module 'WMUdule' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/WMUdule.v:3] -INFO: [Synth 8-6155] done synthesizing module 'WMUdule' (31#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/WMUdule.v:3] -INFO: [Synth 8-6155] done synthesizing module 'CPU9bits' (32#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3] -WARNING: [Synth 8-3331] design WMUdule has unconnected port reset -WARNING: [Synth 8-3331] design WMUdule has unconnected port clk +INFO: [Synth 8-6157] synthesizing module 'CPU9bits' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3] +INFO: [Synth 8-6157] synthesizing module 'FDModule' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/FDModule.v:3] +INFO: [Synth 8-6157] synthesizing module 'instructionMemory' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v:3] +INFO: [Synth 8-6155] done synthesizing module 'instructionMemory' (1#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v:3] +INFO: [Synth 8-6157] synthesizing module 'FetchUnit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3] +INFO: [Synth 8-6157] synthesizing module 'register' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:773] +INFO: [Synth 8-6155] done synthesizing module 'register' (2#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:773] +INFO: [Synth 8-6157] synthesizing module 'add_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:56] +INFO: [Synth 8-6157] synthesizing module 'add_1bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3] +INFO: [Synth 8-6155] done synthesizing module 'add_1bit' (3#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3] +INFO: [Synth 8-6155] done synthesizing module 'add_9bit' (4#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:56] +INFO: [Synth 8-6157] synthesizing module 'mux_2_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:332] +INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:338] +INFO: [Synth 8-6155] done synthesizing module 'mux_2_1' (5#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:332] +INFO: [Synth 8-6155] done synthesizing module 'FetchUnit' (6#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3] +INFO: [Synth 8-6157] synthesizing module 'RegFile' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v:3] +INFO: [Synth 8-6157] synthesizing module 'decoder' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:261] +INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:268] +INFO: [Synth 8-6155] done synthesizing module 'decoder' (7#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:261] +INFO: [Synth 8-6157] synthesizing module 'mux_4_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:403] +INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:408] +INFO: [Synth 8-6155] done synthesizing module 'mux_4_1' (8#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:403] +INFO: [Synth 8-6155] done synthesizing module 'RegFile' (9#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v:3] +INFO: [Synth 8-6157] synthesizing module 'ControlUnit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3] +INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:14] +INFO: [Synth 8-6155] done synthesizing module 'ControlUnit' (10#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3] +INFO: [Synth 8-6155] done synthesizing module 'FDModule' (11#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/FDModule.v:3] +INFO: [Synth 8-6157] synthesizing module 'fDPipReg' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:849] +INFO: [Synth 8-6155] done synthesizing module 'fDPipReg' (12#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:849] +INFO: [Synth 8-6157] synthesizing module 'EMModule' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/EMModule.v:5] +INFO: [Synth 8-6157] synthesizing module 'dataMemory' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:3] +INFO: [Synth 8-6155] done synthesizing module 'dataMemory' (13#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:3] +INFO: [Synth 8-6157] synthesizing module 'ALU' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:3] +INFO: [Synth 8-6157] synthesizing module 'sub_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1341] +INFO: [Synth 8-6157] synthesizing module 'twos_compliment_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1406] +INFO: [Synth 8-6157] synthesizing module 'not_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:683] +INFO: [Synth 8-6155] done synthesizing module 'not_9bit' (14#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:683] +INFO: [Synth 8-6155] done synthesizing module 'twos_compliment_9bit' (15#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1406] +INFO: [Synth 8-6155] done synthesizing module 'sub_9bit' (16#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1341] +INFO: [Synth 8-6157] synthesizing module 'or_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:720] +INFO: [Synth 8-6155] done synthesizing module 'or_9bit' (17#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:720] +INFO: [Synth 8-6157] synthesizing module 'nor_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:639] +INFO: [Synth 8-6155] done synthesizing module 'nor_9bit' (18#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:639] +INFO: [Synth 8-6157] synthesizing module 'and_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:175] +INFO: [Synth 8-6155] done synthesizing module 'and_9bit' (19#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:175] +INFO: [Synth 8-6157] synthesizing module 'shift_left' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:883] +INFO: [Synth 8-6155] done synthesizing module 'shift_left' (20#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:883] +INFO: [Synth 8-6157] synthesizing module 'shift_right_logical' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:957] +INFO: [Synth 8-6155] done synthesizing module 'shift_right_logical' (21#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:957] +INFO: [Synth 8-6157] synthesizing module 'shift_right_arithmetic' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:920] +INFO: [Synth 8-6155] done synthesizing module 'shift_right_arithmetic' (22#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:920] +INFO: [Synth 8-6157] synthesizing module 'less_than' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:316] +INFO: [Synth 8-6155] done synthesizing module 'less_than' (23#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:316] +INFO: [Synth 8-6157] synthesizing module 'BEQ' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1455] +INFO: [Synth 8-6155] done synthesizing module 'BEQ' (24#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1455] +INFO: [Synth 8-6157] synthesizing module 'mux_16_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:531] +INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:537] +INFO: [Synth 8-6155] done synthesizing module 'mux_16_1' (25#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:531] +INFO: [Synth 8-6155] done synthesizing module 'ALU' (26#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:3] +INFO: [Synth 8-6157] synthesizing module 'sign_extend_3bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1026] +INFO: [Synth 8-6155] done synthesizing module 'sign_extend_3bit' (27#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1026] +INFO: [Synth 8-6157] synthesizing module 'bit1_mux_2_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:346] +INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:352] +INFO: [Synth 8-6155] done synthesizing module 'bit1_mux_2_1' (28#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:346] +INFO: [Synth 8-6155] done synthesizing module 'EMModule' (29#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/EMModule.v:5] +INFO: [Synth 8-6157] synthesizing module 'eMPipReg' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:866] +INFO: [Synth 8-6155] done synthesizing module 'eMPipReg' (30#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:866] +INFO: [Synth 8-6157] synthesizing module 'WMUdule' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/WMUdule.v:3] +INFO: [Synth 8-6155] done synthesizing module 'WMUdule' (31#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/WMUdule.v:3] +INFO: [Synth 8-6155] done synthesizing module 'CPU9bits' (32#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3] +WARNING: [Synth 8-3331] design dataMemory has unconnected port address[8] +WARNING: [Synth 8-3331] design dataMemory has unconnected port address[7] WARNING: [Synth 8-3331] design EMModule has unconnected port PipIn[50] WARNING: [Synth 8-3331] design EMModule has unconnected port PipIn[49] WARNING: [Synth 8-3331] design EMModule has unconnected port PipIn[48] WARNING: [Synth 8-3331] design EMModule has unconnected port PipIn[47] --------------------------------------------------------------------------------- -Finished Synthesize : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 416.430 ; gain = 158.523 +Finished Synthesize : Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 418.434 ; gain = 157.633 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 416.430 ; gain = 158.523 +Finished Constraint Validation : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 418.434 ; gain = 157.633 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xc7k160tifbg484-2L --------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 416.430 ; gain = 158.523 +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 418.434 ; gain = 157.633 --------------------------------------------------------------------------------- INFO: [Device 21-403] Loading part xc7k160tifbg484-2L -INFO: [Synth 8-5544] ROM "memory" won't be mapped to Block RAM because address size (3) smaller than threshold (5) --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 416.430 ; gain = 158.523 +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 418.434 ; gain = 157.633 --------------------------------------------------------------------------------- INFO: [Synth 8-223] decloning instance 'EM/SE1' (sign_extend_3bit) to 'EM/SE3' @@ -131,13 +127,12 @@ Detailed RTL Component Info : +---XORs : 2 Input 1 Bit XORs := 162 +---Registers : - 61 Bit Registers := 1 + 62 Bit Registers := 1 51 Bit Registers := 1 9 Bit Registers := 10 +---RAMs : - 4K Bit RAMs := 1 + 909 Bit RAMs := 1 +---Muxes : - 7 Input 9 Bit Muxes := 1 2 Input 9 Bit Muxes := 8 4 Input 9 Bit Muxes := 4 2 Input 4 Bit Muxes := 2 @@ -155,10 +150,6 @@ Finished RTL Component Statistics Start RTL Hierarchical Component Statistics --------------------------------------------------------------------------------- Hierarchical RTL Component report -Module instructionMemory -Detailed RTL Component Info : -+---Muxes : - 7 Input 9 Bit Muxes := 1 Module register Detailed RTL Component Info : +---Registers : @@ -197,7 +188,7 @@ Detailed RTL Component Info : +---Registers : 9 Bit Registers := 1 +---RAMs : - 4K Bit RAMs := 1 + 909 Bit RAMs := 1 Module bit1_mux_2_1 Detailed RTL Component Info : +---Muxes : @@ -205,7 +196,7 @@ Detailed RTL Component Info : Module eMPipReg Detailed RTL Component Info : +---Registers : - 61 Bit Registers := 1 + 62 Bit Registers := 1 --------------------------------------------------------------------------------- Finished RTL Hierarchical Component Statistics --------------------------------------------------------------------------------- @@ -223,33 +214,27 @@ No constraint files found. Start Cross Boundary and Area Optimization --------------------------------------------------------------------------------- Warning: Parallel synthesis criteria is not met -INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[46]' (FDRE) to 'pipe1/Dout_reg[44]' -INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[50]' (FDRE) to 'pipe1/Dout_reg[17]' -INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[48]' (FDRE) to 'pipe1/Dout_reg[17]' -INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[42]' (FDRE) to 'pipe1/Dout_reg[44]' -INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[44]' (FDRE) to 'pipe1/Dout_reg[0]' -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\pipe1/Dout_reg[0] ) -INFO: [Synth 8-3886] merging instance 'pipe2/Dout_reg[4]' (FDRE) to 'pipe2/Dout_reg[6]' -INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[10]' (FDRE) to 'pipe1/Dout_reg[3]' -INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[4]' (FDRE) to 'pipe1/Dout_reg[14]' -INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[9]' (FDRE) to 'pipe1/Dout_reg[14]' -INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[14]' (FDRE) to 'pipe1/Dout_reg[11]' -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\pipe1/Dout_reg[11] ) -INFO: [Synth 8-3886] merging instance 'pipe2/Dout_reg[1]' (FDRE) to 'pipe2/Dout_reg[2]' -INFO: [Synth 8-3886] merging instance 'pipe2/Dout_reg[2]' (FDRE) to 'pipe1/Dout_reg[11]' -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\pipe1/Dout_reg[11] ) --------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 585.000 ; gain = 327.094 +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 594.785 ; gain = 333.984 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start ROM, RAM, DSP and Shift Register Reporting --------------------------------------------------------------------------------- +ROM: ++------------------+------------+---------------+----------------+ +|Module Name | RTL Object | Depth x Width | Implemented As | ++------------------+------------+---------------+----------------+ +|instructionMemory | p_0_out | 64x9 | LUT | +|CPU9bits | p_0_out | 64x9 | LUT | ++------------------+------------+---------------+----------------+ + + Block RAM: Preliminary Mapping Report (see note below) +------------+------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+ |Module Name | RTL Object | PORT A (Depth x Width) | W | R | PORT B (Depth x Width) | W | R | Ports driving FF | RAMB18 | RAMB36 | +------------+------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+ -|dataMemory: | memory_reg | 512 x 9(NO_CHANGE) | W | R | | | | Port A | 1 | 0 | +|dataMemory: | memory_reg | 128 x 9(NO_CHANGE) | W | R | | | | Port A | 1 | 0 | +------------+------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+ Note: The table above is a preliminary report that shows the Block RAMs at the current stage of the synthesis flow. Some Block RAMs may be reimplemented as non Block RAM primitives later in the synthesis flow. Multiple instantiated Block RAMs are reported only once. @@ -267,7 +252,7 @@ No constraint files found. Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 585.000 ; gain = 327.094 +Finished Timing Optimization : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 594.785 ; gain = 333.984 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start ROM, RAM, DSP and Shift Register Reporting @@ -277,7 +262,7 @@ Block RAM: Final Mapping Report +------------+------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+ |Module Name | RTL Object | PORT A (Depth x Width) | W | R | PORT B (Depth x Width) | W | R | Ports driving FF | RAMB18 | RAMB36 | +------------+------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+ -|dataMemory: | memory_reg | 512 x 9(NO_CHANGE) | W | R | | | | Port A | 1 | 0 | +|dataMemory: | memory_reg | 128 x 9(NO_CHANGE) | W | R | | | | Port A | 1 | 0 | +------------+------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+ --------------------------------------------------------------------------------- @@ -292,12 +277,8 @@ Report RTL Partitions: --------------------------------------------------------------------------------- Start Technology Mapping --------------------------------------------------------------------------------- -INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[3]' (FDRE) to 'pipe1/Dout_reg[6]' -INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[5]' (FDRE) to 'pipe1/Dout_reg[7]' -INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[8]' (FDRE) to 'pipe1/Dout_reg[6]' -INFO: [Synth 8-3333] propagating constant 0 across sequential element (\pipe1/Dout_reg[6] ) --------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 585.000 ; gain = 327.094 +Finished Technology Mapping : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 594.785 ; gain = 333.984 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -321,7 +302,7 @@ Start Final Netlist Cleanup Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094 +Finished IO Insertion : Time (s): cpu = 00:00:14 ; elapsed = 00:00:15 . Memory (MB): peak = 594.785 ; gain = 333.984 --------------------------------------------------------------------------------- Report Check Netlist: @@ -334,7 +315,7 @@ Report Check Netlist: Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094 +Finished Renaming Generated Instances : Time (s): cpu = 00:00:14 ; elapsed = 00:00:15 . Memory (MB): peak = 594.785 ; gain = 333.984 --------------------------------------------------------------------------------- Report RTL Partitions: @@ -346,25 +327,25 @@ Report RTL Partitions: Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094 +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:14 ; elapsed = 00:00:15 . Memory (MB): peak = 594.785 ; gain = 333.984 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094 +Finished Renaming Generated Ports : Time (s): cpu = 00:00:14 ; elapsed = 00:00:15 . Memory (MB): peak = 594.785 ; gain = 333.984 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:14 ; elapsed = 00:00:15 . Memory (MB): peak = 594.785 ; gain = 333.984 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094 +Finished Renaming Generated Nets : Time (s): cpu = 00:00:14 ; elapsed = 00:00:15 . Memory (MB): peak = 594.785 ; gain = 333.984 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report @@ -381,59 +362,67 @@ Report Cell Usage: | |Cell |Count | +------+---------+------+ |1 |BUFG | 1| -|2 |LUT1 | 1| -|3 |LUT2 | 6| -|4 |LUT3 | 17| -|5 |LUT4 | 23| -|6 |LUT5 | 11| -|7 |LUT6 | 9| +|2 |LUT1 | 2| +|3 |LUT2 | 9| +|4 |LUT3 | 22| +|5 |LUT4 | 25| +|6 |LUT5 | 42| +|7 |LUT6 | 101| |8 |MUXF7 | 1| |9 |RAMB18E1 | 1| -|10 |FDRE | 58| +|10 |FDRE | 181| |11 |IBUF | 2| |12 |OBUF | 10| +------+---------+------+ Report Instance Areas: -+------+-----------+-----------+------+ -| |Instance |Module |Cells | -+------+-----------+-----------+------+ -|1 |top | | 140| -|2 | EM |EMModule | 1| -|3 | dM |dataMemory | 1| -|4 | FD |FDModule | 46| -|5 | FetchU |FetchUnit | 10| -|6 | PC |register_1 | 10| -|7 | RF |RegFile | 36| -|8 | r0 |register | 18| -|9 | r1 |register_0 | 18| -|10 | W |WMUdule | 9| -|11 | mux5 |mux_2_1 | 9| -|12 | pipe1 |fDPipReg | 55| -|13 | pipe2 |eMPipReg | 16| -+------+-----------+-----------+------+ ++------+-----------+------------+------+ +| |Instance |Module |Cells | ++------+-----------+------------+------+ +|1 |top | | 397| +|2 | EM |EMModule | 46| +|3 | Bank |RegFile_4 | 45| +|4 | r0 |register_5 | 17| +|5 | r1 |register_6 | 10| +|6 | r2 |register_7 | 9| +|7 | r3 |register_8 | 9| +|8 | dM |dataMemory | 1| +|9 | FD |FDModule | 105| +|10 | CU |ControlUnit | 9| +|11 | FetchU |FetchUnit | 42| +|12 | PC |register_3 | 42| +|13 | RF |RegFile | 54| +|14 | r0 |register | 9| +|15 | r1 |register_0 | 27| +|16 | r2 |register_1 | 9| +|17 | r3 |register_2 | 9| +|18 | W |WMUdule | 18| +|19 | mux5 |mux_2_1 | 18| +|20 | pipe1 |fDPipReg | 154| +|21 | pipe2 |eMPipReg | 61| ++------+-----------+------------+------+ --------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094 +Finished Writing Synthesis Report : Time (s): cpu = 00:00:14 ; elapsed = 00:00:15 . Memory (MB): peak = 594.785 ; gain = 333.984 --------------------------------------------------------------------------------- -Synthesis finished with 0 errors, 0 critical warnings and 9 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094 -Synthesis Optimization Complete : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094 +Synthesis finished with 0 errors, 0 critical warnings and 6 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:14 ; elapsed = 00:00:15 . Memory (MB): peak = 594.785 ; gain = 333.984 +Synthesis Optimization Complete : Time (s): cpu = 00:00:14 ; elapsed = 00:00:15 . Memory (MB): peak = 594.785 ; gain = 333.984 INFO: [Project 1-571] Translating synthesized netlist INFO: [Netlist 29-17] Analyzing 2 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 682.445 ; gain = 0.000 +Netlist sorting complete. Time (s): cpu = 00:00:01 ; elapsed = 00:00:00 . Memory (MB): peak = 683.723 ; gain = 0.000 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. INFO: [Common 17-83] Releasing license: Synthesis -100 Infos, 9 Warnings, 0 Critical Warnings and 0 Errors encountered. +80 Infos, 6 Warnings, 0 Critical Warnings and 0 Errors encountered. synth_design completed successfully -synth_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:23 . Memory (MB): peak = 682.445 ; gain = 424.539 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 682.445 ; gain = 0.000 +synth_design: Time (s): cpu = 00:00:21 ; elapsed = 00:00:24 . Memory (MB): peak = 683.723 ; gain = 436.063 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 683.723 ; gain = 0.000 WARNING: [Constraints 18-5210] No constraints selected for write. Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. -INFO: [Common 17-1381] The checkpoint 'C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.dcp' has been generated. +INFO: [Common 17-1381] The checkpoint 'C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.dcp' has been generated. INFO: [runtcl-4] Executing : report_utilization -file CPU9bits_utilization_synth.rpt -pb CPU9bits_utilization_synth.pb -INFO: [Common 17-206] Exiting Vivado at Sat Apr 6 17:33:45 2019... +INFO: [Common 17-206] Exiting Vivado at Wed Apr 10 12:50:01 2019... diff --git a/lab2CA.runs/synth_1/CPU9bits_tb.dcp b/lab2CA.runs/synth_1/CPU9bits_tb.dcp deleted file mode 100644 index 94e89b7940c96ccda21b448f691ccc9b65b5c59e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3161 zcmZ{n2T)VX8pi|DL1`jYnsfq$1aE>==?M@*F99h5A+*q&T#y>11OzYAq=`YLh*YHr zg7hj)K@bHLkt$LY1YUUFnfu7xw=+9?=FIuc?tcIOe)}Di{u!$C004jvpqCPlYzK72 z;3xn9hcf^GE$OW@#z!{9%QGbdjf)0x#Xnhk@}qqsNQiP?o?qDPB7Nf3ky+L>Fz?gL zR(S_|{?lI8BD@WM7VKdUF0Y*(J~)qrDY5Asq)SPU`kl%8n_+f}~of%C+)-89~;R}^ff zaErFsn0#kfL0$8JHmA5AmlL-lIJX^((MCEBeAv$J4-E1AI+3s`9u?2cITJcI{m3vb zLnLhCU6^?dE}{EeG>9`|$wY-lxlucTuS25qejcP>eoN%}jBQn4ijUvAh}eC;g4SKu zTe)MBL>QwYL0g!(nS24;!=AYo2^ACR{LmsGD~)kBEIBakg&}1xxjxmce4(R>tIfR^ zwu0OQ%RMf@KHqg;wW)`k&CJK04~f1seCemz=uYx#q}Dr_%u@AdBD(N4{jBpRCRrX1 z`f3>Pjs5-h;Lw8wyRf=~R>(s$RRHRgCT}}u?3gJ5fLW3z%p^@TQRa$H*Z_a~04G@& z=j#k;3Qr~)YoIo5*2`Z(YBq?Mi6tTGhrQma&R9P3DSl-re zjW`TQUgrOtJF8GqOsDmha>RT+y17vC45I-^X|Ftq(;)YNwclfCAvsTVzBY8dc3XqR zSJtM`UXg<`TZ1m=qea>(RpwM~v?9Qtszsg&7-|ujACm8r9<{3@Co*(-WJ6hjK0DyR zru1>r7En`6{f5ez+0Ag=0UiPbQF2916zqsYJec|`p4b>pPq(5R>DSN_9ab$X+zfs( z8w8JB!DatGwvo?}jvDAn#ljPn?)N-F;e>>=SUvY&T^fR}RVA;Z#A06(UME&coW0?_ zhsS*H6fL@)K9Uo;azR-tSy=5mT~eVdsF^2tw&|{WC9jbGNf&hKy28#nHJZM}`~TCR8{`GjuK&nh6|x)fk}4FWTij`DGm!KZ0rE;j+Dd zt2OB3rtj`<8kTsc21Z#poYPOOPyA8JXxv0(fOFO*I7F^{OmZX+q4?VJ1E_CZ^rd|5 zv5b=93DFR}5}dN*`{}q`cf@qsaVRXsN&IMC<5&$=k#=tg&Zn6wg&k<;W}96>`_e?W zH;>y6-A*g;D*A3gpS{poZl2F#X^7|nUT%bMje1fRkAw=Vv00;s*NmACZP}1A;_tH_ zU0i6|zFro$V!-+Rb!^V@aFN>11bVG%3bt z>?Tilf@aMCq<)LT*5Zo7Vzbs|6ZelbcR8-~PRi8HSI7OLJT1A6eV#NcN$QhmlSe$* z`MR{ejMNZGL+~PE(5MCsAk&LojeY!(q}2~nKSySm5O0bf6tqWHo*@?UFl`ONlLmH% z{dbgi0omZ1fYEYW9hn;Q^H&QpoCI2o438Z-QrOm18r`$m>Z_@8FI9WDZIuu(Vh#Net54%r%n@b zfAlXP?^g@Rx1-gRZV<3GdbBbuuh_OBgWx~+G*l&XL%Y}d(h*%nG4GkimM+)##@53# z;oG;FCOX7-5yaxY?Qmd~RP}aS<}c-YL%N8IXTo0)kp&(Vtq)#2{9sz2tniMdwpLdz z{j>9vk9?F`Rr-UR?Mq%=DvHH_-PVie%9y>I?jdAJ$D5tXaTk!(lV;c-BP{8l!v9Be(%B`Z*#th`Aw6E= zqd{tU6s3b7Q}{I%38%L~{T7s_T0g2r3l-bWXmQmPt))Af zfiS&&atcl5!8GOZNjz%upGqQ6+YEPft7n*G5Mh#0*iIY8-ajDFNjAuwAaB$GVu=er zPJ~8N(YvTuWIaaC7BjH$Hom?4Io3m0l{P0bbvDRRae2LEqs0TgI$tZINZ>9T-2e3L zC$_GuG=zSMb~VPUYKI^1j^eXYJgo9a#-f{3ia(6q;mj+}RavmyN{}Qnbx)cW1LYmk zgspS_;%JOjuD$^3{<0Dq8^uo7B7TsfnlJw<_RlxtgdvC|MtCq;f9U ziLmIs@ZcFK(NK`;kpEvTlHvd$#RE_ub@KFg?MM!hmoq1y{)X<52D0LY945cd{((nG z)zSaLC$}3pO@0}j&?l`v%9!djO}d)MVe-6s0{&ii2lZ)~lx4|b^0ayapS1cY3Yyb! zh&MS)e$r03-xEvGonrq!cjP>|RsZ27>Hm!<85gBbOpz{rOk~oIJY1@q`xCbdG}b4ZZS zSv!!xTzeql_!LAkymbMQdtHHqW2+m8-Rlh^?*hpNhowFs_9q`H_mBuzqj+b3UtfPe a9Vo}c(a+D-XKf%z$zLGZV1G0S#0CI9szfpX diff --git a/lab2CA.runs/synth_1/CPU9bits_utilization_synth.pb b/lab2CA.runs/synth_1/CPU9bits_utilization_synth.pb index c2cf86b6d28764e986d05592baaada601748c57d..9a6b4d1264dbfcfafce8017bb0cbc1e294619552 100644 GIT binary patch delta 42 ycmZ3;w2*0nxq_+lTwVqqH;<>h-%f0^eJjMkpy9k%n1Sb*d#i}b3QfC-;imv991dOp delta 42 ycmZ3;w2*0nxk851TwVqqH;<>hJ3U|7ycJ?#h;Z5~%)oQZy;UScG0Jvg_$dG-;|>o1 diff --git a/lab2CA.runs/synth_1/CPU9bits_tb_utilization_synth.rpt b/lab2CA.runs/synth_1/CPU9bits_utilization_synth.rpt similarity index 90% rename from lab2CA.runs/synth_1/CPU9bits_tb_utilization_synth.rpt rename to lab2CA.runs/synth_1/CPU9bits_utilization_synth.rpt index ebd1e89..a9b1889 100644 --- a/lab2CA.runs/synth_1/CPU9bits_tb_utilization_synth.rpt +++ b/lab2CA.runs/synth_1/CPU9bits_utilization_synth.rpt @@ -1,8 +1,8 @@ Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. ----------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 -| Date : Sat Apr 6 17:33:45 2019 -| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) +| Date : Wed Apr 10 12:50:00 2019 +| Host : WM-G75VW running 64-bit major release (build 9200) | Command : report_utilization -file CPU9bits_utilization_synth.rpt -pb CPU9bits_utilization_synth.pb | Design : CPU9bits | Device : 7k160tifbg484-2L @@ -30,11 +30,11 @@ Table of Contents +-------------------------+------+-------+-----------+-------+ | Site Type | Used | Fixed | Available | Util% | +-------------------------+------+-------+-----------+-------+ -| Slice LUTs* | 54 | 0 | 101400 | 0.05 | -| LUT as Logic | 54 | 0 | 101400 | 0.05 | +| Slice LUTs* | 168 | 0 | 101400 | 0.17 | +| LUT as Logic | 168 | 0 | 101400 | 0.17 | | LUT as Memory | 0 | 0 | 35000 | 0.00 | -| Slice Registers | 58 | 0 | 202800 | 0.03 | -| Register as Flip Flop | 58 | 0 | 202800 | 0.03 | +| Slice Registers | 181 | 0 | 202800 | 0.09 | +| Register as Flip Flop | 181 | 0 | 202800 | 0.09 | | Register as Latch | 0 | 0 | 202800 | 0.00 | | F7 Muxes | 1 | 0 | 50700 | <0.01 | | F8 Muxes | 0 | 0 | 25350 | 0.00 | @@ -57,7 +57,7 @@ Table of Contents | 0 | Yes | - | Set | | 0 | Yes | - | Reset | | 0 | Yes | Set | - | -| 58 | Yes | Reset | - | +| 181 | Yes | Reset | - | +-------+--------------+-------------+--------------+ @@ -152,17 +152,17 @@ Table of Contents +----------+------+---------------------+ | Ref Name | Used | Functional Category | +----------+------+---------------------+ -| FDRE | 58 | Flop & Latch | -| LUT4 | 23 | LUT | -| LUT3 | 17 | LUT | -| LUT5 | 11 | LUT | +| FDRE | 181 | Flop & Latch | +| LUT6 | 101 | LUT | +| LUT5 | 42 | LUT | +| LUT4 | 25 | LUT | +| LUT3 | 22 | LUT | | OBUF | 10 | IO | -| LUT6 | 9 | LUT | -| LUT2 | 6 | LUT | +| LUT2 | 9 | LUT | +| LUT1 | 2 | LUT | | IBUF | 2 | IO | | RAMB18E1 | 1 | Block Memory | | MUXF7 | 1 | MuxFx | -| LUT1 | 1 | LUT | | BUFG | 1 | Clock | +----------+------+---------------------+ diff --git a/lab2CA.runs/synth_1/gen_run.xml b/lab2CA.runs/synth_1/gen_run.xml index cee688d..5b61254 100644 --- a/lab2CA.runs/synth_1/gen_run.xml +++ b/lab2CA.runs/synth_1/gen_run.xml @@ -1,5 +1,5 @@ - + @@ -96,14 +96,6 @@ - - - - - - - - - - - - -