From faf9f883dd67610882f3475ea8e27099c40790c0 Mon Sep 17 00:00:00 2001 From: goochey Date: Sat, 16 Feb 2019 16:29:12 -0500 Subject: [PATCH] Collaborative - Fixes and Testbenches for Basic Modules so far --- lab2CA.cache/wt/webtalk_pa.xml | 43 +- lab2CA.runs/.jobs/vrs_config_14.xml | 8 + lab2CA.runs/.jobs/vrs_config_15.xml | 8 + lab2CA.runs/.jobs/vrs_config_16.xml | 8 + lab2CA.runs/synth_1/ALU.vds | 64 -- lab2CA.runs/synth_1/FetchUnit.dcp | Bin 0 -> 13983 bytes .../synth_1/{ALU.tcl => FetchUnit.tcl} | 19 +- lab2CA.runs/synth_1/FetchUnit.vds | 260 ++++++ .../synth_1/FetchUnit_utilization_synth.pb | Bin 0 -> 289 bytes .../synth_1/FetchUnit_utilization_synth.rpt | 182 +++++ lab2CA.runs/synth_1/gen_run.xml | 26 +- lab2CA.runs/synth_1/htr.txt | 2 +- lab2CA.runs/synth_1/vivado.jou | 14 +- lab2CA.runs/synth_1/vivado.pb | Bin 10714 -> 27090 bytes lab2CA.sim/sim_1/behav/xsim/ALU.tcl | 11 + lab2CA.sim/sim_1/behav/xsim/ALU_vlog.prj | 10 + lab2CA.sim/sim_1/behav/xsim/add1bit_tb.tcl | 11 + .../sim_1/behav/xsim/add1bit_tb_vlog.prj | 9 + lab2CA.sim/sim_1/behav/xsim/add9bit_tb.tcl | 11 + .../sim_1/behav/xsim/add9bit_tb_vlog.prj | 9 + lab2CA.sim/sim_1/behav/xsim/and1bit_tb.tcl | 11 + .../sim_1/behav/xsim/and1bit_tb_vlog.prj | 9 + lab2CA.sim/sim_1/behav/xsim/and9bit_tb.tcl | 11 + .../sim_1/behav/xsim/and9bit_tb_vlog.prj | 9 + lab2CA.sim/sim_1/behav/xsim/glbl.v | 71 ++ lab2CA.sim/sim_1/behav/xsim/mux_2_1_tb.tcl | 11 + .../sim_1/behav/xsim/mux_2_1_tb_vlog.prj | 9 + lab2CA.sim/sim_1/behav/xsim/mux_4_1_tb.tcl | 11 + .../sim_1/behav/xsim/mux_4_1_tb_vlog.prj | 9 + lab2CA.sim/sim_1/behav/xsim/mux_8_1_tb.tcl | 11 + .../sim_1/behav/xsim/mux_8_1_tb_vlog.prj | 9 + lab2CA.sim/sim_1/behav/xsim/nor_1bit_tb.tcl | 11 + .../sim_1/behav/xsim/nor_1bit_tb_vlog.prj | 9 + lab2CA.sim/sim_1/behav/xsim/nor_9bit_tb.tcl | 11 + .../sim_1/behav/xsim/nor_9bit_tb_vlog.prj | 9 + lab2CA.sim/sim_1/behav/xsim/not_1bit_tb.tcl | 11 + .../sim_1/behav/xsim/not_1bit_tb_vlog.prj | 9 + lab2CA.sim/sim_1/behav/xsim/not_9bit_tb.tcl | 11 + .../sim_1/behav/xsim/not_9bit_tb_vlog.prj | 9 + lab2CA.sim/sim_1/behav/xsim/or_1bit_tb.tcl | 11 + .../sim_1/behav/xsim/or_1bit_tb_vlog.prj | 9 + lab2CA.sim/sim_1/behav/xsim/or_9bit_tb.tcl | 11 + .../sim_1/behav/xsim/or_9bit_tb_vlog.prj | 9 + lab2CA.sim/sim_1/behav/xsim/register_tb.tcl | 11 + .../sim_1/behav/xsim/register_tb_vlog.prj | 9 + .../behav/xsim/shift_logical_left_tb.tcl | 11 + .../behav/xsim/shift_logical_left_tb_vlog.prj | 9 + .../behav/xsim/shift_logical_right_tb.tcl | 11 + .../xsim/shift_logical_right_tb_vlog.prj | 9 + lab2CA.sim/sim_1/behav/xsim/sub_9bit_tb.tcl | 11 + .../sim_1/behav/xsim/sub_9bit_tb_vlog.prj | 9 + .../sim_1/behav/xsim/twos_compliment_tb.tcl | 11 + .../behav/xsim/twos_compliment_tb_vlog.prj | 9 + lab2CA.sim/sim_1/behav/xsim/webtalk.jou | 12 + .../sim_1/behav/xsim/webtalk_1120.backup.jou | 12 + .../sim_1/behav/xsim/webtalk_11256.backup.jou | 12 + .../sim_1/behav/xsim/webtalk_1276.backup.jou | 12 + .../sim_1/behav/xsim/webtalk_13392.backup.jou | 12 + .../sim_1/behav/xsim/webtalk_6756.backup.jou | 12 + lab2CA.sim/sim_1/behav/xsim/xelab.pb | Bin 0 -> 2008 bytes .../xsim.dir/ALU_behav/Compile_Options.txt | 1 + .../xsim.dir/ALU_behav/TempBreakPointFile.txt | 1 + .../xsim/xsim.dir/ALU_behav/obj/xsim_1.c | 115 +++ .../webtalk/usage_statistics_ext_xsim.xml | 44 ++ .../behav/xsim/xsim.dir/ALU_behav/xsim.mem | Bin 0 -> 8205 bytes .../add1bit_tb_behav/Compile_Options.txt | 1 + .../add1bit_tb_behav/TempBreakPointFile.txt | 1 + .../xsim.dir/add1bit_tb_behav/obj/xsim_1.c | 109 +++ .../webtalk/usage_statistics_ext_xsim.xml | 44 ++ .../xsim/xsim.dir/add1bit_tb_behav/xsim.mem | Bin 0 -> 2986 bytes .../add9bit_tb_behav/Compile_Options.txt | 1 + .../add9bit_tb_behav/TempBreakPointFile.txt | 1 + .../xsim.dir/add9bit_tb_behav/obj/xsim_1.c | 109 +++ .../webtalk/usage_statistics_ext_xsim.xml | 44 ++ .../xsim/xsim.dir/add9bit_tb_behav/xsim.mem | Bin 0 -> 3913 bytes .../and1bit_tb_behav/Compile_Options.txt | 1 + .../and1bit_tb_behav/TempBreakPointFile.txt | 1 + .../xsim.dir/and1bit_tb_behav/obj/xsim_1.c | 107 +++ .../webtalk/usage_statistics_ext_xsim.xml | 44 ++ .../xsim/xsim.dir/and1bit_tb_behav/xsim.mem | Bin 0 -> 2837 bytes .../and9bit_tb_behav/Compile_Options.txt | 1 + .../and9bit_tb_behav/TempBreakPointFile.txt | 1 + .../xsim.dir/and9bit_tb_behav/obj/xsim_1.c | 107 +++ .../webtalk/usage_statistics_ext_xsim.xml | 44 ++ .../xsim/xsim.dir/and9bit_tb_behav/xsim.mem | Bin 0 -> 3547 bytes .../mux_2_1_tb_behav/Compile_Options.txt | 1 + .../mux_2_1_tb_behav/TempBreakPointFile.txt | 1 + .../xsim.dir/mux_2_1_tb_behav/obj/xsim_1.c | 109 +++ .../webtalk/usage_statistics_ext_xsim.xml | 44 ++ .../mux_2_1_tb_behav/webtalk/xsim_webtalk.tcl | 32 + .../xsim/xsim.dir/mux_2_1_tb_behav/xsim.mem | Bin 0 -> 3050 bytes .../mux_4_1_tb_behav/Compile_Options.txt | 1 + .../mux_4_1_tb_behav/TempBreakPointFile.txt | 1 + .../xsim.dir/mux_4_1_tb_behav/obj/xsim_1.c | 111 +++ .../webtalk/usage_statistics_ext_xsim.xml | 44 ++ .../mux_4_1_tb_behav/webtalk/xsim_webtalk.tcl | 32 + .../xsim/xsim.dir/mux_4_1_tb_behav/xsim.mem | Bin 0 -> 3187 bytes .../mux_8_1_tb_behav/Compile_Options.txt | 1 + .../mux_8_1_tb_behav/TempBreakPointFile.txt | 1 + .../xsim.dir/mux_8_1_tb_behav/obj/xsim_1.c | 115 +++ .../webtalk/usage_statistics_ext_xsim.xml | 44 ++ .../mux_8_1_tb_behav/webtalk/xsim_webtalk.tcl | 32 + .../xsim/xsim.dir/mux_8_1_tb_behav/xsim.mem | Bin 0 -> 3327 bytes .../nor_1bit_tb_behav/Compile_Options.txt | 1 + .../nor_1bit_tb_behav/TempBreakPointFile.txt | 1 + .../xsim.dir/nor_1bit_tb_behav/obj/xsim_1.c | 107 +++ .../webtalk/usage_statistics_ext_xsim.xml | 44 ++ .../webtalk/xsim_webtalk.tcl | 32 + .../xsim/xsim.dir/nor_1bit_tb_behav/xsim.mem | Bin 0 -> 2832 bytes .../nor_9bit_tb_behav/Compile_Options.txt | 1 + .../nor_9bit_tb_behav/TempBreakPointFile.txt | 1 + .../xsim.dir/nor_9bit_tb_behav/obj/xsim_1.c | 107 +++ .../webtalk/usage_statistics_ext_xsim.xml | 44 ++ .../xsim/xsim.dir/nor_9bit_tb_behav/xsim.mem | Bin 0 -> 3507 bytes .../not_1bit_tb_behav/Compile_Options.txt | 1 + .../not_1bit_tb_behav/TempBreakPointFile.txt | 1 + .../xsim.dir/not_1bit_tb_behav/obj/xsim_1.c | 106 +++ .../webtalk/usage_statistics_ext_xsim.xml | 44 ++ .../xsim/xsim.dir/not_1bit_tb_behav/xsim.mem | Bin 0 -> 2750 bytes .../not_9bit_tb_behav/Compile_Options.txt | 1 + .../not_9bit_tb_behav/TempBreakPointFile.txt | 1 + .../xsim.dir/not_9bit_tb_behav/obj/xsim_1.c | 106 +++ .../webtalk/usage_statistics_ext_xsim.xml | 44 ++ .../webtalk/xsim_webtalk.tcl | 32 + .../xsim/xsim.dir/not_9bit_tb_behav/xsim.mem | Bin 0 -> 3398 bytes .../or_1bit_tb_behav/Compile_Options.txt | 1 + .../or_1bit_tb_behav/TempBreakPointFile.txt | 1 + .../xsim.dir/or_1bit_tb_behav/obj/xsim_1.c | 107 +++ .../webtalk/usage_statistics_ext_xsim.xml | 44 ++ .../xsim/xsim.dir/or_1bit_tb_behav/xsim.mem | Bin 0 -> 2844 bytes .../or_9bit_tb_behav/Compile_Options.txt | 1 + .../or_9bit_tb_behav/TempBreakPointFile.txt | 1 + .../xsim.dir/or_9bit_tb_behav/obj/xsim_1.c | 107 +++ .../webtalk/usage_statistics_ext_xsim.xml | 44 ++ .../xsim/xsim.dir/or_9bit_tb_behav/xsim.mem | Bin 0 -> 3605 bytes .../register_tb_behav/Compile_Options.txt | 1 + .../register_tb_behav/TempBreakPointFile.txt | 1 + .../xsim.dir/register_tb_behav/obj/xsim_1.c | 110 +++ .../webtalk/usage_statistics_ext_xsim.xml | 44 ++ .../webtalk/xsim_webtalk.tcl | 32 + .../xsim/xsim.dir/register_tb_behav/xsim.mem | Bin 0 -> 3190 bytes .../Compile_Options.txt | 1 + .../TempBreakPointFile.txt | 1 + .../shift_logical_left_tb_behav/obj/xsim_1.c | 106 +++ .../webtalk/usage_statistics_ext_xsim.xml | 44 ++ .../shift_logical_left_tb_behav/xsim.mem | Bin 0 -> 2821 bytes .../Compile_Options.txt | 1 + .../TempBreakPointFile.txt | 1 + .../shift_logical_right_tb_behav/obj/xsim_1.c | 106 +++ .../webtalk/usage_statistics_ext_xsim.xml | 44 ++ .../shift_logical_right_tb_behav/xsim.mem | Bin 0 -> 2822 bytes .../sub_9bit_tb_behav/Compile_Options.txt | 1 + .../sub_9bit_tb_behav/TempBreakPointFile.txt | 1 + .../xsim.dir/sub_9bit_tb_behav/obj/xsim_1.c | 111 +++ .../webtalk/usage_statistics_ext_xsim.xml | 44 ++ .../xsim/xsim.dir/sub_9bit_tb_behav/xsim.mem | Bin 0 -> 5575 bytes .../Compile_Options.txt | 1 + .../TempBreakPointFile.txt | 1 + .../twos_compliment_tb_behav/obj/xsim_1.c | 110 +++ .../webtalk/usage_statistics_ext_xsim.xml | 44 ++ .../twos_compliment_tb_behav/xsim.mem | Bin 0 -> 4495 bytes lab2CA.sim/sim_1/behav/xsim/xvlog.pb | Bin 0 -> 2134 bytes lab2CA.srcs/sources_1/new/ALU.v | 3 +- lab2CA.srcs/sources_1/new/BasicModules.v | 745 ++++++++++++++++-- lab2CA.srcs/sources_1/new/FetchUnit.v | 7 +- lab2CA.xpr | 10 +- 166 files changed, 4884 insertions(+), 170 deletions(-) create mode 100644 lab2CA.runs/.jobs/vrs_config_14.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_15.xml create mode 100644 lab2CA.runs/.jobs/vrs_config_16.xml delete mode 100644 lab2CA.runs/synth_1/ALU.vds create mode 100644 lab2CA.runs/synth_1/FetchUnit.dcp rename lab2CA.runs/synth_1/{ALU.tcl => FetchUnit.tcl} (67%) create mode 100644 lab2CA.runs/synth_1/FetchUnit.vds create mode 100644 lab2CA.runs/synth_1/FetchUnit_utilization_synth.pb create mode 100644 lab2CA.runs/synth_1/FetchUnit_utilization_synth.rpt create mode 100644 lab2CA.sim/sim_1/behav/xsim/ALU.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/ALU_vlog.prj create mode 100644 lab2CA.sim/sim_1/behav/xsim/add1bit_tb.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/add1bit_tb_vlog.prj create mode 100644 lab2CA.sim/sim_1/behav/xsim/add9bit_tb.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/add9bit_tb_vlog.prj create mode 100644 lab2CA.sim/sim_1/behav/xsim/and1bit_tb.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/and1bit_tb_vlog.prj create mode 100644 lab2CA.sim/sim_1/behav/xsim/and9bit_tb.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/and9bit_tb_vlog.prj create mode 100644 lab2CA.sim/sim_1/behav/xsim/glbl.v create mode 100644 lab2CA.sim/sim_1/behav/xsim/mux_2_1_tb.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/mux_2_1_tb_vlog.prj create mode 100644 lab2CA.sim/sim_1/behav/xsim/mux_4_1_tb.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/mux_4_1_tb_vlog.prj create mode 100644 lab2CA.sim/sim_1/behav/xsim/mux_8_1_tb.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/mux_8_1_tb_vlog.prj create mode 100644 lab2CA.sim/sim_1/behav/xsim/nor_1bit_tb.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/nor_1bit_tb_vlog.prj create mode 100644 lab2CA.sim/sim_1/behav/xsim/nor_9bit_tb.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/nor_9bit_tb_vlog.prj create mode 100644 lab2CA.sim/sim_1/behav/xsim/not_1bit_tb.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/not_1bit_tb_vlog.prj create mode 100644 lab2CA.sim/sim_1/behav/xsim/not_9bit_tb.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/not_9bit_tb_vlog.prj create mode 100644 lab2CA.sim/sim_1/behav/xsim/or_1bit_tb.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/or_1bit_tb_vlog.prj create mode 100644 lab2CA.sim/sim_1/behav/xsim/or_9bit_tb.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/or_9bit_tb_vlog.prj create mode 100644 lab2CA.sim/sim_1/behav/xsim/register_tb.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/register_tb_vlog.prj create mode 100644 lab2CA.sim/sim_1/behav/xsim/shift_logical_left_tb.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/shift_logical_left_tb_vlog.prj create mode 100644 lab2CA.sim/sim_1/behav/xsim/shift_logical_right_tb.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/shift_logical_right_tb_vlog.prj create mode 100644 lab2CA.sim/sim_1/behav/xsim/sub_9bit_tb.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/sub_9bit_tb_vlog.prj create mode 100644 lab2CA.sim/sim_1/behav/xsim/twos_compliment_tb.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/twos_compliment_tb_vlog.prj create mode 100644 lab2CA.sim/sim_1/behav/xsim/webtalk.jou create mode 100644 lab2CA.sim/sim_1/behav/xsim/webtalk_1120.backup.jou create mode 100644 lab2CA.sim/sim_1/behav/xsim/webtalk_11256.backup.jou create mode 100644 lab2CA.sim/sim_1/behav/xsim/webtalk_1276.backup.jou create mode 100644 lab2CA.sim/sim_1/behav/xsim/webtalk_13392.backup.jou create mode 100644 lab2CA.sim/sim_1/behav/xsim/webtalk_6756.backup.jou create mode 100644 lab2CA.sim/sim_1/behav/xsim/xelab.pb create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/ALU_behav/Compile_Options.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/ALU_behav/TempBreakPointFile.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/ALU_behav/obj/xsim_1.c create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/ALU_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/ALU_behav/xsim.mem create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/add1bit_tb_behav/Compile_Options.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/add1bit_tb_behav/TempBreakPointFile.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/add1bit_tb_behav/obj/xsim_1.c create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/add1bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/add1bit_tb_behav/xsim.mem create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/add9bit_tb_behav/Compile_Options.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/add9bit_tb_behav/TempBreakPointFile.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/add9bit_tb_behav/obj/xsim_1.c create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/add9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/add9bit_tb_behav/xsim.mem create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/and1bit_tb_behav/Compile_Options.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/and1bit_tb_behav/TempBreakPointFile.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/and1bit_tb_behav/obj/xsim_1.c create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/and1bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/and1bit_tb_behav/xsim.mem create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/and9bit_tb_behav/Compile_Options.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/and9bit_tb_behav/TempBreakPointFile.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/and9bit_tb_behav/obj/xsim_1.c create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/and9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/and9bit_tb_behav/xsim.mem create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/Compile_Options.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/TempBreakPointFile.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/obj/xsim_1.c create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/webtalk/xsim_webtalk.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/xsim.mem create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/Compile_Options.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/TempBreakPointFile.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/obj/xsim_1.c create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/webtalk/xsim_webtalk.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/xsim.mem create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_8_1_tb_behav/Compile_Options.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_8_1_tb_behav/TempBreakPointFile.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_8_1_tb_behav/obj/xsim_1.c create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_8_1_tb_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_8_1_tb_behav/webtalk/xsim_webtalk.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_8_1_tb_behav/xsim.mem create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_1bit_tb_behav/Compile_Options.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_1bit_tb_behav/TempBreakPointFile.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_1bit_tb_behav/obj/xsim_1.c create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_1bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_1bit_tb_behav/webtalk/xsim_webtalk.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_1bit_tb_behav/xsim.mem create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_9bit_tb_behav/Compile_Options.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_9bit_tb_behav/TempBreakPointFile.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_9bit_tb_behav/obj/xsim_1.c create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_9bit_tb_behav/xsim.mem create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_1bit_tb_behav/Compile_Options.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_1bit_tb_behav/TempBreakPointFile.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_1bit_tb_behav/obj/xsim_1.c create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_1bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_1bit_tb_behav/xsim.mem create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_9bit_tb_behav/Compile_Options.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_9bit_tb_behav/TempBreakPointFile.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_9bit_tb_behav/obj/xsim_1.c create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_9bit_tb_behav/webtalk/xsim_webtalk.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_9bit_tb_behav/xsim.mem create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_1bit_tb_behav/Compile_Options.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_1bit_tb_behav/TempBreakPointFile.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_1bit_tb_behav/obj/xsim_1.c create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_1bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_1bit_tb_behav/xsim.mem create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_9bit_tb_behav/Compile_Options.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_9bit_tb_behav/TempBreakPointFile.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_9bit_tb_behav/obj/xsim_1.c create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_9bit_tb_behav/xsim.mem create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/Compile_Options.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/TempBreakPointFile.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/obj/xsim_1.c create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/webtalk/xsim_webtalk.tcl create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/xsim.mem create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_left_tb_behav/Compile_Options.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_left_tb_behav/TempBreakPointFile.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_left_tb_behav/obj/xsim_1.c create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_left_tb_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_left_tb_behav/xsim.mem create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/Compile_Options.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/TempBreakPointFile.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/obj/xsim_1.c create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/xsim.mem create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/Compile_Options.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/TempBreakPointFile.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/obj/xsim_1.c create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/xsim.mem create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/twos_compliment_tb_behav/Compile_Options.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/twos_compliment_tb_behav/TempBreakPointFile.txt create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/twos_compliment_tb_behav/obj/xsim_1.c create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/twos_compliment_tb_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 lab2CA.sim/sim_1/behav/xsim/xsim.dir/twos_compliment_tb_behav/xsim.mem create mode 100644 lab2CA.sim/sim_1/behav/xsim/xvlog.pb diff --git a/lab2CA.cache/wt/webtalk_pa.xml b/lab2CA.cache/wt/webtalk_pa.xml index ae8a1a9..04ee3a5 100644 --- a/lab2CA.cache/wt/webtalk_pa.xml +++ b/lab2CA.cache/wt/webtalk_pa.xml @@ -3,7 +3,7 @@ - +
@@ -17,26 +17,49 @@ This means code written to parse this file will need to be revisited each subseq - - + + + + + + + + + - - - - + + + + + - + + + + + + + + + + + + + + + + - + - +
diff --git a/lab2CA.runs/.jobs/vrs_config_14.xml b/lab2CA.runs/.jobs/vrs_config_14.xml new file mode 100644 index 0000000..99236b3 --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_14.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_15.xml b/lab2CA.runs/.jobs/vrs_config_15.xml new file mode 100644 index 0000000..99236b3 --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_15.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab2CA.runs/.jobs/vrs_config_16.xml b/lab2CA.runs/.jobs/vrs_config_16.xml new file mode 100644 index 0000000..99236b3 --- /dev/null +++ b/lab2CA.runs/.jobs/vrs_config_16.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/lab2CA.runs/synth_1/ALU.vds b/lab2CA.runs/synth_1/ALU.vds deleted file mode 100644 index 7152285..0000000 --- a/lab2CA.runs/synth_1/ALU.vds +++ /dev/null @@ -1,64 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sat Feb 16 13:03:34 2019 -# Process ID: 11092 -# Current directory: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1 -# Command line: vivado.exe -log ALU.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source ALU.tcl -# Log file: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1/ALU.vds -# Journal file: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1\vivado.jou -#----------------------------------------------------------- -source ALU.tcl -notrace -Command: synth_design -top ALU -part xc7k160tifbg484-2L -Starting synth_design -Attempting to get a license for feature 'Synthesis' and/or device 'xc7k160ti' -INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7k160ti' -INFO: Launching helper process for spawning children vivado processes -INFO: Helper process launched with PID 18316 ---------------------------------------------------------------------------------- -Starting Synthesize : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 363.020 ; gain = 100.695 ---------------------------------------------------------------------------------- -INFO: [Synth 8-6157] synthesizing module 'ALU' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:3] -INFO: [Synth 8-6157] synthesizing module 'add_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:15] -INFO: [Synth 8-6157] synthesizing module 'add_1bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3] -INFO: [Synth 8-6155] done synthesizing module 'add_1bit' (1#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3] -INFO: [Synth 8-6155] done synthesizing module 'add_9bit' (2#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:15] -WARNING: [Synth 8-350] instance 'add0' of module 'add_9bit' requires 5 connections, but only 4 given [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:14] -INFO: [Synth 8-6157] synthesizing module 'sub_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:480] -INFO: [Synth 8-6157] synthesizing module 'twos_compliment_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:499] -INFO: [Synth 8-6157] synthesizing module 'not_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:316] -INFO: [Synth 8-6157] synthesizing module 'not_1bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:308] -INFO: [Synth 8-6155] done synthesizing module 'not_1bit' (3#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:308] -INFO: [Synth 8-6155] done synthesizing module 'not_9bit' (4#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:316] -WARNING: [Synth 8-350] instance 'add0' of module 'add_9bit' requires 5 connections, but only 4 given [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:509] -INFO: [Synth 8-6155] done synthesizing module 'twos_compliment_9bit' (5#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:499] -WARNING: [Synth 8-350] instance 'add0' of module 'add_9bit' requires 5 connections, but only 4 given [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:491] -INFO: [Synth 8-6155] done synthesizing module 'sub_9bit' (6#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:480] -INFO: [Synth 8-6157] synthesizing module 'or_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:367] -INFO: [Synth 8-6157] synthesizing module 'or_1bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:358] -INFO: [Synth 8-6155] done synthesizing module 'or_1bit' (7#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:358] -INFO: [Synth 8-6155] done synthesizing module 'or_9bit' (8#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:367] -INFO: [Synth 8-6157] synthesizing module 'nor_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:256] -INFO: [Synth 8-6157] synthesizing module 'nor_1bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:247] -INFO: [Synth 8-6155] done synthesizing module 'nor_1bit' (9#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:247] -INFO: [Synth 8-6155] done synthesizing module 'nor_9bit' (10#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:256] -WARNING: [Synth 8-4446] all outputs are unconnected for this instance and logic may be removed [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:35] -INFO: [Synth 8-6157] synthesizing module 'and_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:105] -INFO: [Synth 8-6157] synthesizing module 'and_1bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:96] -INFO: [Synth 8-6155] done synthesizing module 'and_1bit' (11#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:96] -INFO: [Synth 8-6155] done synthesizing module 'and_9bit' (12#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:105] -ERROR: [Synth 8-448] named port connection 'Cin' does not exist for instance 'and0' of module 'and_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:38] -ERROR: [Synth 8-448] named port connection 'Sum' does not exist for instance 'and0' of module 'and_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:39] -INFO: [Synth 8-6157] synthesizing module 'shift_logical_left' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:462] -ERROR: [Synth 8-6156] failed synthesizing module 'shift_logical_left' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:462] -ERROR: [Synth 8-6156] failed synthesizing module 'ALU' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:3] ---------------------------------------------------------------------------------- -Finished Synthesize : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 419.512 ; gain = 157.188 ---------------------------------------------------------------------------------- -synthesize failed -INFO: [Common 17-83] Releasing license: Synthesis -28 Infos, 4 Warnings, 0 Critical Warnings and 5 Errors encountered. -synth_design failed -ERROR: [Common 17-69] Command failed: Vivado Synthesis failed -INFO: [Common 17-206] Exiting Vivado at Sat Feb 16 13:03:44 2019... diff --git a/lab2CA.runs/synth_1/FetchUnit.dcp b/lab2CA.runs/synth_1/FetchUnit.dcp new file mode 100644 index 0000000000000000000000000000000000000000..8a4f51fa8db82aaf15a47fe03e93befff64ae172 GIT binary patch literal 13983 zcmaL81CS+4*S6g@r)}G|ZQHhO+vc=w+qP{@+n&bs*Ymw^#Pgi ztW}xvQota{0000G0BTn9vXc?S-0pw?0Exf=0N~%Mv5`HkyNz{Bl9pW{J$h*EN$u;v zvMT}50Rt}K3NU1(z}z-QFe7F?i+c9^%fp<_x;jj&PwtCFFYDFg>^q1oJI@c%&O}No z#a^{@7$fXUxM+E}${XnveNl3$&P2i|NjaQBCYq)UFD9d-zOCKEnxZqw>Se{^2^KuB z)aG^pLYP1V^|#n^@L=6#`Yb@ zjwm@7o*q)KQT(6D(AU_^u9iCc#2YhOTF>r3TMeR5;tz1s7@XaXAsiZ3n8YbW68~Fo>#?&?Q@We#3q7#F?*g%$5e1X9+ zc|6uw?M-Q(NqCV@3YTO^>cs2p_#=`cpd+Fj8Z%K1End4`^LNi~6~@)*VrX;zV#l;@p^wA4}>OvG?XSKI|mi zxMH5T2MVrm`SsWkx7IZR_2N6FNeLJ8DL?aNP!B1bNEo1sT9H8=GZb~ zXvfiFp0f#JD@8chtJWFA5t#{evw?Hi$8H$5CeoZ({O4cc*C! zkMKJpmBs|u701ha3eK3(z1!H8@znTi3-?z4ID9$R)#fC!%3=FJAJ1E2(y5(GrgC2A z;=#_$xRf_(bL)wWFPme7u2(nJqm5ItLslfQM~pP=Bt<>Qp`BE?R!;TNGO^E~%;O!= zK|hU03HvxZ?7Xg*T@>qwZNhL-E#gn?EoIXE)Kn!Up4fZT`htIKWRxj@sVR8Yqnt>y zKs2#uas8uc)B{sIQYD>59#r;ryVvv;T=8dHVEG;7yh=Qm&Z!TLgCr9F?RQu2SMd37 z;c{?+WLZ;RA3<^1pM!dFL5H((5F2|A07t@A;hG;zNvF)2zbSinjnA`%S1CHl4Vvm` zkMlweH&w(W7$pOU=e?Pu0$-9O935LRCw|)v_q;85seLsnLhq~q6jz~;fw!yzf!$trzC&|&{?O}G7P8Q^88mFw!a zP1!-z242Q4Eb^OBt{Z!&o$Bo@xQX}6qg(G+EzSA6(dHpXhh|LK8`aiA_IV33{zph< z)B;NAZIzWRZIf*ZvzHRDwaUURjpqFVxx5zCik8&Q zjg73zY78hXB|h@6D@7eF6`f5Tm!H!rtB6EdsyfdP*`B9)o~LaW`w5;obHEcnS#l9j ze1Mu=6q;SOms?nPZZuR@g;Z9Z)V8TkJ*;?w%Wwr{;p3JbJfApu-x`h|mKH06L@F>C zfYDHiXpf4r*b+xGgk7}Ac~qI&PKhiR0b$ESN@fkv#e*5-*}dJhY~(|;oN4$nH(p)i>as!At%w9L+{ z;U?s#uVIE7(oQmY(+2qory6cpsz+u}&fX_fsY+u6FGudqnlu}>Sgb`tlFmMZwv?wM zV5Azt4K;fA+fc5DvTC_Dyog&bn|J>4fNPO(rg`|Y9y0T%&>H?1*Nn_Mt1I2-I!i~j z>W$56uHNABs=LpJV3ywN+bfHW@AT?ut;vH`H?OZvmfri*-No7mXKkF`>hY?N&xa># z?@rVSS=xFY$Wbe@?|Vjhlfvq5=%I*ah<%CPpO4PB5BU5_Ke_`C=K8iVwUvW)!*T^M zDQ&En)i93xF8)C^{6`e?H>f6W(2X7-9KC>=T7G!M*JQff4e1(e!+@4%eT?OOZ}ri} ziUX~Uq9M+HaAoCtfD$06GIeZ3hzFoGt&ATFE0d6H7LckTOX2)UWiyheg9~J`1NnBF zQcIN4iqkZg(w} z#Q{^&Ul8!YmBjror)c>3iwAf>#t4vpb~~>Sqn>EAt_8p1h08uf)b#PgJIQAupxvK;3(mO31-us zN2nLUf0IG{_@U0y9QY9RYtTKk=i#qMvNG2;X35(RPof#w4r1%DD|iYDVa?fDt0DPH z6C4?wOgF|5_SX@F`qh)q`0^8K--?+Dg#AwBx03i*arstuzm=INLVX&Ize>`#qGk$V z-xK|<;Qm$Wzm?ffSi(M24}PHHt(jFq( z`3dMuP9`>U2z%dn!oHFkexM!MyG!M^0nc-&Sy>-!eTPPD*?Z*MCuJZAsyGt=x_kBi zKSR8wsuX3rgz%0#OSzm1&r0#fLcx;QN(CH(YPyO5h8#Zd-jvEr2D7SdOR&K(HRb@+ z(4TAd;YV?!U!H%fDIF;#;7FAo=5QC9V#t>8pH=RZ(Nw_g7S=ajN^$H*Z zP{MED(1)neFH=@@Rcnx-Iv|D~E>(tRA@{Boo&Xmi@C#r)Y)GTpZ*^!as zB4#XfwBq6)fMg=j06B&<^6tP%gQFwe-?}quF@u@_G{E7LroZRI)JSp>^E0hGjZAl_ z>0p1sW5+xG@+Vv}cnKfxw#>A-wAel=i^%2!GuW8pcuTQ$+>J&uvmHh}LE?5aBS}w| zfL-NStl&DXT|13nX6sg}IOBHX3;CAORyY$$Z??js z-z_093719j046X+zZyP&Fck|{9hgWme$bZ;iQtPE-CAo+Futw?h!!tQ9LqpDDikru zA4;CJ&7(A$v3>Rq^(L7QpHX)A0rTu_QvOM)T!g&^a9*;~o3 zJl7plA4PR#DwX(4J2`6P83w)X(@8#@2X;WO<1gt?w(yng3dT-K^)lL+JWiU_L}K0# z>Lr^lhal1tPv8$U<5cqRHGCEu;3bm#jei}jq(0$k%CDa0=c#fyTzOEQy1y+y8H*%~ zp+8@OQeDVZL=tO4ak+0uE@iHi))d=Y7QaTJC|Cw0oqEaF)Alegm8Td?qs%GgXi{+G zJHm*}Z-YHp^Q^hzjJ7k>J7Y7{z8YBaT`g&e*&YWy2o$ap^8NgnseN1&i-sCH_2p{U zjN7sYUv#>1;(!-aobwpq2KCWAh0f1=Q1XC!dz?WN6ez{KM}9QOqzev&`+7ir>`(o+ zVhO)3z@)#H&-c;w_-`xc=7i$Z2~!UOl&5$`X^u;?H9HUz+OdbG^WtbrxSxg~;lVaE zILd32@CV12sdyWE8x3K?gZ;M@^;g0(`KUGq&FPp-DWFyW-F&Ds<{)Y8cxKA@NoFr4|!t_`mScVzt; zomzcf7dBLO62~xWHNq#eagOTHBbvf}a%(hstE4|mD*N!ECTShk;BMCnx$7p-qeD(M z<6e)vD`Zn^hUZb3)i0^&Syd*Wfj5&uS$+e|&(f9>{$w2uKw(xst)i#Z7z6jO^~{zp z+ZH8xN`%*Z7?p>}ty?IV6v`e2vGPG!e?+9ftqzD1Ox|I#35K1j)}P7G9IZs?OeWQh*T zd{~V5WsZzAv&k>rk;N3iokE7Rs}zS%`g1yiKW8c_;>JQ80qHJ{ip?0vgHw*Qr<7Pg zTJvwk{O^j3N%*AGe=9D0S1gxAK&oFe5h9Hzdon)o+W0Y4RL_Alw&=-t#}fi+sJNRA zc@%+@kzK{+b1tQ~OX=9K;viim2kM?~Om~DX@C$OS*pOKv#pU_O1sg?E{DaVZYUHA@ z%8Gzgm0i)CARL^C7NshZibg4A{hv5NXbuKsn{syW4&i zKGFP;Y{bYG$kgml4;Tg6`S{At{#i5OftvNn_b<^IdYm71hHaU3R0o3DC&Q|J2if7 z_w!_B=fK6)^ZuCQ)3G~&xQN-wI=;1OIVJwTdmf;NV^r6SaP-G`U3uESdZkVH>~s> z&PRS1xPOH87Pdx?(Bo6nQ&Mqm64VmWZj*G8FH=&qlG8HNR1#ATljC%BQZ$T9Ol*vb zd6?*uf4*)#7Qs0MDd36B_LZ_fpYc8S( zsLN$ZkvcFQ%*6${%7kocDANG=45^e*9qT}H1uNY`PIXxW1-U5}puJqvK(2aUQrBy_ zp5+V~4wf`rlrO>IJaeX?e z*gZ?MqFS|OP)JiS*swNMgG_^*M1rO>Av}4k|KU2U_QnF+oLBA=d&yCy4YmQ*ZodtQ zkI0ujvJye6Ez@Sfe$lih6y;{T-anmflUYl54g&yiK?nfwoyqyH6poX*fxStJhLyGU zFv^ZscU+^JUSnhD^O}dv02OzV4p#&PvieO;ch*{`sT+-Sv zh=`T$RRZA7A7MppxmvnGMqh|Er{RJ_#3i%Dij*8<#Hx)`iINDvOE(D-hdOxHwDf_4 z#B~#*(2u=Jj1^MM)X-8wNJF5t)*vAmHi9BF23Y87RX0b4E_Rd%sVtmeS6*4UQ-kvS zB}xYEI5M_mUe2*naTzX%2R<~Ssfd7mbmOW61oP&hY(dE;m(d3hSHYl3Y!CtyveB(w zuNl`biOU)XQ%qcy=-CV^j9!&$)#1;=ecluV?6C?r5b6%F0`8cEfa1| z%6;FpJ|JHpFA&vD~Hq*k&!x(?YU!Bxpm~GRjpg(5gZ; zYkG9i%u;}YzWY|hIHI3vkHj*GIG6A!+OUjP4l@S*h|>(rNVXt%S^->YVvn8}s$OY1 zB-NBacj6+X^BBGg7p^#NDg7C1?LxGWU{gZ0C@c16kZqQ&KAPDN5~nKp{1hn^gEf72 zEZl866D{-;E5$UQIE7p`)397Wf=#O+W0k@@eF^DG)T4>L2UbRL&e=d&Ow)8dew|*W z?^DD;G8LPt_g7Cr-A@pwB*-=q)5MKx7y%&H+i+|RIAQ(Fu!^VW*zw4&-qwyzxpq~k zK^ty*-epW2*uheJtRP$-a*YhQ0Xp2u*tv|2DgD&6wk>T5cb@quft~Jg*7gc*SwX^1 zS#C#}3TxYlMK4aN@oAZ}>I3n}*Y&{$6jO!t>7$^$n}|n1Uex{C`n4qK(3g$D`z3%c z_xMmq3Tw6Y?XJjhd37ETXuNBPM*}Y!g1WN3c%^o@nc;oF+`@zV=-lC-JZX5Ti$=Hc zG~KgxcN~`3goQDLK<^ZANUlo zdZ}`76JU~L9MOFQG2j#t9M~(DTFMNQ{Q%hW#@osqyYXuETed1kH}#SJwdM_P>OA^g zx?85Mi*Mp|-YSO@1rB|c`-m|wQV$ize_pHTv6tI=2?B_@De-uT7Is@Ck%4=0zT zy%vr%CwEez>w7J%$++_Ce{{|qZ4CRC*B`##z)ll?HXrYu*S9fS;jg5>~nf zR57jyxPKl^9t#2!sDCVG+~jUy2ABGgrp5LRyuf|!52-R)BCD7*}`;6S5l`+u_lBYTnS^Lb2+N`-H>E4CxJ?82Vm+o>JCw18+nI{hU0TLs#O8ii- z-6WRsb4Z}}-F!Aa6UrJoT}f(NOd4+0CLZ-OOu}{wrdpwqSdeIVghv~%Z@ser`IaV= zc*d&zS!3_B$NMT~BPUDqktdXRo?0|3;dt3gn^-KwI$m(N_hc&(D4TeAvbFE|;6Ml| zKCpYJjs&R5V=S*Wyj(Vto@Uxjs`gNc^k=Rcv)aEB$LmT^^}?aX^^M34fVU~maXx`= z&IX{MrjYxF)T2N4{tn(c(Y;k-{HKJ6={}B{_D&;@2D|l%RGyaHfUF!UT)RY$gZn++ zW|DYo4smu4HrFK~$3tu(CXb=lkINOL!IWgNt(=dMgk-<2c;*EiiQmCqlXz=dx{e^d zrJFQi*;>30GHl~YuD5b{%@RMh!T5f`{3*Zb5SPVqdCYQphJ3J<@cchC-=cA`*cp{DuWAMjmE_bUuX;_446e=s}LHp z65Uk@UqC}Gcog|1>JsibeOehP|FM(z$xfMh%eH7;w$WtsEfGAbeS5Vqzo6lj29HCE8o2rpsB8*gwHZ}nYGa(PFHBR&N@acUTm44{$Vmff=mBp>qcKmqT=svf7A24 zRRf+7gb+m(Hl#emwI^DXr`$pjY96N^#aTjXC#s{mxunffct0Q-hFDyoUr4Ht93GaC^VF~pe zZ#cVzGo45v^;rRA33Yv4RFUCm4|!W+9ZUrBbRi|}f+b2-6`{}%6pMMOA1Jc(rLLhV z@(?WWxr_yRk~|DgO9;S<_oGWeqE+#V_$9bxh9nvbz@k-zI6OH+mWihpge&AYy#B(e z$MZpwRVpyA$VeAO$jNcN_+6gLx?A|!-k-xSM(#;|4ya;QV9`ySx*weZQwh&oIl$l< zdfMA|MdUcQa`22o5=aPYrqLifG|C8=$W}bhS;;=)+UN5y6tLlw+?ibq!Nb3Br%j6) zhDdN@nh(R<)-Ix1C@5?0q$en3q_yX*=qsS%><$cnx>Xyn5E0Z!Vla3J;2cXE^IT}H zNH`>jF_kfESG_2zBT7ZU>ZYK4G@;*DRHd5;?^HH)U7`f(rZmU!COlMB9U>YUZG_9A zvL!zo_S?)hJ5}jzodQhTNZ9jlb*-oq^#3BCGYmo37!kz5(X2w&N~@PA3rDRKHo<^K zv9L%ms}z~8=UDXOu!$+7W0K*BK-Eyt91$Ewp;?K{O0AdQ7m0E)WVGaWy56(C$oFZa z-edEYPJ{S7Ys)tKQ)lo8k&SJN8FEoAE7%K$DBQ0FOOT`M!aR@O07 zdCOk8dCC+gnX2|sIN<(NI(t4(5XimZOS?M+#g`w8YGp}$M&em&#`P_-;rUSC^|VE> z(B|VCY4`PwcKQ53zj^!3aQyUOv~{sBwz1m(^?0*ZvVhpkvZ(0sufQAQ5+5H20RRB~ zyL^X){}l_m+XA8^oZP5eyQ?y=iwNR>Z%k|qtbg|m^z`(XqhUy(d>@|VyxsUUnd-;< z7J=;E`9M}{yL78aiTcBwGv*@>+oziZa}3-R>IMm_eYg2tZ%Y~D)=co61)~Ux^KF%g zWbcXqxa?v}uwMd_!CS~5#5`~j&iFWHC2L2_Eg06j1XogH<2p^A2NGVc&s6yOruiO| zRVk6cQ8u{|p8P9^z`@OZ}f z7_1=R*m+2_n88l@jTF)1!BKj|f%&@t;vas%#Vt%C(K}%1(LxKnr-;vK?Gp$Np_ui@ z-7$7B6Mitz+-TT8=cPvuhv7yEp%Z61yfOJVAtJ`s(9QlHwyIS|S7=*7hZL zWT~)5m4ALb=xtl(DZ_z6BG6S5IoUkL3*DJ5TOOFmR@i%2O8~<=VruAJMR=B1)}1CM zSxMVNPE%Vx18Kd7DL9tKeJlA~P6~6-HBB{LM2*K5yzpsuiKT7|*h0n#tzW)eQ59Q4 z)ibKoV7tb(ixj^-2g7YCA}w*K5C$%3%(;A;+cA z`dPe5hB2nULtvaeUBbZwiJM!SnJDbtj>D*|D+@0{Z6TvTb5dv~k><-pSPGx=leU$7 zHUVuDmJA70J^u>bfDA=Mqk4AF$!(Vyq7s$soFne>uMSIE2~LI3s)Kdepy_7`&h)Pf zq#|JAY~@bcVVFr6@jiaA0(MaESQ{~wDEm-KiueQ`P8O=rA2n>wuQ>!Y4mo!#3sD3g zqTnEQ7sP5vJI}|gUliVoPsI5Ji$3zmy;xW>(@4WcGt%Y&gjF-*4oK0Mj_Q1X|)k2>vCJ>GoADvN8=e>E6TC$UR785+_zo^|ep$laxH7ZyNNGfWw-eN5{48~`s8OLhA(D%Byt!IU*(6 z9xKpD8D_JdqC>%~0QlE@D*GU{uE8r=ZVui{k7(FME@hZ|t47{qTic2lb8&5bWb45j zgw>ZJ5H@REYOkom*EAClz!~acDvkX3g#l{#GwO4Fe<3DPpDhkN-n6j;uxo@s1c}ZJ zY~$8_3|@iY+qs})#w9riFGwv`Y!xUjyBrJm0tLUB@IX*Bq3Lb;&YIcR$KmSwB+(Q{ zl83;TWSYZ~)QM?8nq{XZX%{oh=?a%lFepmZ=~OXY#^lgegiPd^-pQoPM(zvBOBOi= zL9RM(%xSBXG)!!@Q*aUpl_>5m4P>5pS~1Dn`VQJ`r@22pr&7@D8H=rgh=O)9>`upy z^=qbc4>fEh>Xdue=Q_sUOT?9Ty<7Jnbmkq^phu?vATOkZ0%wB>H?z29b9*80|5N6V z6l}e)%Z1cEeoOlN;dmrYvGYFrH^q z#!XQKfFq6<_1Xc#2A9Iob(*>UJK`Q#=VCOx?fcz zq^%?@P!g!}LHdh_#x$kX)MZhxcwvxO^|uPfXvu9v3;?;5|I(%)#N6-Mwe7W}wOiMG zkUvc^b^}O>!JM&z6`dK4Hg`hPlH{9?6tyZg1_72Xw!N-6nXJK2w!;0}HaX?32?@l8 z%Myc%3Y=g?*}WvV9VJlrA{HZDeUjlE!u5ol>@Jde5)2TOjQGxaP0EdF0*Q`ytWU zihUM`?0K+fX$q=K(MCd_<7hh4N8|7I*3K*RD9MV{H#%gO3&2mBR?t2ZXG3M3rMs-` zY&y)yhjpzh7x|O_v@Md?qJmv0BV6$;t%RREVN@RY`{BUP-`JAH2c%#LfPeKTKk_Lw zC|6*-JcbS`d_j`9!|8p`^OCZjK~m6;TD}@s!+((BIx>Ni7+Q}Uym1c22AK;YgN{pI zOjb5C)%l?whE2Ii0}D04 zQGxkFg>yoH3r(Q)AgxBFZZX;+5G|V-v0vBa&i4e1)HRyLKmt%k8$1ju+9A@&h}ytP zS^LF!L!BAD?C2aYe?lV^r7Zp->4h%I;F%oEqYN&o#Tya&c#uEgYR(w+v;uvGeWqj& zaI<@%@$Vjvr-jKOSURyPP~vQd>6k>Gvm%S-Lm;HYV>63vz&E?!blIiBAsZ7iV?T@7 za7zQ~ot5m~%|@2zJc*IZoUy^EcQOAnO<#vf3^4_mMZnR528K-mZCl)(Xa|9>aw|^i zG%@Sm@L2N6&r&~oU#yc9FWTZudo4=?p%iBqmm2{8>0U+B1R_s{@*|4cig$9%6s*$o z;^q{W=T1?ETY{0AUS`yw^U>a|O?*M!&_(h*1CqJx<*GvWlR!5$l_Is0o(qFYsd-w9 z$5jD!$MdG=70o;%LTX<-@U6gT1 zUfGAGv}-Qi=~1!6q9?%*tT8w`&#GLAXi8EI^Moau*#&lkVM)S+O&=hVFfK^u<~u$@HE0Y5+b}dt>c}FcRih z4{9bLMqeeelYb=ivQmdgiM%X^Mydu%jXS#hl&_4k?K-4kFBYn+S5Psp%%o({hFMYU zdXNy!3%4eDq>dZl>aUupzlaY3r=XlPXKnSb?L>LK&RWZv6>~ij;K?fv<)_CYoab^| zs`)Xf)&S#wkG0e0jj!Jp0cI(5>e?<7*R7i~?HI`5Mb~G70Y5kW+#Rh*2KJtH zk;rC{Cuv+T@B(5a^?*0xqMh^QXIAg)SIZIHGDO-Tt9gPshC91_pK)U4jQsS@rsoSv z=g__~3k_Vrs{2NNz=8K$5sZ&Nv5tavZ00BU>?#5;MT0(lwZolXpgWP$G#IY{nxI|GyUFfoA|{ufW(?S9b4%jowhlm zq#!5!QQVG{>92BeWQkU=rEW&;yq|unW#H}Gb_K|}fBGZD0j?5tn8LZM^%|XopBK6I zTr4$%T;Sb>Hk}Y4olW8@2OsRGzQjdv5mPxm0&#erF45b>XvB7v*@}YYt3_o%R=TFk zwB3G|Gloch5T@0cJi&wef?%^w(vbEU-g%Au)^3FfPvN+aukJ3HxEPChdGxoX!dHLg zcVY0E;>R~{kg}9^k=FqwLk+EeQwz`be*me#=G{CWPTORj1LS-KQRWnSblvo(PME7{ zQ?s3hQR7qLBbAUV$GV5b=VLFgDuHx3sKd4vqzZre(uM6XFP5ng47Ka& z*_7MZp%={+ozaFvNFBFkdwT$8hLut%7p#WsH-za_aywAh;6R7pl9d3*Qg) zAp!SFEYNvcI(?CS;FT4lCPh(t&khouN8Z&!(%;L^=dmUP1%N@i7gR2iLy52!_6^9l z1V1LKx6I8cf~ns%7ENRE+g6QuQ-YN#`q*Tll9FizC-aekyCXi_4oBH5G!mKy2joX7 z_57IuUCC2ePaBYMfzhi$sdM)goncBonn$0p1_zQ{iRVbX7f~(OliKl=1i(Bif==FiVPRO@Jr&UG?a)eB{GU`Z_%UbU)&C+h< z;hT~fVfwC_@>k2L z6KZ6;TEV~-z>>mZeN%4~EhpiRmuCh0a11iPT#JgJpFS2Wh2o0PrEyP_nP>LW5(&Rp z&n5K~wa3<(>Tu-TS26}Jl_)QVMe^+4`Lto5{+T<8Q#wlc@%`?8;JbWh68@7$&~tKj zF{E`>kr%KWq(|s_M{%+yXimbF+{hNp-%}DYm&#Ah6TfheAjGiP9_p>d2G7Pk4+&# zfsBb16rah`cO9>}6$z-`C3s^s$2?aIWIVoPH$utRmUFmKerC)zOPk&mcm6z6zi!%= zLs$?p0ot`*EAnFpL*1xjO72@om+#p3wfp6pKwz`b6ljdo&tVuWv=r`VO1HRMxkY7? zlbl~f=0nA1U7>A!G*##R4F6C6b)?P8;(hy$1_%HE>Ho!l=El|`^7E2|^vFK5YFlF9 zHC2R#HF8F(6fl%76FY*7Lst#)$ww>Ies-D$HH>#8TSwVRPS+W-1}0fE`?hije8dlD z+tjl9QJB+bsE$fvbxW8NIeYoay6ti#L?sGs6E5*)8mSE{l?IWzY;oQ?+$U7HqYv-q z?YgDW6hh)S+!Pfrc3_ZmO#WyW5VrWz0L{F8Ky!T>xo|hMuk$KHtk}QKD4m_fBMTGG z*=BYdmrKZltY8*!VKvhGkF=VT7k(Yf2{@|AH~Da4&G-=uFkocH^RWbb{W|x7&{DcU zcDDpwi*t}eNf4S>^yeB3sW}HmYtfTduT(KvfS$lOmLc&7`sC#GH+37w^h1ULKLm{) z$OCt*mdudnV)nUB_5qZa0{r&f|Mw!IZ>Iu$I~brP;IH++ON#yt{d;lC->Uxu{rukj zW2wu((SJ{%`48%h_}}Q?=`{al|2_NVFZ*|E33&Ji`#l%t-{`;Ra{LeY7uEm1j{Y;^ z|2wne-|)XPo`2#0`MGZR{|$fVKmU#XJ8$@(eys`q8+Et+H~H^J#eX_&WcV-ge;*wG zrvH7T{D*#E{D0Elw~f3MDA?ahkl!El?<+)z832G5K!nLyRaRBpno+=ASj~;zMOju= gK;2s1!BEl7T}s`ak;>GRO_s^pSzN*0UWMoX0E=1nL;wH) literal 0 HcmV?d00001 diff --git a/lab2CA.runs/synth_1/ALU.tcl b/lab2CA.runs/synth_1/FetchUnit.tcl similarity index 67% rename from lab2CA.runs/synth_1/ALU.tcl rename to lab2CA.runs/synth_1/FetchUnit.tcl index 7de6b1a..90d7f1b 100644 --- a/lab2CA.runs/synth_1/ALU.tcl +++ b/lab2CA.runs/synth_1/FetchUnit.tcl @@ -17,20 +17,23 @@ proc create_report { reportName command } { send_msg_id runtcl-5 warning "$msg" } } +set_param synth.incrementalSynthesisCache C:/Users/ecelab/AppData/Roaming/Xilinx/Vivado/.Xil/Vivado-2460-DESKTOP-8QFGS52/incrSyn +set_msg_config -id {Synth 8-256} -limit 10000 +set_msg_config -id {Synth 8-638} -limit 10000 create_project -in_memory -part xc7k160tifbg484-2L set_param project.singleFileAddWarning.threshold 0 set_param project.compositeFile.enableAutoGeneration 0 set_param synth.vivado.isSynthRun true -set_property webtalk.parent_dir {C:/Users/JoseIgnacio/CA Lab/lab2CA.cache/wt} [current_project] -set_property parent.project_path {C:/Users/JoseIgnacio/CA Lab/lab2CA.xpr} [current_project] +set_property webtalk.parent_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.cache/wt [current_project] +set_property parent.project_path C:/Users/ecelab/ECE3570-Lab/lab2CA.xpr [current_project] set_property default_lib xil_defaultlib [current_project] set_property target_language Verilog [current_project] -set_property ip_output_repo {c:/Users/JoseIgnacio/CA Lab/lab2CA.cache/ip} [current_project] +set_property ip_output_repo c:/Users/ecelab/ECE3570-Lab/lab2CA.cache/ip [current_project] set_property ip_cache_permissions {read write} [current_project] read_verilog -library xil_defaultlib { - {C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v} - {C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v} + C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v + C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v } # Mark all dcp files as not used in implementation to prevent them from being # stitched into the results of this synthesis run. Any black boxes in the @@ -43,12 +46,12 @@ foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] { set_param ips.enableIPCacheLiteLoad 1 close [open __synthesis_is_running__ w] -synth_design -top ALU -part xc7k160tifbg484-2L +synth_design -top FetchUnit -part xc7k160tifbg484-2L # disable binary constraint mode for synth run checkpoints set_param constraints.enableBinaryConstraints false -write_checkpoint -force -noxdef ALU.dcp -create_report "synth_1_synth_report_utilization_0" "report_utilization -file ALU_utilization_synth.rpt -pb ALU_utilization_synth.pb" +write_checkpoint -force -noxdef FetchUnit.dcp +create_report "synth_1_synth_report_utilization_0" "report_utilization -file FetchUnit_utilization_synth.rpt -pb FetchUnit_utilization_synth.pb" file delete __synthesis_is_running__ close [open __synthesis_is_complete__ w] diff --git a/lab2CA.runs/synth_1/FetchUnit.vds b/lab2CA.runs/synth_1/FetchUnit.vds new file mode 100644 index 0000000..76d3558 --- /dev/null +++ b/lab2CA.runs/synth_1/FetchUnit.vds @@ -0,0 +1,260 @@ +#----------------------------------------------------------- +# Vivado v2018.3 (64-bit) +# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 +# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 +# Start of session at: Sat Feb 16 13:26:21 2019 +# Process ID: 8540 +# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1 +# Command line: vivado.exe -log FetchUnit.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source FetchUnit.tcl +# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1/FetchUnit.vds +# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1\vivado.jou +#----------------------------------------------------------- +source FetchUnit.tcl -notrace +Command: synth_design -top FetchUnit -part xc7k160tifbg484-2L +Starting synth_design +Attempting to get a license for feature 'Synthesis' and/or device 'xc7k160ti' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7k160ti' +INFO: Launching helper process for spawning children vivado processes +INFO: Helper process launched with PID 11484 +--------------------------------------------------------------------------------- +Starting Synthesize : Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 360.121 ; gain = 102.227 +--------------------------------------------------------------------------------- +INFO: [Synth 8-6157] synthesizing module 'FetchUnit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3] +INFO: [Synth 8-6157] synthesizing module 'register' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:419] +INFO: [Synth 8-6155] done synthesizing module 'register' (1#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:419] +INFO: [Synth 8-6157] synthesizing module 'add_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:15] +INFO: [Synth 8-6157] synthesizing module 'add_1bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3] +INFO: [Synth 8-6155] done synthesizing module 'add_1bit' (2#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3] +INFO: [Synth 8-6155] done synthesizing module 'add_9bit' (3#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:15] +WARNING: [Synth 8-350] instance 'PCAdder' of module 'add_9bit' requires 5 connections, but only 4 given [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:18] +INFO: [Synth 8-6157] synthesizing module 'mux_2_1' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:167] +INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:172] +INFO: [Synth 8-6155] done synthesizing module 'mux_2_1' (4#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:167] +INFO: [Synth 8-6155] done synthesizing module 'FetchUnit' (5#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3] +WARNING: [Synth 8-3331] design FetchUnit has unconnected port write_index[1] +WARNING: [Synth 8-3331] design FetchUnit has unconnected port write_index[0] +--------------------------------------------------------------------------------- +Finished Synthesize : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 416.043 ; gain = 158.148 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 416.043 ; gain = 158.148 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7k160tifbg484-2L +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 416.043 ; gain = 158.148 +--------------------------------------------------------------------------------- +INFO: [Device 21-403] Loading part xc7k160tifbg484-2L +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 416.043 ; gain = 158.148 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +No constraint files found. +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---XORs : + 2 Input 1 Bit XORs := 18 ++---Registers : + 9 Bit Registers := 1 ++---Muxes : + 2 Input 9 Bit Muxes := 2 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Hierarchical Component Statistics +--------------------------------------------------------------------------------- +Hierarchical RTL Component report +Module register +Detailed RTL Component Info : ++---Registers : + 9 Bit Registers := 1 ++---Muxes : + 2 Input 9 Bit Muxes := 1 +Module add_1bit +Detailed RTL Component Info : ++---XORs : + 2 Input 1 Bit XORs := 2 +Module mux_2_1 +Detailed RTL Component Info : ++---Muxes : + 2 Input 9 Bit Muxes := 1 +--------------------------------------------------------------------------------- +Finished RTL Hierarchical Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 600 (col length:100) +BRAMs: 650 (col length: RAMB18 100 RAMB36 50) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +No constraint files found. +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +Warning: Parallel synthesis criteria is not met +WARNING: [Synth 8-3331] design FetchUnit has unconnected port write_index[1] +WARNING: [Synth 8-3331] design FetchUnit has unconnected port write_index[0] +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 579.223 ; gain = 321.328 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +No constraint files found. +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 579.223 ; gain = 321.328 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 579.223 ; gain = 321.328 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 579.223 ; gain = 321.328 +--------------------------------------------------------------------------------- + +Report Check Netlist: ++------+------------------+-------+---------+-------+------------------+ +| |Item |Errors |Warnings |Status |Description | ++------+------------------+-------+---------+-------+------------------+ +|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | ++------+------------------+-------+---------+-------+------------------+ +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 579.223 ; gain = 321.328 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 579.223 ; gain = 321.328 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 579.223 ; gain = 321.328 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 579.223 ; gain = 321.328 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 579.223 ; gain = 321.328 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +Report BlackBoxes: ++-+--------------+----------+ +| |BlackBox name |Instances | ++-+--------------+----------+ ++-+--------------+----------+ + +Report Cell Usage: ++------+-----+------+ +| |Cell |Count | ++------+-----+------+ +|1 |BUFG | 1| +|2 |LUT1 | 1| +|3 |LUT2 | 1| +|4 |LUT3 | 2| +|5 |LUT4 | 5| +|6 |LUT5 | 5| +|7 |LUT6 | 6| +|8 |FDRE | 9| +|9 |IBUF | 12| +|10 |OBUF | 9| ++------+-----+------+ + +Report Instance Areas: ++------+---------+---------+------+ +| |Instance |Module |Cells | ++------+---------+---------+------+ +|1 |top | | 51| +|2 | PC |register | 29| ++------+---------+---------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 579.223 ; gain = 321.328 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 0 critical warnings and 5 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 579.223 ; gain = 321.328 +Synthesis Optimization Complete : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 579.223 ; gain = 321.328 +INFO: [Project 1-571] Translating synthesized netlist +INFO: [Project 1-570] Preparing netlist for logic optimization +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 677.328 ; gain = 0.000 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +INFO: [Common 17-83] Releasing license: Synthesis +18 Infos, 5 Warnings, 0 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:22 . Memory (MB): peak = 677.328 ; gain = 419.434 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 677.328 ; gain = 0.000 +WARNING: [Constraints 18-5210] No constraints selected for write. +Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. +INFO: [Common 17-1381] The checkpoint 'C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1/FetchUnit.dcp' has been generated. +INFO: [runtcl-4] Executing : report_utilization -file FetchUnit_utilization_synth.rpt -pb FetchUnit_utilization_synth.pb +INFO: [Common 17-206] Exiting Vivado at Sat Feb 16 13:26:46 2019... diff --git a/lab2CA.runs/synth_1/FetchUnit_utilization_synth.pb b/lab2CA.runs/synth_1/FetchUnit_utilization_synth.pb new file mode 100644 index 0000000000000000000000000000000000000000..83594ed8df17e7fa073bd7f04af44fe9cf4897b3 GIT binary patch literal 289 zcmd;LGcqu=&@-OItPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z*UdI;M^hz=S!Cgs)9USgUr9kYp z@(c_s9RJEQH1s(iRggOM+TpA!NPMmuL&GBHr)nT}uMvp63nax{mKuZDYb_WU8tnf9 zNd~8*mJAFY4wI}noI>5)okN0r&f0;L%(Vv+j!!`(!&?^+xz`m)IJUZh*uCB$@-C2U ua9HXCVt?|Hau11cHHvrk_x1Jn(}8k49R2)Uebxqol>7yf4faQaKx_bBPE4r) literal 0 HcmV?d00001 diff --git a/lab2CA.runs/synth_1/FetchUnit_utilization_synth.rpt b/lab2CA.runs/synth_1/FetchUnit_utilization_synth.rpt new file mode 100644 index 0000000..7135af6 --- /dev/null +++ b/lab2CA.runs/synth_1/FetchUnit_utilization_synth.rpt @@ -0,0 +1,182 @@ +Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018 +| Date : Sat Feb 16 13:26:46 2019 +| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200) +| Command : report_utilization -file FetchUnit_utilization_synth.rpt -pb FetchUnit_utilization_synth.pb +| Design : FetchUnit +| Device : 7k160tifbg484-2L +| Design State : Synthesized +------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Memory +3. DSP +4. IO and GT Specific +5. Clocking +6. Specific Feature +7. Primitives +8. Black Boxes +9. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------------------+------+-------+-----------+-------+ +| Slice LUTs* | 15 | 0 | 101400 | 0.01 | +| LUT as Logic | 15 | 0 | 101400 | 0.01 | +| LUT as Memory | 0 | 0 | 35000 | 0.00 | +| Slice Registers | 9 | 0 | 202800 | <0.01 | +| Register as Flip Flop | 9 | 0 | 202800 | <0.01 | +| Register as Latch | 0 | 0 | 202800 | 0.00 | +| F7 Muxes | 0 | 0 | 50700 | 0.00 | +| F8 Muxes | 0 | 0 | 25350 | 0.00 | ++-------------------------+------+-------+-----------+-------+ +* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 0 | Yes | - | Set | +| 0 | Yes | - | Reset | +| 0 | Yes | Set | - | +| 9 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Memory +--------- + ++----------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++----------------+------+-------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 325 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 325 | 0.00 | +| RAMB18 | 0 | 0 | 650 | 0.00 | ++----------------+------+-------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +3. DSP +------ + ++-----------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------+------+-------+-----------+-------+ +| DSPs | 0 | 0 | 600 | 0.00 | ++-----------+------+-------+-----------+-------+ + + +4. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------------------------+------+-------+-----------+-------+ +| Bonded IOB | 21 | 0 | 285 | 7.37 | +| Bonded IPADs | 0 | 0 | 14 | 0.00 | +| Bonded OPADs | 0 | 0 | 8 | 0.00 | +| PHY_CONTROL | 0 | 0 | 8 | 0.00 | +| PHASER_REF | 0 | 0 | 8 | 0.00 | +| OUT_FIFO | 0 | 0 | 32 | 0.00 | +| IN_FIFO | 0 | 0 | 32 | 0.00 | +| IDELAYCTRL | 0 | 0 | 8 | 0.00 | +| IBUFDS | 0 | 0 | 275 | 0.00 | +| GTXE2_COMMON | 0 | 0 | 1 | 0.00 | +| GTXE2_CHANNEL | 0 | 0 | 4 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 32 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 32 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 400 | 0.00 | +| ODELAYE2/ODELAYE2_FINEDELAY | 0 | 0 | 150 | 0.00 | +| IBUFDS_GTE2 | 0 | 0 | 2 | 0.00 | +| ILOGIC | 0 | 0 | 285 | 0.00 | +| OLOGIC | 0 | 0 | 285 | 0.00 | ++-----------------------------+------+-------+-----------+-------+ + + +5. Clocking +----------- + ++------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++------------+------+-------+-----------+-------+ +| BUFGCTRL | 1 | 0 | 32 | 3.13 | +| BUFIO | 0 | 0 | 32 | 0.00 | +| MMCME2_ADV | 0 | 0 | 8 | 0.00 | +| PLLE2_ADV | 0 | 0 | 8 | 0.00 | +| BUFMRCE | 0 | 0 | 16 | 0.00 | +| BUFHCE | 0 | 0 | 120 | 0.00 | +| BUFR | 0 | 0 | 32 | 0.00 | ++------------+------+-------+-----------+-------+ + + +6. Specific Feature +------------------- + ++-------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------+------+-------+-----------+-------+ +| BSCANE2 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 1 | 0.00 | +| STARTUPE2 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+-----------+-------+ + + +7. Primitives +------------- + ++----------+------+---------------------+ +| Ref Name | Used | Functional Category | ++----------+------+---------------------+ +| IBUF | 12 | IO | +| OBUF | 9 | IO | +| FDRE | 9 | Flop & Latch | +| LUT6 | 6 | LUT | +| LUT5 | 5 | LUT | +| LUT4 | 5 | LUT | +| LUT3 | 2 | LUT | +| LUT2 | 1 | LUT | +| LUT1 | 1 | LUT | +| BUFG | 1 | Clock | ++----------+------+---------------------+ + + +8. Black Boxes +-------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + +9. Instantiated Netlists +------------------------ + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + diff --git a/lab2CA.runs/synth_1/gen_run.xml b/lab2CA.runs/synth_1/gen_run.xml index dd771bf..7b1581b 100644 --- a/lab2CA.runs/synth_1/gen_run.xml +++ b/lab2CA.runs/synth_1/gen_run.xml @@ -1,14 +1,14 @@ - - - - - - - - - - + + + + + + + + + + @@ -18,7 +18,7 @@ - + @@ -33,7 +33,7 @@ - + @@ -43,7 +43,7 @@ diff --git a/lab2CA.runs/synth_1/htr.txt b/lab2CA.runs/synth_1/htr.txt index 1c28ba9..f27554f 100644 --- a/lab2CA.runs/synth_1/htr.txt +++ b/lab2CA.runs/synth_1/htr.txt @@ -6,4 +6,4 @@ REM to be invoked for Vivado to track run status. REM Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. REM -vivado -log ALU.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source ALU.tcl +vivado -log FetchUnit.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source FetchUnit.tcl diff --git a/lab2CA.runs/synth_1/vivado.jou b/lab2CA.runs/synth_1/vivado.jou index 38475ab..432a836 100644 --- a/lab2CA.runs/synth_1/vivado.jou +++ b/lab2CA.runs/synth_1/vivado.jou @@ -2,11 +2,11 @@ # Vivado v2018.3 (64-bit) # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sat Feb 16 13:03:34 2019 -# Process ID: 11092 -# Current directory: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1 -# Command line: vivado.exe -log ALU.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source ALU.tcl -# Log file: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1/ALU.vds -# Journal file: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1\vivado.jou +# Start of session at: Sat Feb 16 13:26:21 2019 +# Process ID: 8540 +# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1 +# Command line: vivado.exe -log FetchUnit.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source FetchUnit.tcl +# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1/FetchUnit.vds +# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1\vivado.jou #----------------------------------------------------------- -source ALU.tcl -notrace +source FetchUnit.tcl -notrace diff --git a/lab2CA.runs/synth_1/vivado.pb b/lab2CA.runs/synth_1/vivado.pb index c77a05627b5d9b7eb8295df7a02811b115eefa67..314ae08ed277bfaff70e9610c86e183c436f32d8 100644 GIT binary patch literal 27090 zcmeGl{cjvcwQI)?9YQhChSn`*($*w#dgtA}Pah4f?_wvWapO8kLtO=HeK)q3?Cl;q zdx;%RrGNrL0=1&zL;M64AP|TTA@KwJ4u1*){t4df*X?+BZ+&Mw>m+AIx&3_m-kbL^ z^XAPPw1ZC6jAm2O8x=f7(ZsRIkwR|z@!RTcrD0fgO}>QkbVs+g)*6JWn>x-|Mhh!n*#QMA;J_04=apOG)i#~WlrX=_%+J6IjdXCx`N^;qlJ_OTCy z$0kNl1)aQV!TYMdiGv?uXpBnv*#I8w?VKzH-~NSwUYoNl(rnoPECX*63oBSt>qMsn zZx|-tAd1yC2|hhVPh%i*2Cg;8ZFs`IWPd0pmZ!(-zyw2=KZhQ_%BTfeX_y3d+b1=A zdv#nYWpepa5Xlb&BwjSE{*W4*9L-7Mfg&{vNR4feIeZ7sjZD#r6Vqch*X8dCi24oT z(SMiV3cjW`2|h#5R&c%5#^ZSFH$wqqX2L>3tfFNQHhR<3EFtD;*Vb z`_=nj?Mn|>OZP=O2)rC27Afe#9vH=G!LRqtsJ>JJIFdUWjM|F=ah&?s2)d~1)KYY} zkAsbkpzFjY`FdM535D(+t{b{e>XvHg^kux>wy>dVJM6}$dYkAI-Oy zx&NjYys}g*#Znv53;COXo^^ZecwIBBszU3+kgyus}T zTFD&rUo-}28##XC_q)c|KN8TpKAURbY%LQ;!WMo@(bR^*+;99)`_bT7`fc_WFEw96 z({@AzUpABmi#{x~I2S~aSV)AOFX|9qYTAvLAPwo$w#3s`K&L#aSoE$E5xzU}B>jDt ziv3bRKM;H!^T_MbXpg$ay^TKUamfzh+99=3h)Ye6I{{Mp3+U5+u(MZOcU+b-d65Nn z{diXTBLAmd^6?V^eXA=UE7vaLD-bqrs&^gpy9^$lVEIuOih15pWY9Bch1i`P#xbT% zsy$e&-~OvS8kfpgIwP}3Hc8jdJl za!kkjC#XW$h&U655Nmd(DU)>RfX!_fpP^CPo4pNl&TUC=uIWCvWGaqxPv-v>-b%cL zCR|Rra{%|5QRz6!J^AqTE&4lrTh-7E=O{V*7u8=)Fn{S2m_7!VcovK3Imc<*5ttR? zq|e~1ZAdjUcaA(i?>W%}KZe{yZ*zpu3c7H0nO1O76!Dq5p<#{ao7PrE62-Hqx-z%K z?iKiZ7%o?#Qx4DAhq)pyz|-ML0Endcq2%;iHtd^y<>7epz%*|9EDc9T9A&AQ2Bo-a zwDksPi5+d8Gl{~5s*b$8+~h66Jd$oGrVb(V3JV}9nnpA~?F%vx*o2s=D)v+}|MZKtJq;T=yc|@!{8E)VyIaX5O$+nuYw*z`P+O4rg=n z(VsUQhF@V8!gsod8#I21!mkUw#m%Bg$DOz{mBERQ1k;+n5lJ6|-|aGEkip;adpr>= z9U3jRmcZBA;hfQdS(b1&@xVZ*uV!Ii=Nc_i-_i}u*xbQON~;C^#-Yv1WDe$~ALqpR-@D}fb|30q@t;ph zfee4RV`nVk&LWgUa1OoyJM*S#n2`JEM&aQI+^yAy-wT97_#M(A zKNrxw?leg+=056nI~+3d>^4hUW0TRt?DU{b?H(tY*M~aN7@M6=*4wIPFYaO`V6c}4 zboA3x(l=s%-etsoDxhx%jaUq4A9V|se#3>wU9nDm85TLyp+ip$z9%d-3-^|6#tv11 zi@~R`N;|wN)=`B^u*z3sQOH`GT1FE?jX6_=;wWUO4A7%UFbIFyX5cNe3_4C$msc4&j10Ep)Mrr!XJ0(!jA>?URM_)MtY2|Lq$ki5#qW?Hy~A&xdpGz z3B}IZ=md+HexGlS*X*`hGMZKAPznKFk(>BwOZX-n^I5OB^~3C-*}4D9JvD zVS1**N3P?pcLe4!^N~E25{7vwc)DhUl__@w#Q9;3%#I3iM#W!p6~@yuDi|-a-oeUr&TCHOvP_)Vc2fHNj;8+D)A6y&2we9MR zP{mT!p_D7Ewu5^97Ud7dNV#(G z!yk^I#VHEQCAjSa;FH0P1K>2e@}vEnTlA6bN^t@z&lbD8G{6cM7%JNtR}_NOj8(9I zgDnGD9Dz0zCw4b3h$0HA@pyN$q8L?`6iUPvOC`31ECTp5XiS9nA|TuQK>*&s7r)2) zE;B^12#a4s&|5uQL2e;7N%GrOh7`#+4D7x~G}44}N)+EAkRl0{Bz4N5kVcdR zU=k)toP=FRCszOll=TO;xqD?edw)RtmvejEbpimFGG(sl+dl<#5gLwFM1pG4aO7p2 z*e5m5etB1I`vp(A`6&d-tAgDDnt%|t4T)S1Z2uvjWTl%UxF26$Hljh|=s)>*0z=sLEm2xnRKVM!8m(;p8!xO!YFX9}{!)iH;{-uT)UVLEAVgu}`i zuM?ufhB*OS?kqhS``9{tqt6>wf?M literal 10714 zcmeHNO>Y}T816c@n~ox6L1~KtX%GrcLv8Pu*B=#9)6iB$EfNg{DVMO`9XqS8XUxtz zb$a5)wLgIa9~Vyi0FH>ifVgo3&WH;K-d%s-#k+CF#>!Sgs;Xo*J3G%a@5jvhK8T}@ zUDoZI)NbNUjtbdgrmAgUIC73moB5Wj-a=)5O#Qn@Er>74V zu%_sZsTBloYpOE+_7@Vmx#Rn!+Y2Y~8SW4to7i0=HWIm{k})=)lGgC1H1~1 z+2jcRA{+`oq{;Q|yan(XRbNLJ_5z%MlFvd29#5doKHkqOwUVaSrWg54Lh@bai!CZw zT+{k?i(yVSIp{qqqd!6CO_utWwZw7I6I?y5uJ+}{Q%wA zZB`y};_=FRjFWpEYFZAf?C#+EW~<_wEp>ON%sq=&IO}^B;Ri~Ek|!1TNcpHB#Y}k_ zNm?N@`=Bn}l=k!WlA%-s%b0A!Z$kK9L+hq(A2eEyKl_OUko)M}#X#ONxnn&D>E;P& zub_-l6@mNfB5;+oz!lxxFEi+>%_td$wO9+rTbumNO@3`;$3ZkmhUhvh@LXfkioIV zC?%HfFv_pvR^P{ry2rtTj&nq4ArA?rDvvGh3d%xkkz;r{IqCqJ)tHxHSdSTALC6g_ z)DweHu3iF=U~Kt8ewb2z7mY!@-%3x&eFd%QbvY4kOp5ta2=5lU=s#in0FFXE7xF&! z(}lg!5Wz0I*Oa9TucjC)$19X%R+%=yw&55qg7@pC5=XGvLf|2&X)!?!hT{_NnNtVxRE&5A4oJO`4d0T8!A8SV7`dbe?&sIv{FBEzvMy4#$hY z6*@AkI2LPFq4Uxb7wzFz3t{i3g0Y#)`cQlK$TF`xCE82xPsl;T(ai7 zQG~0XBy`7gUCjD^ukUkgLW8bPMC|Ip!hQtpJ#9d=pAv2fQs#w`N1&MrG5 zHWV_mnjueW=c}nPY9}^2bX_-=Dl!5%vCUs`kWl0k@h;!T0=z8HgxnCy0n5e2 zV6TO+2aXRZ5yWa7~@>MG>yP&7k+F*@fuWV<4#br++h) zF4zqEI#9I4WZ-Z=jF6My7-}1$y`aK+aZT6j;|32j$9EkXlUjq$4^8a+B*&!{#o6Qw z4P5L24}{tE!TPY5vueWj`rY&sc49T|UxfW3)C_tHy~q!pwto<&UDG{qNjn|NgP;Ut zs3JH0za;cV+jLxTt`h_$>!F~;82ij~PC#%ZnfS^tixBW!C~6`w<77>D9P02x*!k1Q zn)EnXlaAwMO}f%3R~2N&Pm3n=StmzTD^pLm&6gD7f8cEfLqPtu%Q`b%@`r6Nd z)T|ze=_Y!jA0%`a8vOTYn?cVXe)`z-D12o2%dY46j%B)&(LfCs{MBvGV;;u@&fk6N z6OY*CQ2(dar_^^Ux(LO&jf z5og16IAcU<_z8MxByb!}JEVNQm@yg?%HKwpKMEC(6Jr@KBIXmp1{i^=ZsKL1N$BG3 z0niK^3(Gfs_`h5RHbKs(%Esg~MU)?YW_DKfI=Zq4FWw<7tQc6)Kx)_2b*#!t%*{B| W8^X-fR`3Esw_#%+5{r3uLHZjCj*gcA diff --git a/lab2CA.sim/sim_1/behav/xsim/ALU.tcl b/lab2CA.sim/sim_1/behav/xsim/ALU.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/ALU.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/lab2CA.sim/sim_1/behav/xsim/ALU_vlog.prj b/lab2CA.sim/sim_1/behav/xsim/ALU_vlog.prj new file mode 100644 index 0000000..1efb11b --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/ALU_vlog.prj @@ -0,0 +1,10 @@ +# compile verilog/system verilog design source files +verilog xil_defaultlib \ +"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \ +"../../../../lab2CA.srcs/sources_1/new/ALU.v" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/lab2CA.sim/sim_1/behav/xsim/add1bit_tb.tcl b/lab2CA.sim/sim_1/behav/xsim/add1bit_tb.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/add1bit_tb.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/lab2CA.sim/sim_1/behav/xsim/add1bit_tb_vlog.prj b/lab2CA.sim/sim_1/behav/xsim/add1bit_tb_vlog.prj new file mode 100644 index 0000000..c097ced --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/add1bit_tb_vlog.prj @@ -0,0 +1,9 @@ +# compile verilog/system verilog design source files +verilog xil_defaultlib \ +"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/lab2CA.sim/sim_1/behav/xsim/add9bit_tb.tcl b/lab2CA.sim/sim_1/behav/xsim/add9bit_tb.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/add9bit_tb.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/lab2CA.sim/sim_1/behav/xsim/add9bit_tb_vlog.prj b/lab2CA.sim/sim_1/behav/xsim/add9bit_tb_vlog.prj new file mode 100644 index 0000000..c097ced --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/add9bit_tb_vlog.prj @@ -0,0 +1,9 @@ +# compile verilog/system verilog design source files +verilog xil_defaultlib \ +"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/lab2CA.sim/sim_1/behav/xsim/and1bit_tb.tcl b/lab2CA.sim/sim_1/behav/xsim/and1bit_tb.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/and1bit_tb.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/lab2CA.sim/sim_1/behav/xsim/and1bit_tb_vlog.prj b/lab2CA.sim/sim_1/behav/xsim/and1bit_tb_vlog.prj new file mode 100644 index 0000000..c097ced --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/and1bit_tb_vlog.prj @@ -0,0 +1,9 @@ +# compile verilog/system verilog design source files +verilog xil_defaultlib \ +"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/lab2CA.sim/sim_1/behav/xsim/and9bit_tb.tcl b/lab2CA.sim/sim_1/behav/xsim/and9bit_tb.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/and9bit_tb.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/lab2CA.sim/sim_1/behav/xsim/and9bit_tb_vlog.prj b/lab2CA.sim/sim_1/behav/xsim/and9bit_tb_vlog.prj new file mode 100644 index 0000000..c097ced --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/and9bit_tb_vlog.prj @@ -0,0 +1,9 @@ +# compile verilog/system verilog design source files +verilog xil_defaultlib \ +"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/lab2CA.sim/sim_1/behav/xsim/glbl.v b/lab2CA.sim/sim_1/behav/xsim/glbl.v new file mode 100644 index 0000000..be64233 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/glbl.v @@ -0,0 +1,71 @@ +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $ +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (strong1, weak0) GSR = GSR_int; + assign (strong1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule +`endif diff --git a/lab2CA.sim/sim_1/behav/xsim/mux_2_1_tb.tcl b/lab2CA.sim/sim_1/behav/xsim/mux_2_1_tb.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/mux_2_1_tb.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/lab2CA.sim/sim_1/behav/xsim/mux_2_1_tb_vlog.prj b/lab2CA.sim/sim_1/behav/xsim/mux_2_1_tb_vlog.prj new file mode 100644 index 0000000..c097ced --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/mux_2_1_tb_vlog.prj @@ -0,0 +1,9 @@ +# compile verilog/system verilog design source files +verilog xil_defaultlib \ +"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/lab2CA.sim/sim_1/behav/xsim/mux_4_1_tb.tcl b/lab2CA.sim/sim_1/behav/xsim/mux_4_1_tb.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/mux_4_1_tb.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/lab2CA.sim/sim_1/behav/xsim/mux_4_1_tb_vlog.prj b/lab2CA.sim/sim_1/behav/xsim/mux_4_1_tb_vlog.prj new file mode 100644 index 0000000..c097ced --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/mux_4_1_tb_vlog.prj @@ -0,0 +1,9 @@ +# compile verilog/system verilog design source files +verilog xil_defaultlib \ +"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/lab2CA.sim/sim_1/behav/xsim/mux_8_1_tb.tcl b/lab2CA.sim/sim_1/behav/xsim/mux_8_1_tb.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/mux_8_1_tb.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/lab2CA.sim/sim_1/behav/xsim/mux_8_1_tb_vlog.prj b/lab2CA.sim/sim_1/behav/xsim/mux_8_1_tb_vlog.prj new file mode 100644 index 0000000..c097ced --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/mux_8_1_tb_vlog.prj @@ -0,0 +1,9 @@ +# compile verilog/system verilog design source files +verilog xil_defaultlib \ +"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/lab2CA.sim/sim_1/behav/xsim/nor_1bit_tb.tcl b/lab2CA.sim/sim_1/behav/xsim/nor_1bit_tb.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/nor_1bit_tb.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/lab2CA.sim/sim_1/behav/xsim/nor_1bit_tb_vlog.prj b/lab2CA.sim/sim_1/behav/xsim/nor_1bit_tb_vlog.prj new file mode 100644 index 0000000..c097ced --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/nor_1bit_tb_vlog.prj @@ -0,0 +1,9 @@ +# compile verilog/system verilog design source files +verilog xil_defaultlib \ +"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/lab2CA.sim/sim_1/behav/xsim/nor_9bit_tb.tcl b/lab2CA.sim/sim_1/behav/xsim/nor_9bit_tb.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/nor_9bit_tb.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/lab2CA.sim/sim_1/behav/xsim/nor_9bit_tb_vlog.prj b/lab2CA.sim/sim_1/behav/xsim/nor_9bit_tb_vlog.prj new file mode 100644 index 0000000..c097ced --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/nor_9bit_tb_vlog.prj @@ -0,0 +1,9 @@ +# compile verilog/system verilog design source files +verilog xil_defaultlib \ +"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/lab2CA.sim/sim_1/behav/xsim/not_1bit_tb.tcl b/lab2CA.sim/sim_1/behav/xsim/not_1bit_tb.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/not_1bit_tb.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/lab2CA.sim/sim_1/behav/xsim/not_1bit_tb_vlog.prj b/lab2CA.sim/sim_1/behav/xsim/not_1bit_tb_vlog.prj new file mode 100644 index 0000000..c097ced --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/not_1bit_tb_vlog.prj @@ -0,0 +1,9 @@ +# compile verilog/system verilog design source files +verilog xil_defaultlib \ +"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/lab2CA.sim/sim_1/behav/xsim/not_9bit_tb.tcl b/lab2CA.sim/sim_1/behav/xsim/not_9bit_tb.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/not_9bit_tb.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/lab2CA.sim/sim_1/behav/xsim/not_9bit_tb_vlog.prj b/lab2CA.sim/sim_1/behav/xsim/not_9bit_tb_vlog.prj new file mode 100644 index 0000000..c097ced --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/not_9bit_tb_vlog.prj @@ -0,0 +1,9 @@ +# compile verilog/system verilog design source files +verilog xil_defaultlib \ +"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/lab2CA.sim/sim_1/behav/xsim/or_1bit_tb.tcl b/lab2CA.sim/sim_1/behav/xsim/or_1bit_tb.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/or_1bit_tb.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/lab2CA.sim/sim_1/behav/xsim/or_1bit_tb_vlog.prj b/lab2CA.sim/sim_1/behav/xsim/or_1bit_tb_vlog.prj new file mode 100644 index 0000000..c097ced --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/or_1bit_tb_vlog.prj @@ -0,0 +1,9 @@ +# compile verilog/system verilog design source files +verilog xil_defaultlib \ +"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/lab2CA.sim/sim_1/behav/xsim/or_9bit_tb.tcl b/lab2CA.sim/sim_1/behav/xsim/or_9bit_tb.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/or_9bit_tb.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/lab2CA.sim/sim_1/behav/xsim/or_9bit_tb_vlog.prj b/lab2CA.sim/sim_1/behav/xsim/or_9bit_tb_vlog.prj new file mode 100644 index 0000000..c097ced --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/or_9bit_tb_vlog.prj @@ -0,0 +1,9 @@ +# compile verilog/system verilog design source files +verilog xil_defaultlib \ +"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/lab2CA.sim/sim_1/behav/xsim/register_tb.tcl b/lab2CA.sim/sim_1/behav/xsim/register_tb.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/register_tb.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/lab2CA.sim/sim_1/behav/xsim/register_tb_vlog.prj b/lab2CA.sim/sim_1/behav/xsim/register_tb_vlog.prj new file mode 100644 index 0000000..c097ced --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/register_tb_vlog.prj @@ -0,0 +1,9 @@ +# compile verilog/system verilog design source files +verilog xil_defaultlib \ +"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/lab2CA.sim/sim_1/behav/xsim/shift_logical_left_tb.tcl b/lab2CA.sim/sim_1/behav/xsim/shift_logical_left_tb.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/shift_logical_left_tb.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/lab2CA.sim/sim_1/behav/xsim/shift_logical_left_tb_vlog.prj b/lab2CA.sim/sim_1/behav/xsim/shift_logical_left_tb_vlog.prj new file mode 100644 index 0000000..c097ced --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/shift_logical_left_tb_vlog.prj @@ -0,0 +1,9 @@ +# compile verilog/system verilog design source files +verilog xil_defaultlib \ +"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/lab2CA.sim/sim_1/behav/xsim/shift_logical_right_tb.tcl b/lab2CA.sim/sim_1/behav/xsim/shift_logical_right_tb.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/shift_logical_right_tb.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/lab2CA.sim/sim_1/behav/xsim/shift_logical_right_tb_vlog.prj b/lab2CA.sim/sim_1/behav/xsim/shift_logical_right_tb_vlog.prj new file mode 100644 index 0000000..c097ced --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/shift_logical_right_tb_vlog.prj @@ -0,0 +1,9 @@ +# compile verilog/system verilog design source files +verilog xil_defaultlib \ +"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/lab2CA.sim/sim_1/behav/xsim/sub_9bit_tb.tcl b/lab2CA.sim/sim_1/behav/xsim/sub_9bit_tb.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/sub_9bit_tb.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/lab2CA.sim/sim_1/behav/xsim/sub_9bit_tb_vlog.prj b/lab2CA.sim/sim_1/behav/xsim/sub_9bit_tb_vlog.prj new file mode 100644 index 0000000..c097ced --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/sub_9bit_tb_vlog.prj @@ -0,0 +1,9 @@ +# compile verilog/system verilog design source files +verilog xil_defaultlib \ +"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/lab2CA.sim/sim_1/behav/xsim/twos_compliment_tb.tcl b/lab2CA.sim/sim_1/behav/xsim/twos_compliment_tb.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/twos_compliment_tb.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/lab2CA.sim/sim_1/behav/xsim/twos_compliment_tb_vlog.prj b/lab2CA.sim/sim_1/behav/xsim/twos_compliment_tb_vlog.prj new file mode 100644 index 0000000..c097ced --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/twos_compliment_tb_vlog.prj @@ -0,0 +1,9 @@ +# compile verilog/system verilog design source files +verilog xil_defaultlib \ +"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/lab2CA.sim/sim_1/behav/xsim/webtalk.jou b/lab2CA.sim/sim_1/behav/xsim/webtalk.jou new file mode 100644 index 0000000..c42d9ad --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/webtalk.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2018.3 (64-bit) +# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 +# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 +# Start of session at: Sat Feb 16 16:27:58 2019 +# Process ID: 12116 +# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/twos_compliment_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log +# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/twos_compliment_tb_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/lab2CA.sim/sim_1/behav/xsim/webtalk_1120.backup.jou b/lab2CA.sim/sim_1/behav/xsim/webtalk_1120.backup.jou new file mode 100644 index 0000000..5d7c550 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/webtalk_1120.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2018.3 (64-bit) +# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 +# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 +# Start of session at: Sat Feb 16 16:08:33 2019 +# Process ID: 1120 +# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log +# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/lab2CA.sim/sim_1/behav/xsim/webtalk_11256.backup.jou b/lab2CA.sim/sim_1/behav/xsim/webtalk_11256.backup.jou new file mode 100644 index 0000000..0644290 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/webtalk_11256.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2018.3 (64-bit) +# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 +# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 +# Start of session at: Sat Feb 16 16:26:27 2019 +# Process ID: 11256 +# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/twos_compliment_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log +# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/twos_compliment_tb_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/lab2CA.sim/sim_1/behav/xsim/webtalk_1276.backup.jou b/lab2CA.sim/sim_1/behav/xsim/webtalk_1276.backup.jou new file mode 100644 index 0000000..51fb553 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/webtalk_1276.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2018.3 (64-bit) +# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 +# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 +# Start of session at: Sat Feb 16 16:09:25 2019 +# Process ID: 1276 +# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log +# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/lab2CA.sim/sim_1/behav/xsim/webtalk_13392.backup.jou b/lab2CA.sim/sim_1/behav/xsim/webtalk_13392.backup.jou new file mode 100644 index 0000000..0805a63 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/webtalk_13392.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2018.3 (64-bit) +# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 +# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 +# Start of session at: Sat Feb 16 16:22:35 2019 +# Process ID: 13392 +# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log +# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/lab2CA.sim/sim_1/behav/xsim/webtalk_6756.backup.jou b/lab2CA.sim/sim_1/behav/xsim/webtalk_6756.backup.jou new file mode 100644 index 0000000..970f9c6 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/webtalk_6756.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2018.3 (64-bit) +# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 +# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 +# Start of session at: Sat Feb 16 16:24:44 2019 +# Process ID: 6756 +# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log +# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/lab2CA.sim/sim_1/behav/xsim/xelab.pb b/lab2CA.sim/sim_1/behav/xsim/xelab.pb new file mode 100644 index 0000000000000000000000000000000000000000..20a959c83e03dd32e28b708fcac0068101419c7d GIT binary patch literal 2008 zcmcgt-EPw`7~Q&Q6Ba_101Zt{vd|_bw5Exjw#lww6&Far#WoP)rgCC8wdC4q?6lom z@FcthFU2KE|L|I>bwb)z^104A=leNdfdqRe8lGrS-96m#J@?Hc&HK3^MrG(2RKM~e zp$c9t&h{zml3bYc`3pJ(d&uLvYyLPM$(kg`iRS>@2L8i2@*MM z%wTO~6m&@=a53vr;`_eah$0k3QP;;2L5Kxz0Bbca0>DB;rQ%S<=4u4_&u>@)?|3y6!|o zt#AbqhYedI1t-_VD3qR2A~&VHuFBxd@MXvss{s4H1&0p6j+g4SeQCNjPXeBn%1g&4 zu&-z?Skd~)f^i!*>KS-(d(s`LOvj730qdy3#;pZx#(ii9o6 +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +extern void vlog_const_rhs_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); +extern void execute_178(char*, char *); +extern void execute_82(char*, char *); +extern void execute_83(char*, char *); +extern void execute_127(char*, char *); +extern void execute_100(char*, char *); +extern void execute_148(char*, char *); +extern void execute_157(char*, char *); +extern void execute_166(char*, char *); +extern void execute_175(char*, char *); +extern void execute_176(char*, char *); +extern void execute_77(char*, char *); +extern void execute_79(char*, char *); +extern void execute_80(char*, char *); +extern void execute_81(char*, char *); +extern void execute_179(char*, char *); +extern void execute_180(char*, char *); +extern void execute_181(char*, char *); +extern void execute_182(char*, char *); +extern void execute_183(char*, char *); +extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[21] = {(funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_178, (funcp)execute_82, (funcp)execute_83, (funcp)execute_127, (funcp)execute_100, (funcp)execute_148, (funcp)execute_157, (funcp)execute_166, (funcp)execute_175, (funcp)execute_176, (funcp)execute_77, (funcp)execute_79, (funcp)execute_80, (funcp)execute_81, (funcp)execute_179, (funcp)execute_180, (funcp)execute_181, (funcp)execute_182, (funcp)execute_183, (funcp)vlog_transfunc_eventcallback}; +const int NumRelocateId= 21; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/ALU_behav/xsim.reloc", (void **)funcTab, 21); + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/ALU_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/ALU_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/ALU_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/ALU_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/ALU_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/ALU_behav/webtalk/usage_statistics_ext_xsim.xml b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/ALU_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..073bf09 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/ALU_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/ALU_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/ALU_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..2c196e517610445cd89a47670b4403046b924609 GIT binary patch literal 8205 zcmeHLX*^W@+aF6*5-s*EEtIvguT!@Wij;k6QiPZ&gv=PFP(qP4%$;P*o;5X-%Dxk0 zANyc124lvUkjym;O`FYlSpe17N5`CZq!mhbhwu5&=3|NgNc?wnuVU5nTL z`}Kd%{*A!D5%@O(|3={dHv*|lCUfiemsYmF4t7^wX%L7LI2HhYYyYwDe{B0dPs?`s z{E#pR6u`3R=;7_y>xb&E|9V(%f9?~0UbA=Eg`fT#Z7lEw*PIrg z-zEf!)0$hoB4J5^Xa)~IRQ$0)(_dGgPq_VjXE{!!%vE;11kI(QoA->AM-<9HlE}^LFcLuDYM{zOsI5+fZHEwEn%}&ztJC z+;63$W`#?wl|~vFcH)S?2DA@7vb#Ie`c7*7d~!J$qr`X7`2;E%;(>wr_nC&bKkz`V_IO)Fc4yPo#9i9vzzv(j7a(6kne9f4d}SF?fZK^5gUcKh*Lk z>g!qUBp#f6Iw!)w@bME}q`TUP@g6(e9GyW{%iXw*=p-BuX%De%3*tz;88>p{jU}(= zv{PMR3?vx77M4nGG=M2F^Ebpnup&05l9Q!5_raQT3inYKp-DMi6OpR<7i)9{Sg?E{ z5(hjwuQv++zA^ZL+H`uH9NSvC>d*S%G%G4RXzM&k4DJ zt9{Y~5rpVUXZVEj#|&Z7JHINcHdYXx-ail=iTlXvu6l&J3Pl^+gF0GrSHRVq5VGX8#zrIHmu$g1s z_wsoN^OWWs#mgDq&S?|%vR3E!@gGY>#^J~c=x0%{(nHu&=(nU7iD&)^h{T)L3Q7jI zM`vM&ZcaxK2>jMYY^KQUOV;~Br%H4BkNV2ZQ^`dW=hL7I5-xBB(3V!HY21*14Ec%N zZ0glg-6jLr>X0*B;UtQ~_5E$PFB>Zaxo~70tSd~#4TF=(>DXiezp^Rk@hx!Z8ZZHZ zT;!!8{yTbJ;3&q`>^!!gPZQrKy%u<-BXPOZ0?-a0&KO@J7T&5|f*VAu4Mcb`TT&Et zAYWcngj1itd_Ol6#2fjQ?!JqRQ2-gNl<}#dF57`o`vJommV}|+WEFOXo5hxPLaYE_`*9RUOnck(+)NA7N!4!Kbab{R z`{)fFcFHdueLOg@2<&);@w+%Jl5~jd57&nyy(wj$GvOd7zZ~;(0S;eg-zdCNtE5W-Mu5dhlQ6xGkSNy#p63?&k`%(@KA)s5jq5!iyyZ78Ir zP%~*8c$GsFn9qqbYL{tQZuWtprrPUy;<$rjB*_c-Tb6fjF9*!=8A#X%+B^`SAV`f4 zA8QbT=EN7=0WZ@b-_v+rvfxZ4Wer1zoM;I+-&C5%^#%!0K8;&EwcxGzp1b|cKVNE^ zYU_p5$4S=>%idd6MC<)IDZv8S=h1nX^vy&NU2;k@XuaWjLpY0-p~v#E=#K*<(?S4 zCTriYd7&XxvJsP9R3t>&uoOh=)%fP{P%eylA2>BYX?~vxM1m}eY zJ;`CHWW*(S`{8=8riWK=4Qrdd$2X8bpFYS>`Xr#8?eaHJzYhV40g!ckSUQ!bDp2g# z@ys@hCyME;7C4a_Qb`y6G#nC%RnKwh@t9lH>i$t8hVQzyPFTb8JZ6@#+)^P34UcQ? zYowDW_wo}*2~B}9p1=GTG};nJ5!wbY?)E?GI(=7lV)aLLk8f<3ycrd>esBiw!Cy*! zTt&JNDzdt zcTCRWz*RM@F@N=6ePC{LP-`nXGa3;sYI#UmD?zy?n3h-90XxKT{HoCwn`$5EScVTz z!j-v-$5?EU099+H$CEaW5>?c>`1E?($b$TVBlK9s!D5a@Y9_%0a~1+oYF#~*=w$)6 z&Od7tyJ67EHvQ7j1<4*C2F6-`@H>|Geje4bMSxk zt+rw?spCrQW9D?$ACKFNW?E7>KWqSOrp77)@B}$F(%CzPOqA=>B{vhLM2OHpgFal( zFU+p9M{K4lf=)uprk%?1aj2qiksH*Mpv?m;0T$?x;+sCP8})6W-D&S!;JR#{zk%Li zq7YlFGwNfLUsyA}MekO^H+>On3rCmJS}6B7vZi+WClEI;z)zp74&D$$64ze5iga=j zR(m$*EC%Y!WQZ1gZzg&Y`>#afsl0yxB+DK;d(t$H~x$_VR1YBYF|&{R|9t&SdFgP zoi~+OscqCTMjuuLnms3!bf2;ui!22727XF@y-2o54DQ+!F-PIYeqviZi^X?q)8#{Y z7nGX_0VB#It2b5fv|1Sr!kZ{c1xf)o7ZkBYk6S%mMebpI-OiZM9h7JFzu>I9SdRq2gu;P2h9X{`UT24h+@|jm(nALAASEwnw;QS!H%gFqM~=AB z8;e0V%nE z>nv$Z-04yn>2KLzo&xAof`x&!^B@;&iZq&F1h`o?w5^Fslii+NcF@-*XH{spJ!!R{ zD%C}s{7ndH`lGPV|M(pb`2C8?kj_KK81kk&qk4Jf7w%wyNyXg~=+2?)33q|sFC^Fa zZe%;rN^GUNhJPo+wY2fG+|GQpYWGI~CiWCV;;<&J$g$dTP~3LsYgYJ$OE*bB-ldP6{nPSKg1m*C7Jy)mcbzg#_! zmF4kJ9k8FGZK#1h0UVH<8c7Ch8)$XE8onL42Of-HU^bb1Lbwk8dh&?NU-eJ6fc_6B z>td1?(g4b1wTY_S@eza5=AR0Ev^_@BF^wCmn}1iokIj@!1rd6um*;2`c+?oo$5a^G zKsG4k)$j2qZ8 zO+Z#ukzxNUUEX}Q)wgANWZS`!M>7x=5QS~Cu-4+qxs49{E=tWrU^kI1CCfEe;{qxt zI5&$s;Vvq_`NT2%ghwZXaTA<##bpkk@b?r%J9@pf7ei{L;?Ak7PTE^I^Bn{RvXVjt zs|bc|j|_hQmOTiz<;5q*d>Y9JnxAE(aoSI4+}co;txk?7@U5BH95xpx+c!=7; zN%so%mVSOr>BJ=o>%^1v8B~svm9Gh1i^WPtXt+*RbEZ!ME&aiz?fG>?+57qmhQL!s z;9mdp8vFxNfrwdS5h-kaVwvmnjrK?eV{~uv_1srj1kr2#k^I{o1f!3xG5L9Q^d$_s zAYZ~{2AiC&bbBrFr+NSEEoIbf=j5Wt;ny$oX(d}z8Dqt(yHD=*uI%A8=0SE1KF^CL zvE=Z=VW$-+Oh0I;cm_EQl8tXxXO58-$`(=-cW{MJnMLzQq#OL>y&73%(YE@JK7`tL zV?=1pgkgh)^K|sqGb)qzE<**k69jU6!(Ey4Jb%1CTh6gmGxEn*HB@147koN&nHgC- znK!s77=Gd{LXRv`V}$9Ydu#LjBo4EmjSj3I`(o{YmMPsIwx!Yf2$r>$k?P~@_76H;|67>JFc3 z>3OnJ+Jo$kP5sr5{aeLBs|nlM|2Sc{It)6Qe#h)gfEX#g?0=aYyVk;VaJ|{Bh(`{a?sLE)LKvrPVHpQIa(4{dqlzU9qF9e*1tna$xoohZU$H=}H_ z14AzsI+i1Yd&~pV zvKR2u=CtLLHxS_%>@2f`EHOrC)xBn&&6c0nJNB_&eiSl#4)^=O< zXR?r%lN+RdAyF7u3Aff|?nob4Ol#F`>EEZf8P&>o5I>G@<5d@vQo4sY?J>Ak`x99bhC38Pt`-_*P#{fbh__#}>w3qkOwqOQ<@ zN0wimm#iMw_MKh)zTPvbg80#iN*SmW0o+8#4^#>QD^v4x5umy=SmZO$_Wx-EL$A`# zmSJlbqUucr2MNyW1Hd|mT|uGD3PJP^c4>=?y)m`65rQc)r7xA_Ka)o((XSH5C(9UR zW~vh!>rZBEIkgo*>70KU^0kdk33OC^KLSv0wo6vWFMs1QPc5sJQzFDRM)r(75AZe& z_4&KGzKVL?3s?M1B;l4@GL#5hhSI&-Dp@G&EZF_t7UtZh4<-gQH%uG+`OAF8PLO~N zBTnhE;_NUq3yg==kgpupA(CBmytH`aVHq%r{i#wi_Bs6?TW7+n8`Uu>;ODeRlHkD%u!7Ojhs>(uhRu5RA-`j%bWua~et9b$A;VRsmcg*f7kG>527lkJ zlU5ViULk00X2MA_ark5WN@rgtQeCmRBnE?>w()L_v#SbLuN=24gefEAWhL4m)6Isf zmeng=G>bx5Qh49P-_mV5)0(;|9?kJQMeinV&OUAs@RwN(VAQM-=G5Jz8V=okAE@Su zSTOWRq9_xdN13f!^)r+S&lb&m4EhHY!%I**f%}f0 zNAXcsLoBvO-#lvZ^I9{jb!s_Lxy>%f`6CN&H{OIeVTWf{F_6 zLVXI2#*aZ1n=z3qqzu@%(-1Gt#+_pwo$FEao`uzi##p%SH>;?*SmisUwYB1f?xX#R zvkD~>+k8oKi%kxlLpr}lM%f-)-l%!6V6C_KCB>m2_sPB4*n)&N9-zE8*W@phAO0~| zl9zetPikv*wzZ>5zs6ac-s=3%*>Lw>nhy@sr*_xOksaD!q~Sm69?I6j@rh&8>YyB6 zwAIp@^yuK7J;(}~w_fCzGN*&QWsk!6#QiRlJF<1N;l)7%b#O7+AWcrm2-}(O>r585 ID%SG<0*^!VtN;K2 literal 0 HcmV?d00001 diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/add1bit_tb_behav/Compile_Options.txt b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/add1bit_tb_behav/Compile_Options.txt new file mode 100644 index 0000000..693fcb3 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/add1bit_tb_behav/Compile_Options.txt @@ -0,0 +1 @@ +-wto "0a5803efda44405bb28bbf43ba22e808" --incr --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" --snapshot "add1bit_tb_behav" "xil_defaultlib.add1bit_tb" "xil_defaultlib.glbl" -log "elaborate.log" diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/add1bit_tb_behav/TempBreakPointFile.txt b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/add1bit_tb_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/add1bit_tb_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/add1bit_tb_behav/obj/xsim_1.c b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/add1bit_tb_behav/obj/xsim_1.c new file mode 100644 index 0000000..2bd2442 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/add1bit_tb_behav/obj/xsim_1.c @@ -0,0 +1,109 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +extern void execute_3(char*, char *); +extern void execute_10(char*, char *); +extern void execute_11(char*, char *); +extern void execute_12(char*, char *); +extern void execute_8(char*, char *); +extern void execute_9(char*, char *); +extern void execute_5(char*, char *); +extern void execute_6(char*, char *); +extern void execute_7(char*, char *); +extern void execute_13(char*, char *); +extern void execute_14(char*, char *); +extern void execute_15(char*, char *); +extern void execute_16(char*, char *); +extern void execute_17(char*, char *); +extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[15] = {(funcp)execute_3, (funcp)execute_10, (funcp)execute_11, (funcp)execute_12, (funcp)execute_8, (funcp)execute_9, (funcp)execute_5, (funcp)execute_6, (funcp)execute_7, (funcp)execute_13, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_17, (funcp)vlog_transfunc_eventcallback}; +const int NumRelocateId= 15; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/add1bit_tb_behav/xsim.reloc", (void **)funcTab, 15); + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/add1bit_tb_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/add1bit_tb_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/add1bit_tb_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/add1bit_tb_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/add1bit_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/add1bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/add1bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..b4ca08d --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/add1bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/add1bit_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/add1bit_tb_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..8f3c2991ba8bd7bb6d06b7c41284c3c29c00b986 GIT binary patch literal 2986 zcmeH{dpHw%7{`%I;be|<7+O)SLqaM-3n68;q6k?exhoHThcB##?u@F&f$z ziGi09Fk8>qQKQpfHqU?Xbg51dOX`L8#F(K5uWyHjkV3$k^AP?x+N1ruV!ed}lVL5q zB{_J5b%Lb+#L#=2p1}x4IA=-k@%ml*y-_aZRoP)`EAYe^`P;ksXZm7i>lR%69vmpITtaM$XAJt?5XrId-)qpD}i8rpi`*1F5v*l%E3ngud0@_@S5P|)p5mm%Z1eG zmMrQ)N1lRG($(c^nvR7bqbjX$AYb&U0Hns9y#y!aD{oTcPEJ%9mEMqRwOEE83*pF% z#Y^tZwC&;>qA)FN#f03k7<@YkUcM`Z6PZdJG6ef!*? zE~TaI3h@0Y*z;c2K4m`QDJRVw`k8nbKrWThk$G11^|MJqJMzne7jtMIJV%Vmd8W2X zUyP_}!$AWvaE^;0U?|#KIG#BrIP5RvbVp*8eqk7h!Qk4;kv>5x>mPAc&@EXUXB10M zOtcd#C|o|>kUxvHA6`7Lzwac-zRN_P1Gakd^AqT@r+TSR=b_UC-K!Klaw_+A$$P#{>p@{&!%ndX-? z@m*BIgbukN%fb6Kt~BF|#av_HD|)7Mm#}6&m2g*>9(q0+5!z|)heU9T=XVBpDv)Bj zy^|`_TjHtg%xFm8JXb8U7|O z21iTBdXO~iIh>I<^bncjGOiEK8Wzo#G=9*%NS7jY%m7D{$u~{p8bJ)<0>~o<^U&1x zRCO1&j}rVDF|X&mW;o*$Gz>NH`^oa)+L3!i+-sfe>t)ShZ;gY)8Mgzb88hQYYn}2m z+Z)|#qR!8q46$HteAV9c@~rBB*3{j-@TiZ=G@Gt@J(X@)FC|v;4J9|e?ZehWh5}(t z)9p)g-b~pqTjHlS49Fd_`i3K@K;W3S;d#?pd6SB90KdNQxQ?-R9haI-CjE%jCT=@@ zBa+#`b|pVh +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +extern void execute_12(char*, char *); +extern void execute_35(char*, char *); +extern void execute_36(char*, char *); +extern void execute_37(char*, char *); +extern void execute_17(char*, char *); +extern void execute_18(char*, char *); +extern void execute_14(char*, char *); +extern void execute_15(char*, char *); +extern void execute_16(char*, char *); +extern void execute_38(char*, char *); +extern void execute_39(char*, char *); +extern void execute_40(char*, char *); +extern void execute_41(char*, char *); +extern void execute_42(char*, char *); +extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[15] = {(funcp)execute_12, (funcp)execute_35, (funcp)execute_36, (funcp)execute_37, (funcp)execute_17, (funcp)execute_18, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_41, (funcp)execute_42, (funcp)vlog_transfunc_eventcallback}; +const int NumRelocateId= 15; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/add9bit_tb_behav/xsim.reloc", (void **)funcTab, 15); + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/add9bit_tb_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/add9bit_tb_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/add9bit_tb_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/add9bit_tb_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/add9bit_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/add9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/add9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..18d283b --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/add9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/add9bit_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/add9bit_tb_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..5434136278bc895d1660d123598219ff54276c9d GIT binary patch literal 3913 zcmeHHYc!kb8r62HGo|RnrAm*fY3VQ{HA*R>9qn{lMX$7^SX4FBaW5hwIW8S_r%Ftb zR9!-8qbh>9r7o#zq$;GP5|)|okf&Y$zM-&*f__wzmb-Rt@GdcUot z^v~y_$H)5gr(Fa3&;IA?vjd+U`0T)E2mZef6aoOSzW!3(_!s!JYZxmjZCS%QYir?e zy#J#8&r)?dHBhwC9ukE~~hW=z$OwjH01Q2ohrlS`?!x= z-g(Q=hTRv3M(&DAOZ>LuT~xcquh1Sview8+6!u7gURn|{a<5C#v%OtCGQbLlNp-PD zziRuL17UEG*V?i!d!&hKh9I3bLPRqZ-*<-{z!D=LVU1T+iKJZ4z}3SBXukhTQr~=I zBm@`{r%ZElJYnLdxy>=Be9Zc-0#oWoyu{#1BO3akM4LeK3KnC3*A+Mn4aYaMLb5SX z^R7fQj{$?D&l(D%<)Oig(fo2&G0*IkY3}x*eDwp9XEmbpFj8zC7fj|wP8-B1Jma+b9tvu z1W$7vx7x4I0hNy)K}`C?<$3{-B2g& zCU0hZE8UMnR6_&KTDc3aAG`*M(ETE(9U)8OSuk}Ai!yi?^Z+c~M_D{6pWsC8F&S_A z!{9i@3*k8dq2o7KR}A|n|H7-qELn@Awr-<+Gi_$9;cFxP^>&(fncPw6&j>RR)- zq3;>t<${b8_G-`CtuqVm-hkJ8xT)y3fFF#J-Dh>0S21^huc)9+fPWYG5O#-@un+ZijfTD;N?PyIQrLXB?^s*8G%&+hS)tv9L$d`A z=5w~1=g}U3!>EZwpCZAEp`WwH}}#M-5DKtn#)5yPI~S<7v8Wn8>Zv; z{j*vd?)x{Dh5+Bb!1$+k6(o|c#O;`{!@aYf1fjKmV<7fQU1COQnY!!0pjSVb)D=EJ1G)2RQc7O>er{i}aXx6-#r? zgE7RJSeL>OobUZZg`u^Vk|edt=G|h?R3Op9F2Z7URUfw-TxXlZXI3IegB!bKA z*1*CJQVYz;#pQBYXDnWqIgY&-5nL_Uj!>x`YvpX!T6$5SK<1RN2Iw+L@`?{=aNOj) z@@Ng~p^11g|D!U>ojcEo@5NuKZ^?qU^SU@)xuPbi5Oz5)0c8h<|Z8E%SOjrTAr*+vW`YHY|TA# zu-YA6RU>X9=;;5t-wW4q7T2Z$g;44O7nVC$T)ix={cXx9Da3X-Dab1tNM8C8gJ>n3 zwM8imK72RJmLIc`g`Tj_?&pPQnIr@?KnQNxRpu>YrxQdnyddCqKyx&v;? zMY2kbzzDJpi|S1}>%#htQy@uRLS1#!XXTCMb&oFxK?YKQ;k5KWtUM{P*(+JrqYyA%b(r$6>9}TmF>E*jkAh87`As&g{@~aB z5Y{-8^KfIqrBFC@Kvv%|KZ?AXHD;{f(hu5j?UAY8IMNwH2NMq#>>8>P?gp=RF;&zo zcM#|{m2{oWZE=owa&Vao@*ije)wU8RknNQLnkYs6^>&>Hs91sK>lY~>M>$LmpTV2!Bi^*@Ku9cQ{SM0?2VQopq+>R70Qr5t9!XD(AnQJ{#zj7q z(x}a(iTYv(GMejp3G~bB^GZEr!<=>D=@adub02ID-`fTe-t$T#5nw-L*082+PD3%? z^OAVSZDQMP$db6tlH~_uw5T83Tpqca_j+RE>^4O!i&fw0zJ95DQP$73)V4ho`%EBs z@6KPk;&{gU#>sD*dHeI!NN$(pR)pu%G9+dzIqa1eJDDO5>H@F*=h7HW^mB%|`QE@V#-+C~A!o}` zPFvTPbi1GN9q%!;;iFc?FFmvT!|TcdNJnPr%j{)D&l#a&Llwyo0T`P}(YKPhAFk|0 zVUbCgWjRJ)f+F}x)KXa2_vo`Y1r=)U#Xrs{OM>2%7=jf;bnx1>X{2&nTowdbA#hyq z{X@{3&+qBI8hKJyVMu76;cZUx+t2#9h#d0>Rzfm2%&S|hnS5#uO`4cQKe+g+n+i14 zloF{CJDfaXprj9Q;~FdkuS3yr`tPEF1NH!Hc00ytXUsZ~tl}Rt=W*R$bo75-&=HE9 zX?YmcbEB|VU +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +extern void execute_3(char*, char *); +extern void execute_9(char*, char *); +extern void execute_10(char*, char *); +extern void execute_8(char*, char *); +extern void execute_5(char*, char *); +extern void execute_6(char*, char *); +extern void execute_7(char*, char *); +extern void execute_11(char*, char *); +extern void execute_12(char*, char *); +extern void execute_13(char*, char *); +extern void execute_14(char*, char *); +extern void execute_15(char*, char *); +extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[13] = {(funcp)execute_3, (funcp)execute_9, (funcp)execute_10, (funcp)execute_8, (funcp)execute_5, (funcp)execute_6, (funcp)execute_7, (funcp)execute_11, (funcp)execute_12, (funcp)execute_13, (funcp)execute_14, (funcp)execute_15, (funcp)vlog_transfunc_eventcallback}; +const int NumRelocateId= 13; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/and1bit_tb_behav/xsim.reloc", (void **)funcTab, 13); + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/and1bit_tb_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/and1bit_tb_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/and1bit_tb_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/and1bit_tb_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/and1bit_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/and1bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/and1bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..2f389c8 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/and1bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/and1bit_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/and1bit_tb_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..d42d46984f4d379e50cd29138e2b22709c514013 GIT binary patch literal 2837 zcmeH{eKZq#7{^6gr9>_>?c#X3LR4PPGXBl@^ULB zZ8H&0lF4FW-omiOn6ZX!cirlo+x_SMbN}@Fo##9+-{(BfIiKG-KM9E+Po?SC9RJNL z@BdNX$TkRU5ZEBFLE!%-a7QE(eX;LTCBFyXyqu1N1V9`E#m4 z60w`+yq!X|U_V`PaPA<3M51jIiMm)vo#En)JwLa0sauq`;GaC2aRnZ1<7(Y~s1SXI zj?KGSqk+99cTz9uw5CO=Zg)q&{fFdSZfs7F#(;UhQ2^#lc@=er;>6T*Z2fyVW~4D-lUt9adep?J3{eAX z{fV48p<9_jA$4u(ZW7uVIiius_XtySqxM)!S4K3H&B+^JU@J|Rp=|4u0rz-+Xhrc8E+mt!ADzb$7#bu|EUwV29No6wSWuQ2 z10U|BI4T@*t2Gl^-E2QnnA7j}NkNq`%z}Mn-R9S<8r33K{ zye%5n!`Hi|+eDs?3Rw(~?#(GefS1R2E@xF!OYd&Uh@-r%% z#PM}SeAn#xtx2D6-`=k6lk;DF^utP3-?ni2f^fjYIGgc6;tOE4wM<=seaW_B;y ze<(B^a+D?$^~q!&kYGHPEWl~ofa8hfb=H+Ryhf?-SEK{T4;UtPaEJxG+XG~B$o!H* znEhM%SEKOgiZ&Nd&$@9xuTfk~+GG9E+iTYQx{2-!>G^>6b(f@w-&K{D%w>c(r!Yan zY1cwN#Bm9Ijl+*8l#ttwrR`{$S&xucJlSNj1ZXd8*p7O~X~v5lP%?x72N67%LQ(Yo z+EytXI@X3G|GJ8aWc-{YYT-0v$oMtzQuzR-RdlD#{T^hNtTPNlWHbUXcuJAP8B0rl9&pz3u>u_lJL9URdK_k?$2 zUP}c}E#68g!DC0VT<6tfy`dO|uCAvQ#AZV)>WEBta9=ad$_9#qBVR5gRA~ser8t)_ V7TH?aAXIAKt6B*qHDd)qe*tX1${qj! literal 0 HcmV?d00001 diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/and9bit_tb_behav/Compile_Options.txt b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/and9bit_tb_behav/Compile_Options.txt new file mode 100644 index 0000000..4167aad --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/and9bit_tb_behav/Compile_Options.txt @@ -0,0 +1 @@ +-wto "0a5803efda44405bb28bbf43ba22e808" --incr --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" --snapshot "and9bit_tb_behav" "xil_defaultlib.and9bit_tb" "xil_defaultlib.glbl" -log "elaborate.log" diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/and9bit_tb_behav/TempBreakPointFile.txt b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/and9bit_tb_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/and9bit_tb_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/and9bit_tb_behav/obj/xsim_1.c b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/and9bit_tb_behav/obj/xsim_1.c new file mode 100644 index 0000000..95c0ca6 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/and9bit_tb_behav/obj/xsim_1.c @@ -0,0 +1,107 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +extern void execute_12(char*, char *); +extern void execute_26(char*, char *); +extern void execute_27(char*, char *); +extern void execute_17(char*, char *); +extern void execute_14(char*, char *); +extern void execute_15(char*, char *); +extern void execute_16(char*, char *); +extern void execute_28(char*, char *); +extern void execute_29(char*, char *); +extern void execute_30(char*, char *); +extern void execute_31(char*, char *); +extern void execute_32(char*, char *); +extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[13] = {(funcp)execute_12, (funcp)execute_26, (funcp)execute_27, (funcp)execute_17, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_28, (funcp)execute_29, (funcp)execute_30, (funcp)execute_31, (funcp)execute_32, (funcp)vlog_transfunc_eventcallback}; +const int NumRelocateId= 13; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/and9bit_tb_behav/xsim.reloc", (void **)funcTab, 13); + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/and9bit_tb_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/and9bit_tb_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/and9bit_tb_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/and9bit_tb_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/and9bit_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/and9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/and9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..22c4221 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/and9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/and9bit_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/and9bit_tb_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..6aa1ebb4f78e7a209778373a3c168009516c541f GIT binary patch literal 3547 zcmeHHeK^y58+VeIPN`G!61G%lEt6I_^KOM!iBL&Vbi9^#c`~H!NQoF7i8M2%VuZX7 znVAvFvCLZ=HhD=VmSzmweh<&%T-Vd{&-2goXW#F2U-x}~zxREAuIqE%_bnsy{rPP5 zZ-01AC)j-d|HJ#izz+s~Fz|zc|1SgC006AY-&NQBZLH~EpfWNFE4JE-%>75)e}(-| zH+gNJb61K*{xt3C7P#G69lS4ho$YKqy71P;O?G4#!@UVA=>?bV?CcCLu=SZr&s&0H zA3r+pp^eJxP1y40cEh7bB1d71QOi_buIEAd;(F1D?63ZTkt6`!x#$b92&|c=l8f07 zIuigM2hu_cZ3t*oXVRQ5_r1wMRnJbK9#Vk9%yj)Bij4NDdw(Q0>4swxM;$LCy# z`95D7a-^13xi)+ghCwkUO`ox|w#1>{y}x*-C{17Z%ygrmY#TR?ca{g8*f7$j^|4r6 zC(xMaxGU@%r1}8lz;6V0qrapl=p>#~)zr!S8QoqI;a&!V z=@1lRm}}-aC@`NPb$;m5sb_?8UTLH%e{$kMaj>^hlx`%`{FLO`o`>TkVqff>^lEA5 zKfun2kb40He$-rvV(tr{{o25lZ(W+>w3mFuM(G}*G;O;40*(WVZMw79sL~*le4Qy- zL|^W}^>@V`>k#Q>%=#-|IM3~PWKv&kJ8l)W0kWv2@k03ETG#R2@|fS-qB+bH)(*XqIfO^o$;DN+MDY!dh0W00_l2f&j-R2NODASWX|wSb^4ti|QH>}h#Y>ONmFsKlzLGQkq%gP;BjpO3tS zHYI#X))FF+fkLn{#qHVYg8q+zE>jn$C`aUwp-oGt6t#G#;rzQBeJ~b!k+Qm<KHkI9Z250#_>jbk;5#HZ4@xYiaaaXYZEq4Rpnau0{N9(E!+=46}x zVT%FW%U2-a+!%7^HBbeFrz=Qj3xI#&QIi0;V(0aN8*moZqM@*8N0KnmsEQeZTymXT znlK$Pi9!A8?3_TAP86yuBT*6sh14VoU@gX;2(?rr{Q@~^(E#z@k()D(IyaEwka|=? zb-Fy7Mt|34wh_j`O5m2#vNfc9xgoeQ(Toyv2Hl{;RfF25hG-FQ_f`z`3|05jLu@3} z6RVjIDhVJkpDNwzJ+i!(iA@4Z6+0)QOGC6526MFM?Ojqseyf{e1$*P1_2+$i0K6RH zfJwau#h@Rz;2|y6wUeTq02*!76JR6&Ubh64c04sI_i7DXQI>QI>>GOw)=*1TMb^&%K=6YkV9KQNDV6(jc*74}+QeE8Q=vfs}yin$+z1a<#9qPLw2ccq)*K3?r=t3AKB zg}B6i169od+~&pnO!m&>H|yOV3D7k^JH>g%RFIz|J%{$hsks@^2XM*k#)#KL6y&sd$;0J9GPgbVG9^-MPrdvCLTy-37+(8?;JukMv zneb#LP}wGt%}p6SU~Xj@WH$UZJ)_xcXpG&C$=c}Cr&6NVoE6u46Tc8V(n0fTxYoJN zZ!=hs2i`EDnfXU}(r6VotbX1sr61Ee%jO${-Mjh5?#rOo0P3hHdJ)vG_6p)TZ%~dK z3xC}g%ure!k{#erwk!GFy-{v)#ACsodyvlHZ?6CHbvU@R@djSu8ohQ#n$g)8SV6uT zdd2S*1@fTX*OeZZ5%@w$?=eM>_EnjdG81D-_R{XIJrFRwsYdmSYnj1$Gh5Jy>z?G! zfi7-0ql9f%z9YkoU9PvET;Q9?xERFfmi5bGg*rhkr&XTJ lpWN~-VBy^MU&-$>x89$Z>%N&?YiM-!`4>RWp=4(BKLPShVk-au literal 0 HcmV?d00001 diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/Compile_Options.txt b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/Compile_Options.txt new file mode 100644 index 0000000..fd1a31a --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/Compile_Options.txt @@ -0,0 +1 @@ +-wto "0a5803efda44405bb28bbf43ba22e808" --incr --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" --snapshot "mux_2_1_tb_behav" "xil_defaultlib.mux_2_1_tb" "xil_defaultlib.glbl" -log "elaborate.log" diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/TempBreakPointFile.txt b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/obj/xsim_1.c b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/obj/xsim_1.c new file mode 100644 index 0000000..b44e657 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/obj/xsim_1.c @@ -0,0 +1,109 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +extern void execute_4(char*, char *); +extern void execute_9(char*, char *); +extern void execute_10(char*, char *); +extern void execute_11(char*, char *); +extern void execute_12(char*, char *); +extern void execute_3(char*, char *); +extern void execute_6(char*, char *); +extern void execute_7(char*, char *); +extern void execute_8(char*, char *); +extern void execute_13(char*, char *); +extern void execute_14(char*, char *); +extern void execute_15(char*, char *); +extern void execute_16(char*, char *); +extern void execute_17(char*, char *); +extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[15] = {(funcp)execute_4, (funcp)execute_9, (funcp)execute_10, (funcp)execute_11, (funcp)execute_12, (funcp)execute_3, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)execute_13, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_17, (funcp)vlog_transfunc_eventcallback}; +const int NumRelocateId= 15; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/mux_2_1_tb_behav/xsim.reloc", (void **)funcTab, 15); + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/mux_2_1_tb_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/mux_2_1_tb_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/mux_2_1_tb_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/mux_2_1_tb_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/mux_2_1_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/webtalk/usage_statistics_ext_xsim.xml b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..7eac5e2 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/webtalk/xsim_webtalk.tcl b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/webtalk/xsim_webtalk.tcl new file mode 100644 index 0000000..7a70894 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/webtalk/xsim_webtalk.tcl @@ -0,0 +1,32 @@ +webtalk_init -webtalk_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/webtalk/ +webtalk_register_client -client project +webtalk_add_data -client project -key date_generated -value "Sat Feb 16 15:07:50 2019" -context "software_version_and_target_device" +webtalk_add_data -client project -key product_version -value "XSIM v2018.3 (64-bit)" -context "software_version_and_target_device" +webtalk_add_data -client project -key build_version -value "2405991" -context "software_version_and_target_device" +webtalk_add_data -client project -key os_platform -value "WIN64" -context "software_version_and_target_device" +webtalk_add_data -client project -key registration_id -value "174150793_174150794_210688225_140" -context "software_version_and_target_device" +webtalk_add_data -client project -key tool_flow -value "xsim_vivado" -context "software_version_and_target_device" +webtalk_add_data -client project -key beta -value "FALSE" -context "software_version_and_target_device" +webtalk_add_data -client project -key route_design -value "FALSE" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_family -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_device -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_package -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key random_id -value "4e917e26-7591-4435-9135-15bd446b0238" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_iteration -value "6" -context "software_version_and_target_device" +webtalk_add_data -client project -key os_name -value "Microsoft Windows 8 or later , 64-bit" -context "user_environment" +webtalk_add_data -client project -key os_release -value "major release (build 9200)" -context "user_environment" +webtalk_add_data -client project -key cpu_name -value "Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" -context "user_environment" +webtalk_add_data -client project -key cpu_speed -value "3492 MHz" -context "user_environment" +webtalk_add_data -client project -key total_processors -value "1" -context "user_environment" +webtalk_add_data -client project -key system_ram -value "34.000 GB" -context "user_environment" +webtalk_register_client -client xsim +webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage" +webtalk_add_data -client xsim -key runtime -value "30 ns" -context "xsim\\usage" +webtalk_add_data -client xsim -key iteration -value "0" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Time -value "0.19_sec" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Memory -value "6076_KB" -context "xsim\\usage" +webtalk_transmit -clientid 1598164678 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" +webtalk_terminate diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..4f752836d9b84f9ef992a67281cc6669e00fef37 GIT binary patch literal 3050 zcmeHHdpOg37$=pYLb--Gb!tsY=|YlAl1rElQ<|u=YF%UqKW1_{QJPIX5+;{Y6uTr* z%4`(!goRv^wx!ydZE2V_ezr56I?w6+bN)Gh`aaM5yzl3|d@rBR^L$lQeqPUQ|H6## z-q-Hu`Yf7dV3vVd24)%fe;K&0P$*{X$F6gJ1mC?jL`6ki85<~V{2zV)YWq*<|NTGz zJWoX>R#gnQ}PaGdYyf*__ldJq?={$in;{!-(-c4(=OwY9#FiM_`F z>EZ$H81l0@`tBmaJly@1Gr$?yoww%2_o&+B<+nWDE!Rpro}jQIyUG#*A6>ZR9MDlK z?T76O9U$elecjbf@_9}`{8bFf#e=gKj8R{-)D z+lxl^jgUkjR=z}_>w4^)nKW(^vi@eN(4~gebL0j-R;(3a*iI5$p?neyc+uj~PrT4J z!~So_WSq3gVHwhB>WvqTJMm&XSR7qiZSy-496X)KX(v9MJb>?ixl9nsDeZJ}HYP(T z%Y14(r+SD6A!?JH$P)f58xY^?*K*UrSY%8ta|j@v63H~z}m2=NjSBb~-R)xC0OWp)4(rc`7Q&!kI_nU1S)sS+Z zCO}gwBW{}hIdyXk$jBYpCoVg$-V7dZGSgEI0#JGJ7e+1}A)Xme%p8&~(8`d->y6B~ zDP8t@`;BdE7la6W>pBKp1#2}LK)s;b7hioqT6XuIva=g+xj9Iku;(1+6a!mNN|t1? z7Dj4FGzgFG#FM3}+2ETH3rWf!ETq*{Nqvb#gYui}sZ%tq^0opvronlHk4u~@tV;*%Q94nk>K_tbOr_opu-*h>o8P%L;FvK2 zcI3ijwwds2@+P|L%$#%Lu3nor9*QHQyl6}@9V#gFoJM5Vzh@(+8U0oJ#UV4-k8@pI z7cisJHoc-{N|mfr)u<85GwCi(Qe|C+(qlvIH*x_Bxe$oKGJF+O=IiKvT0M$&qbO>z zJf%WcC)b&44y!ncPCRhT#2_Jw;mo^1Cv`01gK5{lDv0L!oQt?pE5Xszc{Ksuz2b|W z^4A^+m=mX1+F#zY!wCbs+`VQXg_N>t1F^n_k??ut(v`l=wAS8y!g zbB}w|&Sc(gbNMFdXj}!bnZMI50#qEl3=hw?m{WC)MW`u*bF<%POO6q!4H!{Kq+!Xp zItY5Pqv}7jS(=3Vg>@AaML}rN`#2v)n^k*UbAeSyo;5nSpq}+pau_JFJouuPoQ$ZsK}Qho7%>ss!;X$Z*_$Y8Tn}i9<@fTyEZ-L zMx*76t#TyO*sz!+f9d{}CvEabyRE~l;mN;V7(6DGKmVjHlx|M0`f8vr=U+=qQzei`F M!*aF3s|}z21o)pl+W-In literal 0 HcmV?d00001 diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/Compile_Options.txt b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/Compile_Options.txt new file mode 100644 index 0000000..4f0137f --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/Compile_Options.txt @@ -0,0 +1 @@ +-wto "0a5803efda44405bb28bbf43ba22e808" --incr --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" --snapshot "mux_4_1_tb_behav" "xil_defaultlib.mux_4_1_tb" "xil_defaultlib.glbl" -log "elaborate.log" diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/TempBreakPointFile.txt b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/obj/xsim_1.c b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/obj/xsim_1.c new file mode 100644 index 0000000..777adcb --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/obj/xsim_1.c @@ -0,0 +1,111 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +extern void execute_4(char*, char *); +extern void execute_9(char*, char *); +extern void execute_10(char*, char *); +extern void execute_11(char*, char *); +extern void execute_12(char*, char *); +extern void execute_13(char*, char *); +extern void execute_14(char*, char *); +extern void execute_3(char*, char *); +extern void execute_6(char*, char *); +extern void execute_7(char*, char *); +extern void execute_8(char*, char *); +extern void execute_15(char*, char *); +extern void execute_16(char*, char *); +extern void execute_17(char*, char *); +extern void execute_18(char*, char *); +extern void execute_19(char*, char *); +extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[17] = {(funcp)execute_4, (funcp)execute_9, (funcp)execute_10, (funcp)execute_11, (funcp)execute_12, (funcp)execute_13, (funcp)execute_14, (funcp)execute_3, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)execute_15, (funcp)execute_16, (funcp)execute_17, (funcp)execute_18, (funcp)execute_19, (funcp)vlog_transfunc_eventcallback}; +const int NumRelocateId= 17; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/mux_4_1_tb_behav/xsim.reloc", (void **)funcTab, 17); + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/mux_4_1_tb_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/mux_4_1_tb_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/mux_4_1_tb_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/mux_4_1_tb_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/mux_4_1_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/webtalk/usage_statistics_ext_xsim.xml b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..11d6577 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/webtalk/xsim_webtalk.tcl b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/webtalk/xsim_webtalk.tcl new file mode 100644 index 0000000..92a12f3 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/webtalk/xsim_webtalk.tcl @@ -0,0 +1,32 @@ +webtalk_init -webtalk_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/webtalk/ +webtalk_register_client -client project +webtalk_add_data -client project -key date_generated -value "Sat Feb 16 15:07:46 2019" -context "software_version_and_target_device" +webtalk_add_data -client project -key product_version -value "XSIM v2018.3 (64-bit)" -context "software_version_and_target_device" +webtalk_add_data -client project -key build_version -value "2405991" -context "software_version_and_target_device" +webtalk_add_data -client project -key os_platform -value "WIN64" -context "software_version_and_target_device" +webtalk_add_data -client project -key registration_id -value "174150793_174150794_210688225_140" -context "software_version_and_target_device" +webtalk_add_data -client project -key tool_flow -value "xsim_vivado" -context "software_version_and_target_device" +webtalk_add_data -client project -key beta -value "FALSE" -context "software_version_and_target_device" +webtalk_add_data -client project -key route_design -value "FALSE" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_family -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_device -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_package -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key random_id -value "4e917e26-7591-4435-9135-15bd446b0238" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_iteration -value "4" -context "software_version_and_target_device" +webtalk_add_data -client project -key os_name -value "Microsoft Windows 8 or later , 64-bit" -context "user_environment" +webtalk_add_data -client project -key os_release -value "major release (build 9200)" -context "user_environment" +webtalk_add_data -client project -key cpu_name -value "Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" -context "user_environment" +webtalk_add_data -client project -key cpu_speed -value "3492 MHz" -context "user_environment" +webtalk_add_data -client project -key total_processors -value "1" -context "user_environment" +webtalk_add_data -client project -key system_ram -value "34.000 GB" -context "user_environment" +webtalk_register_client -client xsim +webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage" +webtalk_add_data -client xsim -key runtime -value "20 ns" -context "xsim\\usage" +webtalk_add_data -client xsim -key iteration -value "0" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Time -value "0.23_sec" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Memory -value "6108_KB" -context "xsim\\usage" +webtalk_transmit -clientid 2046978020 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" +webtalk_terminate diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..d91a5969c514f2007895b525325f94eb293fd763 GIT binary patch literal 3187 zcmeHFX;jl!9%T^)tb)*htdUIws|XCTLpp3)mOw#b0RcrzktN0`L?DTv3@8dBU;`3T zL5LV71B$F6>`5&}M&_?e z(e+FJ1)o6v%5Qhu4s1KH?ZCDJ|6d16005BgKc~w5S$siNQyH0El3G);h5w=ZFWLWW zpF#dDN!lqRgOcTic*p5_sOn9k`dp7wamzIZvYC*U(y7(z&Th+trw^nX8sD^YpRIGY zI?N=XScuDzG`eO(q{qLlXoYZsIo8Z7FGRe~Y%^n43_BO^%e6c!6ra2?5c_Kc z)P~u=dd@1dp$VT5=u08O?}U??`aPb2ZSgL;8O=D9P@SyR1p+`Wj9zZx!?J-`o7MiQ zmcR3JKuYS@`LwWmGdx_qSHcgEl18|_LUU1s5KH33qcVD|nK4#v<73N}`wSb;3T|CB zWKAs(XZNzHXJqlOp6C9vX`6W=l!!!N@VW{=X>fm ziYj-meOluDEa;GrDs_3Wc_COL8HqkZ_1Ud*XEPMdtkr#+W=W>%T#@#kj8^FgTQkfe zYy=zGJ5#x#Afd6%>;Wn5__ga{r(^HFPr zR03_dnF{IMv*LB?WXlZ>VAlueuW$}ci!{SBvPS1(dj|tzP1M$((Cvqm6m^9dOwWR| zXR=CuJ{flDWp)!YnSZJsx)rywS3-uTlFQajF0ZUA=OhNOLilL&jS50~a{IUZjm6vH zFfJ%8WA~6zmVeZ{&rD7`iA|;*V zpI00LC*5`e-25$&OS>uA?t<3MJ;R?5z7?>p zN7U?ywr=WxW`9>?kiYM4KL-9z=~(qvBVWG)bKbEyYMW{3iEIM{ysU=^# z+1IV~A&wBO$WBDulEiwTA5xNLCl9QD)l2!n(>9O!=iPDh&wWQpjAElKLUU>KskKLi zM5|Dhp|oLJ_!_7Uhuv??h?iv8>icnz1TbW_1vZ-f87BnRRr*{+2UdZ=6%IOsg>65T zrRWoUK{-?;)~bLCWuq|Q6Hg~^u3HXa=Zwm+;6)%^v_Z)uMqC0J%2_`FEI}Vf>km@f z#*kEYrX4K)z_67N#)bHvDn)>?%G2I09X`1n1 zyNZuk#|+S<6UglOe@z|9Uh1x@d(Q>?@6&MyA0VjXBl@-RS|mo(2|tl|F#Dk0ghyaX z9>qVdPx1*ECi+5E0jC?@i;`KfA96%87kI`L>^*hrfUryw_|PbcTS(BDHt9#}jdGD? z>pc5%TkmA@_q#FF_Z}c8ZG2Yk)9EJXU#h}I=1*80cQ|0`30GhA^|!;!a6P1dqb|{)4CMV4~8cDY|b^r+RxV@ zNFAZjT#eTmsg@&W9bc`Ej&&XW;O{Uwf92DftSM=!q_(*LEt6Q?S>w1N_lgl%@|y5X z1=5VzseN?s*NEr+&+^mpeReTpMFshJ#}b(}@m%|QQHx`VMWJ$MIo^!sr;ztDig-hD z)m|O4R1%dGLdi9aIMk?K@z`-eLm>aF8zplX-ZuuVdqZp^4dmSh4|Ks`uthRKnG!S`I +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +extern void execute_4(char*, char *); +extern void execute_9(char*, char *); +extern void execute_10(char*, char *); +extern void execute_11(char*, char *); +extern void execute_12(char*, char *); +extern void execute_13(char*, char *); +extern void execute_14(char*, char *); +extern void execute_15(char*, char *); +extern void execute_16(char*, char *); +extern void execute_17(char*, char *); +extern void execute_18(char*, char *); +extern void execute_3(char*, char *); +extern void execute_6(char*, char *); +extern void execute_7(char*, char *); +extern void execute_8(char*, char *); +extern void execute_19(char*, char *); +extern void execute_20(char*, char *); +extern void execute_21(char*, char *); +extern void execute_22(char*, char *); +extern void execute_23(char*, char *); +extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[21] = {(funcp)execute_4, (funcp)execute_9, (funcp)execute_10, (funcp)execute_11, (funcp)execute_12, (funcp)execute_13, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_17, (funcp)execute_18, (funcp)execute_3, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)execute_19, (funcp)execute_20, (funcp)execute_21, (funcp)execute_22, (funcp)execute_23, (funcp)vlog_transfunc_eventcallback}; +const int NumRelocateId= 21; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/mux_8_1_tb_behav/xsim.reloc", (void **)funcTab, 21); + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/mux_8_1_tb_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/mux_8_1_tb_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/mux_8_1_tb_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/mux_8_1_tb_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/mux_8_1_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_8_1_tb_behav/webtalk/usage_statistics_ext_xsim.xml b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_8_1_tb_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..6d69751 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_8_1_tb_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_8_1_tb_behav/webtalk/xsim_webtalk.tcl b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_8_1_tb_behav/webtalk/xsim_webtalk.tcl new file mode 100644 index 0000000..f246fe5 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_8_1_tb_behav/webtalk/xsim_webtalk.tcl @@ -0,0 +1,32 @@ +webtalk_init -webtalk_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_8_1_tb_behav/webtalk/ +webtalk_register_client -client project +webtalk_add_data -client project -key date_generated -value "Sat Feb 16 15:07:40 2019" -context "software_version_and_target_device" +webtalk_add_data -client project -key product_version -value "XSIM v2018.3 (64-bit)" -context "software_version_and_target_device" +webtalk_add_data -client project -key build_version -value "2405991" -context "software_version_and_target_device" +webtalk_add_data -client project -key os_platform -value "WIN64" -context "software_version_and_target_device" +webtalk_add_data -client project -key registration_id -value "174150793_174150794_210688225_140" -context "software_version_and_target_device" +webtalk_add_data -client project -key tool_flow -value "xsim_vivado" -context "software_version_and_target_device" +webtalk_add_data -client project -key beta -value "FALSE" -context "software_version_and_target_device" +webtalk_add_data -client project -key route_design -value "FALSE" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_family -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_device -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_package -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key random_id -value "4e917e26-7591-4435-9135-15bd446b0238" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_iteration -value "4" -context "software_version_and_target_device" +webtalk_add_data -client project -key os_name -value "Microsoft Windows 8 or later , 64-bit" -context "user_environment" +webtalk_add_data -client project -key os_release -value "major release (build 9200)" -context "user_environment" +webtalk_add_data -client project -key cpu_name -value "Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" -context "user_environment" +webtalk_add_data -client project -key cpu_speed -value "3492 MHz" -context "user_environment" +webtalk_add_data -client project -key total_processors -value "1" -context "user_environment" +webtalk_add_data -client project -key system_ram -value "34.000 GB" -context "user_environment" +webtalk_register_client -client xsim +webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage" +webtalk_add_data -client xsim -key runtime -value "40 ns" -context "xsim\\usage" +webtalk_add_data -client xsim -key iteration -value "0" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Time -value "0.09_sec" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Memory -value "6100_KB" -context "xsim\\usage" +webtalk_transmit -clientid 1575132653 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_8_1_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_8_1_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_8_1_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" +webtalk_terminate diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_8_1_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_8_1_tb_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..427de316f003c47bdb5c500fa1a576c60bc23f55 GIT binary patch literal 3327 zcmeHHYc$*09<666rL-DFn<*vJGRW-=^@?iiG2TU(5>$JQ))e)cRK;IuqaIQ8(r}5? zHQpV@ZR+IY2*Ouz| z3E$nFzjOZU{vO(MV9$X)2lgEJ|2j}45{Y)kXI071#qN9%x^EvyT!Y19<3D)+Mf;x+ zx%;0>iN$V9Ec-g$R70r4pSz>|sI*oYh;8olbch11npDDkDWF%wKx?KdKXko;PH7>wB??z#V+1vN5 z0XCl1j}n^EN$(4Oc(>G>d%6WW(XaBrT)qY;GnH5r-eQ>7SLFqx+(y;65yG zh`y_$^)!8h^|h-~Y+)1UwfTqk=kRhrZC?|lo8qWHI_J14rFjayAWbqMv@rXhc@r`V z-4YC#!}MnXvc`n`vFP#uUcoI!+A;;D(>Be6nPNN*ZWon=Ox5!IfXO*qm7!yKE09IC!~aavt)Ny4we!e^ag7;=~+X zr4Yi~w_^`SOpP@7GGaI6kKV1QP^oN*$4_z!Cj_>W7~B4Nv*ecw{SfeIfFjDv9>P`J z-t7kGWVd=_7r86)@d@0_T0gV(PBv#NO854^M;YIy9Dcacr9|!wMwNlOEd{^o8Wdu7 z3j9ffuU<-BD;6G6d0ge>3Q=cqf2AWnB*7?JTPE{Gs~!1)<=ZeZ7G=+7DJWw(v~=bB zpl<&Du81SY)mi##6m3i6d7o3j0@xI|@@~-@hEx-;E7u6=J2ahi$RRPzIxTEk?JySU zP5alUFtgyLoJP#VGbam?w2x|93L3jV>_Blp`j$PS@#>cMO9Ax2)bWXO&f^uX5gZq*yc8%*&=qD zBh}6Ke_ry@e=hOFNB^xD&YStG*fsSvWHv#5y?arl`r-Y+<3{fZUceG}BBW!t&$#w> zRI!)+oz7BwGYp?O&a1K zUG@VPSqe%3bkv;K=Ug*>QJW9%soo!MtIGSO*E_Rxf7k~62`pHJ4dd937)7_qhMcx= zSk;+O!a4CK*tsblJUb@$pJ!24~RJb3@Xv?tz^1OzW164&d&{M&U7E zgav45-Dz!t;#@!+W0JIt8mhX&G%c~+2ToR;J#W~fO$tsf>iOx0bQ~)*a{I;AM7L(-0) +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +extern void execute_3(char*, char *); +extern void execute_9(char*, char *); +extern void execute_10(char*, char *); +extern void execute_8(char*, char *); +extern void execute_5(char*, char *); +extern void execute_6(char*, char *); +extern void execute_7(char*, char *); +extern void execute_11(char*, char *); +extern void execute_12(char*, char *); +extern void execute_13(char*, char *); +extern void execute_14(char*, char *); +extern void execute_15(char*, char *); +extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[13] = {(funcp)execute_3, (funcp)execute_9, (funcp)execute_10, (funcp)execute_8, (funcp)execute_5, (funcp)execute_6, (funcp)execute_7, (funcp)execute_11, (funcp)execute_12, (funcp)execute_13, (funcp)execute_14, (funcp)execute_15, (funcp)vlog_transfunc_eventcallback}; +const int NumRelocateId= 13; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/nor_1bit_tb_behav/xsim.reloc", (void **)funcTab, 13); + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/nor_1bit_tb_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/nor_1bit_tb_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/nor_1bit_tb_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/nor_1bit_tb_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/nor_1bit_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_1bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_1bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..0f0f9af --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_1bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_1bit_tb_behav/webtalk/xsim_webtalk.tcl b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_1bit_tb_behav/webtalk/xsim_webtalk.tcl new file mode 100644 index 0000000..e66ff1f --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_1bit_tb_behav/webtalk/xsim_webtalk.tcl @@ -0,0 +1,32 @@ +webtalk_init -webtalk_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_1bit_tb_behav/webtalk/ +webtalk_register_client -client project +webtalk_add_data -client project -key date_generated -value "Sat Feb 16 15:06:54 2019" -context "software_version_and_target_device" +webtalk_add_data -client project -key product_version -value "XSIM v2018.3 (64-bit)" -context "software_version_and_target_device" +webtalk_add_data -client project -key build_version -value "2405991" -context "software_version_and_target_device" +webtalk_add_data -client project -key os_platform -value "WIN64" -context "software_version_and_target_device" +webtalk_add_data -client project -key registration_id -value "174150793_174150794_210688225_140" -context "software_version_and_target_device" +webtalk_add_data -client project -key tool_flow -value "xsim_vivado" -context "software_version_and_target_device" +webtalk_add_data -client project -key beta -value "FALSE" -context "software_version_and_target_device" +webtalk_add_data -client project -key route_design -value "FALSE" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_family -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_device -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_package -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key random_id -value "4e917e26-7591-4435-9135-15bd446b0238" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_iteration -value "4" -context "software_version_and_target_device" +webtalk_add_data -client project -key os_name -value "Microsoft Windows 8 or later , 64-bit" -context "user_environment" +webtalk_add_data -client project -key os_release -value "major release (build 9200)" -context "user_environment" +webtalk_add_data -client project -key cpu_name -value "Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" -context "user_environment" +webtalk_add_data -client project -key cpu_speed -value "3492 MHz" -context "user_environment" +webtalk_add_data -client project -key total_processors -value "1" -context "user_environment" +webtalk_add_data -client project -key system_ram -value "34.000 GB" -context "user_environment" +webtalk_register_client -client xsim +webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage" +webtalk_add_data -client xsim -key runtime -value "20 ns" -context "xsim\\usage" +webtalk_add_data -client xsim -key iteration -value "0" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Time -value "0.06_sec" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Memory -value "6056_KB" -context "xsim\\usage" +webtalk_transmit -clientid 1409759586 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_1bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_1bit_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_1bit_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" +webtalk_terminate diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_1bit_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_1bit_tb_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..f8a0ae71dfc363a34545e194c1b5eb71bd641dc6 GIT binary patch literal 2832 zcmeH{X;cze6vs_7#_6P4retJRZiTr7jk%0yI@x5K=5pL16D_kcR6i z0~{U2(OgPM%TUV&CDf)+Dg&1yTu@XHhR)bI(|nmP^U?RtJ@?-CzxUnqejlES%D1a* z@85axvIj!H)mO3=0xJYo2&@qJe+k@GC=_4p>#eF^gJr+oR7C}#jP;Zz{zu)v%KkG2 zE&t~_>MAO+tAzgU=eKz4B%;#P_yyAuNe9$)*8dvz>Dh?R4+$ln+dZ5Ny^O9?j=gJES66Rw8>BJ+y{fH2Ns-n?&Q7RP7r3nxWgY(8cFqV~Q?;l#+Dp*J z1S%p22%>EWNYlPba#XMG<;wlgO7Y!g8s6=K>=m?TPP z(z!BV!7u#u*mHCn0>F+ni)JrgOMDvAfMp!!CU_nq%{Nm{!dn|AsGv9P^{#f6r0LqI z!fThWN{F&Y(D{!WNa}&a4~ynzXum`e3vD7QFcNqUlDRCS!R)E#aVpp652i=KMyW}= zPg-(WI|DiC7~CvbI?L%s>-$E-_!Eb>g=~ES%XlVDNPL?x7;ky$|Ct00B6K!u2wT5;!btFWbuRJhm;DHL~Ru)B^j(%l(+Vi!eSbKwI)vY zHJ*^FzE^V|qC0L@b~+1}ulZ);c$=Hb+hPelMEu!=!SeJh8+;EeKwHkjccv3BvO7Ve z2P~`HBw51+8tsdo_PYK-_yp(NN58jD#b?m@$GdSuE;F_V*9$7li-bnv!yIF>f?nU3 zkISgi6TAxHYR$u208*Z@11Mgso;c)ONM~*BSl;t6+iIDh?Z^C42A$OGbQ@u1t>qSY9yupk_0zbeJ2pcwE z@mOm5iHUf;Z!rlas7zLHmFSv}PJ+M85GEsP9IOPA?;eLM$?~?(w<=HRiO_yONq};8 zJagWoQ!PcY%@J92vaLhWu!|JbD-Q_F?J(rzp$n7sH$U|8I*$vH0ak0;>1hEAH?bEC zjHhPERbi-%P71I0dEbt$>iYue$8-=&cIA2?anYX542$s~GD=3TsPGTWePNg}2v)F= zF*)!{y;AO#z5rv!=pk(w{$sf=%jv$Eb_FK(2okikd%|-6SRuY;Z0Kh!z8EEwRW5hG z3;^XTa_grozpna-HJ(LMrRBU!)Iip{k#b-DD&yGa-Dt2et>m^4Yj1vH z_C;F7rpOJbQ1+dgx)P?a6unuvmn +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +extern void execute_12(char*, char *); +extern void execute_26(char*, char *); +extern void execute_27(char*, char *); +extern void execute_17(char*, char *); +extern void execute_14(char*, char *); +extern void execute_15(char*, char *); +extern void execute_16(char*, char *); +extern void execute_28(char*, char *); +extern void execute_29(char*, char *); +extern void execute_30(char*, char *); +extern void execute_31(char*, char *); +extern void execute_32(char*, char *); +extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[13] = {(funcp)execute_12, (funcp)execute_26, (funcp)execute_27, (funcp)execute_17, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_28, (funcp)execute_29, (funcp)execute_30, (funcp)execute_31, (funcp)execute_32, (funcp)vlog_transfunc_eventcallback}; +const int NumRelocateId= 13; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/nor_9bit_tb_behav/xsim.reloc", (void **)funcTab, 13); + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/nor_9bit_tb_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/nor_9bit_tb_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/nor_9bit_tb_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/nor_9bit_tb_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/nor_9bit_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..a0e8de4 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_9bit_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/nor_9bit_tb_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..670c59973373b2bfc8d4521fe347ee01e59fa4e7 GIT binary patch literal 3507 zcmeHHd05if8n#WJEH$@L$AxZMIF)6ijV2D=5> zCbLmNA}w%5LU9`nbI(*zC~?gN5f|Wh>ACehckVy;pZjO$JZE{I@BPkq&ij1dQBe46 zn>zJxJpN@(b^NRS8~UceHwC^a@J)gLuL6$&0Qfwvni{MgI_xnran+Wor5ryMhu&6nDylvtr~Nja(zIXDW<(X)Ag z`Z)2}9LcVrcH4yw=2_tVY!TN&T(rls7lXI-G+wy{H_f)CuxVN1IoJW_yCc;5>m^T+ z>O(dsMuBqz7@wVbm)!pcrp&pl5P5Y`GaEj zilzIa@@Y_1{m>FCmVOISLRO2ae(^@8z)K`e&z6lUm>+1P_fnF?rG=%{c9vBY3F{}H zFg9$>u8Rj`cHAF5;4CCs&Na{cMx5{ncyHdERAsvO+shn|79Q-6S_agoRV6xD&Be7Kioz%iX|-Xh?qD9>v0v+BPhX-)-TSQ8uhtCJ^yfr zXom-u5Z-e_PerpDa$-3ag_SSeH;N|ef^_kkgO?`3nFmR+^gdXxEn8AzJU!FpI(zai z@M_4JhU+C4ESGiCajRH`TfXhd#A;5sn(R>xECluZ?{(FVzc1SN@wJsU^gW43e1t#R zEYb=nN#ND){G&$)yF25lSR-3**W(inRT?3Cz20UK9Ga_UGW;#wQWF@*f}seGo&#Qe z8z!6AcEPVmcdbAh)4IfZJ914*^OpJWGBoO~+WucnacXxR52L`4=%#p$i zs!q5#2;)^8NP}Lep*0g_z3EXm7>Drc2!!0c2i~q)+26T2ainMSw{hxj|ESj$5Th&8 z$D`D`tACEY5;hte84yQd7hyL$2HX^Nyq|T)hf_$kx9!HZ1{vl>jW7OLgztd6&CLEh zG70F?pTB1EZv#VE&K71YSI9ZL%CIEr*@&L!OP$6#9R*8eK#gl&q+yXSocOv|@5yl! z{Z9@Jtq-q#NGSux$0KV2d>Vk-Er zUR!qo#({cEG%o?n&jBGVK#tt2K+3-bWkkknc)meDO`k6#;hm%cBnugnMN4nyO9{nU znFja`HC3aWO~yG1=5$1(PAq*r`DWiwlODtuS!F*8M33 zzo*Ufq(eYU8*pR>W*y`I3CQLFvx!1_AL?)GGKwI2U#Xiso|^Z5748`+!{Ms@j^N^G z$-5Xp1hRL+ot7#o;X9>jEWm1q07b918^%Bx{IJ8PFTdoDyFu8sKLQI{YmC)EnV*-p zY@B8+c^?C4DHo);GC?i(WnyQD72lU7jqvR@=m=|=md8)_pGR*b9}Jda}E z!pctamcoPB+=+vycjWg78D~O9$5+%HKG4&|hOQYKUZARtoKzX!#AspCiP7wlrfm6i zL#HeQVOS;8aQJYlMSMbD8Jk)Rro4QPx;1=`rE{o}BS&1y+Dn4ZJ=W`bf{jbRdYk69 z1-lj%7%%493NY?92u$;UAS}zY6_ZlH)*i|0I^dUS6{3vJ4l0k{h;hN$z$EifAq1X2 zWqZ(mE!lk7fQ|lVxwB2r_gUmt61f?ndze{z*G|riOS#}jCl`x#b~cG0b<9Mpl3WZa zI$X(NV}he7>nUe|;Y$xM79X9yzo3~tFwy0nXXA-5YkcU_s_OLF{)9{B=oLp3OPaZP z=RH#_TtjB8=}}an$FfRD95YDekxnp=+vjbpXl=!-U>fVtN@UcgAZH$USBe?74XNp; zffpzf%=%k=O_AJ5dVzJ=qBq6=CCewNZKKSIxz5raALYf&M;lP2I6jpd*{}-14WZkX z7W3uP`vvPpUvyjM4md7X`U;-Z(nJe^K0sqoftVM?_2zqa-%%P{CkNEWJE+VJKNKe> zG#VBuFB%PB(KWpzq8+bR%;eNH%5Dguj$DPhXD!!J2H z($1(~s`GWUTKkFL^{oE4mMZD4P}PyVe*q)G BRowsp literal 0 HcmV?d00001 diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_1bit_tb_behav/Compile_Options.txt b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_1bit_tb_behav/Compile_Options.txt new file mode 100644 index 0000000..deb17ac --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_1bit_tb_behav/Compile_Options.txt @@ -0,0 +1 @@ +-wto "0a5803efda44405bb28bbf43ba22e808" --incr --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" --snapshot "not_1bit_tb_behav" "xil_defaultlib.not_1bit_tb" "xil_defaultlib.glbl" -log "elaborate.log" diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_1bit_tb_behav/TempBreakPointFile.txt b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_1bit_tb_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_1bit_tb_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_1bit_tb_behav/obj/xsim_1.c b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_1bit_tb_behav/obj/xsim_1.c new file mode 100644 index 0000000..65f3535 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_1bit_tb_behav/obj/xsim_1.c @@ -0,0 +1,106 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +extern void execute_3(char*, char *); +extern void execute_9(char*, char *); +extern void execute_8(char*, char *); +extern void execute_5(char*, char *); +extern void execute_6(char*, char *); +extern void execute_7(char*, char *); +extern void execute_10(char*, char *); +extern void execute_11(char*, char *); +extern void execute_12(char*, char *); +extern void execute_13(char*, char *); +extern void execute_14(char*, char *); +extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[12] = {(funcp)execute_3, (funcp)execute_9, (funcp)execute_8, (funcp)execute_5, (funcp)execute_6, (funcp)execute_7, (funcp)execute_10, (funcp)execute_11, (funcp)execute_12, (funcp)execute_13, (funcp)execute_14, (funcp)vlog_transfunc_eventcallback}; +const int NumRelocateId= 12; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/not_1bit_tb_behav/xsim.reloc", (void **)funcTab, 12); + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/not_1bit_tb_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/not_1bit_tb_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/not_1bit_tb_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/not_1bit_tb_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/not_1bit_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_1bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_1bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..aa937b6 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_1bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_1bit_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_1bit_tb_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..9ae0930d59c2670b71ab222603fdf9f8fa7445c9 GIT binary patch literal 2750 zcmZQzKm{E7P(G9{FhG?b<&B2GXb6mkz-S0iHw5PV|NkF^VWu*{7*JY3oq>TJ$QB3U zFG%Xplo8~XK+E$(EDQ`4jBlgP=S#ba%(GBgsM)$?e< zEDVzg-?o`|wN>HkQfV$HBPU(=cOB08wgnGwIP=>o6h3muJiYPhm3jtEjwOexjX&q! z+xEI*@w$~#Z#`aD{=3)z^V#j0cfay4-FE$-sBaomU8ln~_7%^YrN0{RPWaGOGjqDY zG$|+Bcd0@j^laQyawhfu$+P({=XdH=??S!rMp71^Yv_Qdq<7k4#)e? zH|EvX%zAJ9-q?8cm-RF3zZ-E^?7y~FM!T2S&hq?|*A7y=sXkG*8~rWHcVAzgEMIKkQvaj$b6WGGe@h;F zCHlxJYwRjy?_D7KW1+{*iO)D!AAURgwSDZ;ll87vJ4}N2a>99NtH~ zUyJK!Ol9u*yQ97EhT>~>wtrcFxX=Dq`WU~!V%?&j(Q}G_U##filVvrvu~A{murvA0 zaKx^UHGa)*{m%F9Ey?@5bXOOz>f7>v?f#!_l36c5K94$X_R-4uf_6!UtnNHr#n{N{ zQ>^mV@hx2@cck=4)xm#H_nu~dUD2oIx^wa3)n}i2_vZY3cD0BV2IF{G*Tvu7b3E(V zibi^y3csJf6MJT`;(9S&p!1~|D9=lcekRE!Q(&2U61C!ldNqld=mFCeq&w2oBQg2 zx+Af&Kqcnj@N1=9Ss5PxQZtWyI;=49{HHfPQu9(D{gh?h>(jn2t?)_e<@`@6 ztDW5)dHGJuPqq7bb`F1!_)j(7PZwT=2MWJpZ+fxbxGjHv>C~D?ysJud8Q`?Bl3Yk8;wkm!!t_t>u$l@?IgkW!uN>Ir&d!n+R_IKI5ywI<+hMEFVil z!?#+zO1b>{)Gm=|S*sVtpB~I`J9GMxaLvu*)f>XA9T@Y2E}lP>P+Db~VVQk)TlgB* z-1V`av;Hl=db+P{>-v}vQ?KX61t>YpjasZUDB +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +extern void execute_12(char*, char *); +extern void execute_26(char*, char *); +extern void execute_17(char*, char *); +extern void execute_14(char*, char *); +extern void execute_15(char*, char *); +extern void execute_16(char*, char *); +extern void execute_27(char*, char *); +extern void execute_28(char*, char *); +extern void execute_29(char*, char *); +extern void execute_30(char*, char *); +extern void execute_31(char*, char *); +extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[12] = {(funcp)execute_12, (funcp)execute_26, (funcp)execute_17, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_30, (funcp)execute_31, (funcp)vlog_transfunc_eventcallback}; +const int NumRelocateId= 12; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/not_9bit_tb_behav/xsim.reloc", (void **)funcTab, 12); + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/not_9bit_tb_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/not_9bit_tb_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/not_9bit_tb_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/not_9bit_tb_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/not_9bit_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..87748d6 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_9bit_tb_behav/webtalk/xsim_webtalk.tcl b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_9bit_tb_behav/webtalk/xsim_webtalk.tcl new file mode 100644 index 0000000..aa20668 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_9bit_tb_behav/webtalk/xsim_webtalk.tcl @@ -0,0 +1,32 @@ +webtalk_init -webtalk_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_9bit_tb_behav/webtalk/ +webtalk_register_client -client project +webtalk_add_data -client project -key date_generated -value "Sat Feb 16 15:19:42 2019" -context "software_version_and_target_device" +webtalk_add_data -client project -key product_version -value "XSIM v2018.3 (64-bit)" -context "software_version_and_target_device" +webtalk_add_data -client project -key build_version -value "2405991" -context "software_version_and_target_device" +webtalk_add_data -client project -key os_platform -value "WIN64" -context "software_version_and_target_device" +webtalk_add_data -client project -key registration_id -value "174150793_174150794_210688225_140" -context "software_version_and_target_device" +webtalk_add_data -client project -key tool_flow -value "xsim_vivado" -context "software_version_and_target_device" +webtalk_add_data -client project -key beta -value "FALSE" -context "software_version_and_target_device" +webtalk_add_data -client project -key route_design -value "FALSE" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_family -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_device -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_package -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key random_id -value "4e917e26-7591-4435-9135-15bd446b0238" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_iteration -value "8" -context "software_version_and_target_device" +webtalk_add_data -client project -key os_name -value "Microsoft Windows 8 or later , 64-bit" -context "user_environment" +webtalk_add_data -client project -key os_release -value "major release (build 9200)" -context "user_environment" +webtalk_add_data -client project -key cpu_name -value "Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" -context "user_environment" +webtalk_add_data -client project -key cpu_speed -value "3492 MHz" -context "user_environment" +webtalk_add_data -client project -key total_processors -value "1" -context "user_environment" +webtalk_add_data -client project -key system_ram -value "34.000 GB" -context "user_environment" +webtalk_register_client -client xsim +webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage" +webtalk_add_data -client xsim -key runtime -value "35 ns" -context "xsim\\usage" +webtalk_add_data -client xsim -key iteration -value "0" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Time -value "0.01_sec" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Memory -value "6104_KB" -context "xsim\\usage" +webtalk_transmit -clientid 61314925 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_9bit_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_9bit_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" +webtalk_terminate diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_9bit_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/not_9bit_tb_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..3363def00e7e08a67d1c194b44c958b2d8dfdbdc GIT binary patch literal 3398 zcmeHHc~lZu7uPXulrpDMF$Zn3($qA@6aw5zv(eI06L&-0cPe+q$z{q7Q;IMV8m(}R zwA3smEEUs|$qkbfl1WW17hFMM?3+60JN@(h^ZnU-=bn4-yZ7C9@9*6Eq@=!Ch=27J z_`m$B={NT8>URXbBk&!8?+E-~5gtH|Sod^ieB~fHT~+?d(j7Cdw>#IdoWIZ3Zlc`wSlWZ0!!mj+C5+41`njaB#2AhF zx(nNS2TIwA95R$8*l&_=?Bd-PCug@pDOY8Vij9Rk#142H?JBT&r z$eOOD!ee@mu8rCj2$H#Z`b27V=HCZmE9wA(bjn8$jvmn;(O0jWSBeNf8xX-d!&0Lb zU?lwby!kCqS*W=u$0qw)O?5O_9Mw2J6@;oTHU0Hx`*oN} zRYHHRke4&KevmWQt`eALaR>0~1QzP`@Mo2v2h554Gp&?fk^ii=_2t_+%52n~jFu^Q zQezrKwz?~BcQiXvh}bKKEo`G5Mu2B;c&ruThbX`mJ$>xZY%7B^gERwgoF61eBA)M% zdHQUWxy2zzXy1gDfG0%x6CAyz4#y9nQF>NhMH6Vx`s38bRa?FJe4je|bVdtd^}HA9 zYLaMEWC_aTMrI?Y7t!QuEK1pbH_;C(I)z1Ok}UTUyS=KK23c-+HsM$T=p&)Y1Q4Ga z7DC=?FB10Y)+%HSJPIQQlJNRe>{7Q8H0V8h4*de61!d>%PzS1AM6c(d+3&r>FOJ0k zpOTGX-E=K)5KY;2RXu5JBmjM;drLLu%`Gj~5i21t9cdHwS0{}hSi--`TT8|eaQ=db zu#>m4d|6JiZZbdemnW-X7F>h%C(i{xX&&zj+Ui|ebmVk@bZcGu`wx^RSZbOJ7G$mp zqNtLdKJT9G;0^qOq%SzDB$9RCoZFkjeO=cs@uJ}>H@TD=$3+=lKvhgV8dRuTv!UU5 zx32pz4Xv2rse%OmjIy91fwSd+)U%c9$EP+!Cwnn<3{?*s5nj@V`Qn>a!p}|>JT`d6 z*vRhI8Rb1WT*BfIX4G_MHLjRpYmx?(z4z+`fnQ2DMn~J;iaeaE1V^a&pS5 z_Gz0$a*)PdMSiSM5p-aQfMlxwryipC8ONFZT1=Q@Z~J;H6GxNB8pAca8sqDe>r5TY zD#lR2r=Q@_SIEoFu+h1bxdS|7_)TLsFB{Pw_-ga#G_zQ&xR`c1P^^OYV+h75!i>-y zIdH&QG5?3J8(?uM6WPgj-4eKFU|RM%azT_Ep*=Re{l{f-XAf_v!dujH*3FCZF$G5w zy8Cc5Teh?6_3?jb%VCXwD~|<|fpLiJ`+ggZ%AbMXVsf?k6eaZA2bFW`B=KT-GoNW{ z*42khSZh6(nTRC(_Iw9gOx-rQ200P&dPqf}f9Urauq zoipZC)25@&Lr3wwskYWGHM@%2vh7%&sngKgi~cr$69ZZ5FqYyA!SBF#ZWh)?(Dg~D z8v?7)&*N0yxDckr>~$JRdI9DVAkp;Vf*EiP^8!9ABD4n6NyroQ@m6_mqqB3Gk$v)H$f^mX z&;eVKU7j|Q)PmY71nhYyQdS6kD2>tT-i7c*0p^)-*D%YqJ@4+z&OM6o1&m_6-qmHq z*QnU8M+h25>+@H z*vlEs(xo4ogq4qWl%dt2d1JM0VF_iGriCHV8oOSYk1Otff-kp%SGgB!za4do*zcv| zXVmCdYuYajz2v1H(`kz;hMx3gXLvQ>)h0E47wqLbVrsSe+hc4zDuyrKRKhDd#1@B_ zTTxYm9rhJ?-`2W5F~2+Wo^uK3Lojq_;6Ak<}Yao4;71SFt6beAN?CDV-6&Nl*TjXB2k|LdYk<9rA DTWr`L literal 0 HcmV?d00001 diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_1bit_tb_behav/Compile_Options.txt b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_1bit_tb_behav/Compile_Options.txt new file mode 100644 index 0000000..1ba4f8a --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_1bit_tb_behav/Compile_Options.txt @@ -0,0 +1 @@ +-wto "0a5803efda44405bb28bbf43ba22e808" --incr --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" --snapshot "or_1bit_tb_behav" "xil_defaultlib.or_1bit_tb" "xil_defaultlib.glbl" -log "elaborate.log" diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_1bit_tb_behav/TempBreakPointFile.txt b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_1bit_tb_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_1bit_tb_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_1bit_tb_behav/obj/xsim_1.c b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_1bit_tb_behav/obj/xsim_1.c new file mode 100644 index 0000000..3afafb1 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_1bit_tb_behav/obj/xsim_1.c @@ -0,0 +1,107 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +extern void execute_3(char*, char *); +extern void execute_9(char*, char *); +extern void execute_10(char*, char *); +extern void execute_8(char*, char *); +extern void execute_5(char*, char *); +extern void execute_6(char*, char *); +extern void execute_7(char*, char *); +extern void execute_11(char*, char *); +extern void execute_12(char*, char *); +extern void execute_13(char*, char *); +extern void execute_14(char*, char *); +extern void execute_15(char*, char *); +extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[13] = {(funcp)execute_3, (funcp)execute_9, (funcp)execute_10, (funcp)execute_8, (funcp)execute_5, (funcp)execute_6, (funcp)execute_7, (funcp)execute_11, (funcp)execute_12, (funcp)execute_13, (funcp)execute_14, (funcp)execute_15, (funcp)vlog_transfunc_eventcallback}; +const int NumRelocateId= 13; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/or_1bit_tb_behav/xsim.reloc", (void **)funcTab, 13); + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/or_1bit_tb_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/or_1bit_tb_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/or_1bit_tb_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/or_1bit_tb_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/or_1bit_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_1bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_1bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..6733f19 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_1bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_1bit_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_1bit_tb_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..03f679635977ea5fd080668f6ee16a7c25345250 GIT binary patch literal 2844 zcmeHHX;2eZ5atkrpoMw>$`Mdd4iOWU`v7jSAnPN>+2i#1y$-xu;~?#NJs$0v9j2B|ET*{*?*>L zoBz3{jD$p#B*)tkqw2o5zRbbxt-*vacw3rcTI;(9z-(1nSi!Hlw&!F$v))9Uot1?o zSp-WPNXeG_!FFiP2Wu-a0h;Ye`>wd_mRif&(6u6b=Je&?p5l*SZXH&q?NKq1nLIy^ z6UI!b#qoc~1z0$z4j>2jI$f8lzi+Lf0HXpREReA^#bDtY@`C3T*`?}qhX&lVT3T7C z|Ht*ga1m%Xq*kOdNhSTVy`w%;OF0i}XU8(zuL?O^Pk9{rQ*Z4ngBX$yk` zmMhyM)*}VPyJ|LGBJE(23UUy>vtkZ$kR{k)E4^n_0r~kwWMdUOpThA+xR@0X7b?I6 zOU_O%us=L-j;3QJU#-!$gulqT^VbOR(+mlP1x?i+yB50~Rs<12gsFr2uS{8CzKl`2 z986I>=FSm5of`u^5PpKt+(&V#d7YA6mNW_k&s!mgA=+NVl|Z%DV#n*GtE;;G_5BAb zdse4!?CxAHH2Jd)6_z#Wk9qqrH9(%_k*FrP7yF} zY9@YW-RLE1Quj$fwy-M7Q*%Nxu31j~lnK}t>KM=Y7};zuF`C6^LJ~(%4qPocxg~G4 z=s><3H!nvptwf@P9_5W;Q}dUE+nAoHAO-|G{LoFA7cv%ch#Q|yiM4bTK^+t)3y)^b zYV*$b>g280z3TEHCl)JD(*1`N1jq)!D&wF9r3g~U32Lz?{6SS~-Mj+msnX>zzQ+4S zG4f$K8--srKb}}nBn46u(OElJU?@1nK71gTF%bLi`G`aWWGP$)Eqbt)ePyZS-98b zrA^?%6rm_qkdi&pECzlg*<-r3V6Al`N6<#i#lErO?q{PO@M5i_*XjxjzoEkm;38t1 zcmd+w6htPHuXV5P2%MP06w$_bC!3xdu(7sAmMb?0{fTFUG5`|GJvS2aN%*8&u!4YB zMpd~T$TcM$ie7OEWasB#@#B{C65(md$CpI-1}L~@w2I&{XxO`!G+0n$!VOu<$i;V5 zIA(^K7wPm(^hrOAZ7DfvkXCM +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +extern void execute_12(char*, char *); +extern void execute_26(char*, char *); +extern void execute_27(char*, char *); +extern void execute_17(char*, char *); +extern void execute_14(char*, char *); +extern void execute_15(char*, char *); +extern void execute_16(char*, char *); +extern void execute_28(char*, char *); +extern void execute_29(char*, char *); +extern void execute_30(char*, char *); +extern void execute_31(char*, char *); +extern void execute_32(char*, char *); +extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[13] = {(funcp)execute_12, (funcp)execute_26, (funcp)execute_27, (funcp)execute_17, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_28, (funcp)execute_29, (funcp)execute_30, (funcp)execute_31, (funcp)execute_32, (funcp)vlog_transfunc_eventcallback}; +const int NumRelocateId= 13; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/or_9bit_tb_behav/xsim.reloc", (void **)funcTab, 13); + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/or_9bit_tb_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/or_9bit_tb_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/or_9bit_tb_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/or_9bit_tb_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/or_9bit_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..0e2b478 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_9bit_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/or_9bit_tb_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..5524fd4c6ad03ce115f4ad4af343bb4b6dbd7542 GIT binary patch literal 3605 zcmeHHXH-+^7DXvRK~SU&ihzZtB18p+pg}}Xkru*2YE;_1Fp_~tk6{E9G;{%_3&Mm^ zAObqHKyZLyK!`}Mp-2f3LZ}HLxi7q7-g@)?yg%=!_pWc9v+v#K?6vkf=Mxh8`%`rF zPyA{p8ejYS|L^F#1K%C^?!b2k{=W`n0RXUl{_48>uVg3g*ANl{333I&S^W>*f6@LY zG~Kz+6~%>wf_E{ThEVWpO3F@7W%;tiR}q1>#>Vu(A>Z0Nk{3E(YU-K2CPz2=hQajH zd@E{2Lv*`AmKa@aGoq^4L?oDXWx_631O*1OE7-nQZmdm>e@}cOc!?QJk{oI6XyvmEG6ka z_)LI*5TKDAj`-QMz-P*c8~r{u0}K*FP!V}8N|U9H`PYzz?N8K;aK9M3Sj(5#WRE)QhyVrse|(T0Lz&d7C-4?jQ|Q1gf_on6*i56D?QUjJ=KmsRE!1f z=AO#!(w%m)4$rMZrj3RW&iz)GVFZQ39CKKq?al)ZdLg|B>8A-TNQ}fOyOLa@)fcIf z16a8zJ!dCt&HXiO6CbKN-^Z}<5qiz8H=6+uxc&j#T7N8ieAEnk2sDdi zX~x#&iHbe%#CX!xOE$zSc(`op|cZ6->x)|^vOXOPxUGhOm>clWVAM);lC#^(mE3%bmRYoYYzki}=HBV9T zkaodJWH~a0gps<{&&s$R!u)#iesOLAYfjyOT~7yB1mBl~nd_^XL!;CF_+2aGyzCFc zr1woHd-MzGisS}_Hai`$kNN}KM+7QxzoCux*2*~tyi}6U-_v$Z3|l=Wy$w%^FmDS& z-(GCyl%jBW_Sn#ALCw4uMRgVUw5ahC|mm;b8>V%^Dmve0@$dy1e)WZ6+ak6fchI{xA#EB#SK% z2xDr$*!9-+VTtVO!P#mh87fn5%F7Jo-h5Sp7jhec%%(J_z1(0U_LaQJRV9K}4c}dS zL9l$|+-pe*d92rVbmMuD+oQ-9EYOz>ypCE4bDsJKq*M7dX`x+e%FP>{ni$%f>4FNw zHW&M>t1ul$2+gPHnGgr;v2SF}iAzmrC59z1yQZRKw9X|ZZMAsJF!K+6l9DEWZ4_zW z>yPnHlKUmJ*W$kJ5mb2lJha|@Pz~ph7<2&s4(NyYed3M%SxU3wLoF^cA>AX|i%Z71 zHpVA-JfTS^h2ULx!}bEC(rJj<{JuO04b{W{_M$^}|d z{_e6A8hPmay)#3Y&~*2@nUd0}0tFLRkpIY>TG!BUmF?^iC}T}QsrMDs_&EPEu0d_G_1wj;m_bnl^){J4j1<;|5l3P7cgJDB}8a@FR~#wQp#2+Skzi zWJcb+q`z`Hf=qD6G#$C6uy^3&_-Ia4m*tNU_cWT%Jgc&XE#&oEfD6T<&t4t2NFJQP zSXXvYIEz-%Tnn3|0(_@WM41aUGxGXrk1y8V|b8O z=M&^mUg^FPnS$qbxIzXcID8Xg;^Fp=`JT_V= Vm^X-ZNW2Dq&Wo@lttJlS{S&5bc6tB+ literal 0 HcmV?d00001 diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/Compile_Options.txt b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/Compile_Options.txt new file mode 100644 index 0000000..964ef6d --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/Compile_Options.txt @@ -0,0 +1 @@ +-wto "0a5803efda44405bb28bbf43ba22e808" --incr --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" --snapshot "register_tb_behav" "xil_defaultlib.register_tb" "xil_defaultlib.glbl" -log "elaborate.log" diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/TempBreakPointFile.txt b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/obj/xsim_1.c b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/obj/xsim_1.c new file mode 100644 index 0000000..6998075 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/obj/xsim_1.c @@ -0,0 +1,110 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +extern void execute_4(char*, char *); +extern void execute_9(char*, char *); +extern void execute_10(char*, char *); +extern void execute_11(char*, char *); +extern void execute_12(char*, char *); +extern void execute_13(char*, char *); +extern void execute_3(char*, char *); +extern void execute_6(char*, char *); +extern void execute_7(char*, char *); +extern void execute_8(char*, char *); +extern void execute_14(char*, char *); +extern void execute_15(char*, char *); +extern void execute_16(char*, char *); +extern void execute_17(char*, char *); +extern void execute_18(char*, char *); +extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[16] = {(funcp)execute_4, (funcp)execute_9, (funcp)execute_10, (funcp)execute_11, (funcp)execute_12, (funcp)execute_13, (funcp)execute_3, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_17, (funcp)execute_18, (funcp)vlog_transfunc_eventcallback}; +const int NumRelocateId= 16; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/register_tb_behav/xsim.reloc", (void **)funcTab, 16); + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/register_tb_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/register_tb_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/register_tb_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/register_tb_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/register_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/webtalk/usage_statistics_ext_xsim.xml b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..91a86c5 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/webtalk/xsim_webtalk.tcl b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/webtalk/xsim_webtalk.tcl new file mode 100644 index 0000000..31f24a1 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/webtalk/xsim_webtalk.tcl @@ -0,0 +1,32 @@ +webtalk_init -webtalk_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/webtalk/ +webtalk_register_client -client project +webtalk_add_data -client project -key date_generated -value "Sat Feb 16 16:02:04 2019" -context "software_version_and_target_device" +webtalk_add_data -client project -key product_version -value "XSIM v2018.3 (64-bit)" -context "software_version_and_target_device" +webtalk_add_data -client project -key build_version -value "2405991" -context "software_version_and_target_device" +webtalk_add_data -client project -key os_platform -value "WIN64" -context "software_version_and_target_device" +webtalk_add_data -client project -key registration_id -value "174150793_174150794_210688225_140" -context "software_version_and_target_device" +webtalk_add_data -client project -key tool_flow -value "xsim_vivado" -context "software_version_and_target_device" +webtalk_add_data -client project -key beta -value "FALSE" -context "software_version_and_target_device" +webtalk_add_data -client project -key route_design -value "FALSE" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_family -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_device -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_package -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key random_id -value "4e917e26-7591-4435-9135-15bd446b0238" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_iteration -value "10" -context "software_version_and_target_device" +webtalk_add_data -client project -key os_name -value "Microsoft Windows 8 or later , 64-bit" -context "user_environment" +webtalk_add_data -client project -key os_release -value "major release (build 9200)" -context "user_environment" +webtalk_add_data -client project -key cpu_name -value "Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" -context "user_environment" +webtalk_add_data -client project -key cpu_speed -value "3492 MHz" -context "user_environment" +webtalk_add_data -client project -key total_processors -value "1" -context "user_environment" +webtalk_add_data -client project -key system_ram -value "34.000 GB" -context "user_environment" +webtalk_register_client -client xsim +webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage" +webtalk_add_data -client xsim -key runtime -value "55 ns" -context "xsim\\usage" +webtalk_add_data -client xsim -key iteration -value "0" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Time -value "0.05_sec" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Memory -value "6088_KB" -context "xsim\\usage" +webtalk_transmit -clientid 1216100017 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" +webtalk_terminate diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/register_tb_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..8d9f00e1af024b1eb95ead92b8fc9128f5e6e987 GIT binary patch literal 3190 zcmeHHdoTz4l zpdy{NYP?1}6eX`|ks6xu41z{c5(IfoNFE4*b<>Tj4V)N6Gb{N?0pS1392EZkFax{~!*c4;H%ISRYoK3=}$oj32K=lF|bXs_#z5`NT$ zdaMRFLuE~KPv3npJx`6DnrjcrOD5}3Gf)8>2ZGt^<=XnYA&I(Eg2a>-skzNwY+KSR$vBmw{ z?b{U%3tt6&*BP4`+CP+pOWM|ixMBz9bss3t3zv5URYTAKho<3 z)4Y?B#`9<7kfFOc z{gMma6fg(65D{V+v|Sx;%Z9C-mGu2!A#lm{Pn+z#RKtqfqWO1@guhDME0Y0z5cqMO zo=&|URk-mQ=)WEg_0HOYN*Zl~RK>M3Ulw<$0$D6aOO9d2n$u!v0{2(tzWs26z2FbP z=J8>HoYAp9EKb~_$mV?J7V=gO!4>PjiGEw9UoO2u1Kf)0P12UI_ zQ!0RXNIBhg{Gys!v=p>@8(^g6Pnr_J9c$wxq~m(l$+d!YR;VZw0M*{@5jOx(MOrep z0-B(7b=_X)ru)2eGT5HI3N~Tv0Z7)tYf(T=##s@1ofO`_eH}b%OfdmSdHd;Te3)91 z8YQuyrt!*UqbH_Dba5(!ACD2u#jY3mk&Rrg6}oWWhxe2qJ*f0IOM8LW;mj0T#$Kld z%>4KR5=Dvl!4xV@^9YmDkE-dYL^|f@kjfyx+Jx#VYRnBxbkAr^4?mS6ooUzr;~H1jjK5F-tr&iD3l1ZTRK)Jte!! z;8vMD_*(V^VwD&@(8N|x(QrGApS>cy-x{(`oOL2kp{CBZe1dm>Q z9!;eA+7mRj3#mwC?Iku)T$hQTb|(Fy9Tj`)TL@6+<3qtnKQZJPu?_HaXgf1oE zC8nh)zbiklcEL(Q)78n&>0zF+-?fNqmYMgFbRM5~NWpj@qFPT0OwSkU+5CMjuad;T zx+n;uSIv`ujE^`u3rsg9SX2*;&AWW16qx)8Seg$$tUJP(@i|~5YUsJvW#)vY*snul zDApX!;fg)&W;07g^>s zlhu)w@9=TBkZez#%KlB-Ar|1Yu;{Uf!te%jcjr{_uOrSRD$ll;@)Z) z)SO>%9dc-fN3`v>FwYeVc=^A4In|1JT*S^-wYHAExM>l$FW1x`I)rNLAXg6m&F@;; kG_#E_g0qArHPr#?$m}Z>Yj=C$Wr%WluTW{~4tJLQ4z_!eYXATM literal 0 HcmV?d00001 diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_left_tb_behav/Compile_Options.txt b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_left_tb_behav/Compile_Options.txt new file mode 100644 index 0000000..04c67f6 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_left_tb_behav/Compile_Options.txt @@ -0,0 +1 @@ +-wto "0a5803efda44405bb28bbf43ba22e808" --incr --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" --snapshot "shift_logical_left_tb_behav" "xil_defaultlib.shift_logical_left_tb" "xil_defaultlib.glbl" -log "elaborate.log" diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_left_tb_behav/TempBreakPointFile.txt b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_left_tb_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_left_tb_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_left_tb_behav/obj/xsim_1.c b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_left_tb_behav/obj/xsim_1.c new file mode 100644 index 0000000..9500c99 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_left_tb_behav/obj/xsim_1.c @@ -0,0 +1,106 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +extern void execute_3(char*, char *); +extern void execute_9(char*, char *); +extern void execute_8(char*, char *); +extern void execute_5(char*, char *); +extern void execute_6(char*, char *); +extern void execute_7(char*, char *); +extern void execute_10(char*, char *); +extern void execute_11(char*, char *); +extern void execute_12(char*, char *); +extern void execute_13(char*, char *); +extern void execute_14(char*, char *); +extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[12] = {(funcp)execute_3, (funcp)execute_9, (funcp)execute_8, (funcp)execute_5, (funcp)execute_6, (funcp)execute_7, (funcp)execute_10, (funcp)execute_11, (funcp)execute_12, (funcp)execute_13, (funcp)execute_14, (funcp)vlog_transfunc_eventcallback}; +const int NumRelocateId= 12; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/shift_logical_left_tb_behav/xsim.reloc", (void **)funcTab, 12); + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/shift_logical_left_tb_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/shift_logical_left_tb_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/shift_logical_left_tb_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/shift_logical_left_tb_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/shift_logical_left_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_left_tb_behav/webtalk/usage_statistics_ext_xsim.xml b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_left_tb_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..b5cf73b --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_left_tb_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_left_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_left_tb_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..1f1bdde501dac3eeef9544f1beb68f070451cfc0 GIT binary patch literal 2821 zcmeIyX)xPq7y$6lqDoQfT*uT=WfxInRaa6eZ7fP1MK!AKx^+?!M`KaCEv1B2t7)h@ zN2AqPts|jzcN}%EBd#R~f+QqF{+rI~OuJw9%YO8}^UU)+^FH%@_}M%$5J-ey%kzWz)!e_v{Sx};=_z9^>6%7`Fjs_JI^hJ zJNX^TbOQ;N`!%b3V`UwqCQqVrMm4V#)MpXu;8qtWiQ7AkbMNfhWO8GU9>r8pA$TO6 z72S^UiFGVqdpUXhvcDkaS98_#7xo^D7N}a}Nh@jq5*Ig7WW7rGp=)C3w4URNn(Sp-6)|2w6R z9(i)vczCfK6CBJqY3adqxl%GD>~>>yhQ($O+JgVeGdC& z!#d2PcGKQofWXd>C9t8XQ>#XSMj1JAUCX)nS@yj(CX8HoXljt z7$d{9shtzNYa2f--!>?EgH_pZ1#YJM6w1?Pb(cb=(iw&6aXhG}wq%{kcvZ$(6l|9* zvlJxFJR6#zoJ1=lLhEJbKdFbVXyB2=Vw!_mo=?^K-uo%NhSwR?Ba+Bf&dtU`yWs!{ z-~G$QE4%TCu^IEx2IR5;^40@VuYLL6vKfqPCU2>PfETkADLF~IZ@97JUGyEEM4Co> zi&w6=WEuyT7_OwMz_1tPy_!i#W&qZ`@hJ;{Vk?6Y1ddNimDUk2VoYi261XK)$ux~9 zF-+%rQ$ai@_$8p#AD=MGi9XjC9^s&#?Bxs?J)iCNtijw=)&Y=BvV1H|Rfne`9}6$b z&Ei`DPp9+wrd(*V+Gj$%JQv0vqBK+sITWRc2m|p5wmRBQO#>hoS)op@PfgEC>4+zF z!Ej#2XEvXdjW$&5i5!+VM-BB|kSd_N2)4Q%O^&Y)}RB5!T=`Mz^Ub5xWD zcr7czbC~*>>lm7I{R|uR$vvXSt!gB$b|Wl!Et|@oE0vMGZSUr*KOc3Oh#t-+3<`{` K39g-g0QwsTQok+$ literal 0 HcmV?d00001 diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/Compile_Options.txt b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/Compile_Options.txt new file mode 100644 index 0000000..1470e54 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/Compile_Options.txt @@ -0,0 +1 @@ +-wto "0a5803efda44405bb28bbf43ba22e808" --incr --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" --snapshot "shift_logical_right_tb_behav" "xil_defaultlib.shift_logical_right_tb" "xil_defaultlib.glbl" -log "elaborate.log" diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/TempBreakPointFile.txt b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/obj/xsim_1.c b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/obj/xsim_1.c new file mode 100644 index 0000000..4be07df --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/obj/xsim_1.c @@ -0,0 +1,106 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +extern void execute_3(char*, char *); +extern void execute_9(char*, char *); +extern void execute_8(char*, char *); +extern void execute_5(char*, char *); +extern void execute_6(char*, char *); +extern void execute_7(char*, char *); +extern void execute_10(char*, char *); +extern void execute_11(char*, char *); +extern void execute_12(char*, char *); +extern void execute_13(char*, char *); +extern void execute_14(char*, char *); +extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[12] = {(funcp)execute_3, (funcp)execute_9, (funcp)execute_8, (funcp)execute_5, (funcp)execute_6, (funcp)execute_7, (funcp)execute_10, (funcp)execute_11, (funcp)execute_12, (funcp)execute_13, (funcp)execute_14, (funcp)vlog_transfunc_eventcallback}; +const int NumRelocateId= 12; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/shift_logical_right_tb_behav/xsim.reloc", (void **)funcTab, 12); + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/shift_logical_right_tb_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/shift_logical_right_tb_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/shift_logical_right_tb_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/shift_logical_right_tb_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/shift_logical_right_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/webtalk/usage_statistics_ext_xsim.xml b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..182f0c3 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..d64e8a02da8a130064cd6138d53a26328ef68b78 GIT binary patch literal 2822 zcmZQzKm{lCA^ZbS+QSf4ev~&F0;3@?8UmvsK;00S^Z);U5Qdq`1YjN#%xmXz(Dj45Jd3VT!iqsmv{qm#ySo|aP3rz|f#-VpF&)3j%YnNaS zY*Of&y~sY*cjCD(7p{7iC^H_6e08o>kInpTzG2sdR*oj_>2AG+%LN6^oy;0Jm5x-u z-g~(=d)eyc$3s^4eDx^5YxVqI^z*r^uE$;#URq|pu1isyG2UI_xcP;$Ln;@<7V2~! zS+U=1=AT{HF1~hee8Xzv_I%12S&_wde$VA^I)+*&@7?G8#m;nXZ;P$4aMpdlxfiEr z2dHNsTlxKz#22r(i;v8(&rh{0h+)4Z-Su>x&g-Kq(h~wcS=8FPb$9o7KK^%oJ#*<} zv%TBD_S_Nr@bdv<{Zkv$#rKcDe1EKL@AW!K^y1SY-kEd6%< zvBtimPkUaeU*r6~(6p()X~(v`UypEZ?AG5_Wxerwi5GME>*Z_izPplfVnyAl~wEdk?I^`GV;%zy> z_FI`>R$Ui&+ts&CXM$k)<jv#ImHmU8q|-VrXi zWp|i4Iqsie!skWn*pKb`)A^qrBw*(=$JEB)+4|+;$D-SS;x%nnxfKZ?%0D*uUbuh% zR{zPDhkdonYWKM8>Ju(8-s>dKyKeKODt_zsvrGDG&KX{->-lv;rn>d~^bea` zgKiuT=bXOv#l*Z|_8=(ym(RCm|GocxPj{}jUmzP%8()83KE(2?lw*y(c$!p9y6V?^ z|HGbn{M);>+Od8=b8?-VOxf8F2WHF{-IHFCaOS?=kHc;3Z9nJ#4mn>x@!5a2r=S03 zS=2Xoi*dHB@#61$cYrT<_x;yDnUnwd9b7LdC-EizPr&Q?8DIAM{;aW=ePzBQW1@q^ z)%xu(e%!8HD=(3L>c7l~sSg_s_s3r;=jHGDSU-U4+S3U_FA>8SFZRjTKs+PH+cbz7iada zE*0~9u0G|+`Orl3=EZt5bK}+B(_fgbG>WWiJ7DoUH$>m!MeXP0wcA~)_AgPYJIB3S zN~U(wO}=Z@-5GX!U4gQH9~H0N?o)L>Sa#m$DFG?tH!QS8-I1I zdT!Fa_?3O@r*BvdmUpb$Jaf0p^LIN}#67FvEmB<<`tNSa_T+}gMOPN9|Q@@IPC{*j4c&!>ilTh6_w%@%l@BXOsta;WO?(Dj~=Gq!(?{+aS(du^^N4LaB zRMpsJTxZtKn45F`?tzoh+V3)7cV<1fK0nXY&+Kl(zbhWTe}u&>eZJNz>&`Qs+Wy$F L>OZ%m%%ma!(vGZJ literal 0 HcmV?d00001 diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/Compile_Options.txt b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/Compile_Options.txt new file mode 100644 index 0000000..426d51a --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/Compile_Options.txt @@ -0,0 +1 @@ +-wto "0a5803efda44405bb28bbf43ba22e808" --incr --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" --snapshot "sub_9bit_tb_behav" "xil_defaultlib.sub_9bit_tb" "xil_defaultlib.glbl" -log "elaborate.log" diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/TempBreakPointFile.txt b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/obj/xsim_1.c b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/obj/xsim_1.c new file mode 100644 index 0000000..66c0d66 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/obj/xsim_1.c @@ -0,0 +1,111 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +extern void execute_34(char*, char *); +extern void execute_87(char*, char *); +extern void execute_88(char*, char *); +extern void vlog_const_rhs_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); +extern void execute_66(char*, char *); +extern void execute_39(char*, char *); +extern void execute_48(char*, char *); +extern void execute_49(char*, char *); +extern void execute_36(char*, char *); +extern void execute_37(char*, char *); +extern void execute_38(char*, char *); +extern void execute_89(char*, char *); +extern void execute_90(char*, char *); +extern void execute_91(char*, char *); +extern void execute_92(char*, char *); +extern void execute_93(char*, char *); +extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[17] = {(funcp)execute_34, (funcp)execute_87, (funcp)execute_88, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_66, (funcp)execute_39, (funcp)execute_48, (funcp)execute_49, (funcp)execute_36, (funcp)execute_37, (funcp)execute_38, (funcp)execute_89, (funcp)execute_90, (funcp)execute_91, (funcp)execute_92, (funcp)execute_93, (funcp)vlog_transfunc_eventcallback}; +const int NumRelocateId= 17; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/sub_9bit_tb_behav/xsim.reloc", (void **)funcTab, 17); + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/sub_9bit_tb_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/sub_9bit_tb_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/sub_9bit_tb_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/sub_9bit_tb_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/sub_9bit_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..b98ad53 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..a4e8e005d1f7a698a37aa8f9e838df9e262e0448 GIT binary patch literal 5575 zcmeHJc|4Ts+b{-UX8%wrq z6Je}li?PiN#u#HP?@+z(IqyI3KkuLC`F!rr{al~tzP|VU{odDgJ=dW_|9lGH{IIis z&MWc%{Qj@?uLA!n@UH^@D)9fSK+4|U-v0Q7%JS>w=gcQ{=nxz8U647}|F-UbE&D&s z^`G^;llRae|HDKp=U7n_0k=1?VgI?9D)c=;CsZJIxr@|8I??NL{M=C%cI+PyjW%YB zb=nIOFS1LkZb7da7(9IvdfN1i&STJV`4s1xvv z?v&A>Ac9+#nt2|kY#hR1kW^?(-bS>p7g!fQ!+fIPJqs43&RJSa`c=6x9?qA;)q-{5 zJHf(TouTECnu~hY4H-s;@P+ueV#aF`_lrlYS}D+2zn-dgM#Sv(sx4(BCxS2`s!_r{ zgL~x)QeL`@@j4lxu7l4a`sfdyp`KHb=Ti=L5>y$2{!wqS8c%r;GJ@1iG>S?87JG%P z%2Ev4K2H!&XiHrz3ersun_UX+48S}|vo(AJQzNfcNmKQoSDJpkY_Ar{+a(V7ZE#7>tb&I=!J{i6# z9$77>u0h{iOk1;_VS45Nk!4chC5zHnw^JR*9YzUtT*s_72Q-x%*MW49;D>tAyL-t- z0oKU%lg*tth)p&1vRmsoh0Xyvl1`F13WzI_RsbOwgg?6G9vgMEdo8Aar7pQ+nl|14>ZypAXc&cvikLZcZ(oUfnW+|wd z<4d+uHdSB-7pJ!p5xT2z2^(45ACN>fywK^~WG z3!p+A8P4wZxX@dBzfG6laSWEZhxPf* zStjOoz)SaQOf2|;^Zj}%dXMf72C5`2(!j#l2luJY9#iLgWw8%3?eAO*x`B-{1sf9% zGbU60ure0p>*+Kv;YYpB9zz%F5(44ugwbHvC3{$#mRteBAr@cu3Y)G|_O_?HfbcrZ zwr1EWd*fyn1tQ;qj%YPmbM=UDx}rA#rVf-(Lzfh+hdEy&>WeAeqJ&d{ab$YBpTd;H zOX8pA7=8}iMj)lB*q1==r_M*6s(1g^a2LZ(Dvpj>$TJA)q3N>>?Ia=GJ-#N33-=JYI_So*+~0gYcU;QFz6~QBJ6G4`$*m=~NRjSi9Ga2{B^E_wYFyvrp9_=N^6mP% zHP2t>)+Qkgp`8I%1^FP!7l5OB{Pn!|RjyY)Nc@_a1R*6jO;vx#y(ld=BUanNYz1I5 zGwFVUQ|t3q6^-xOafrn{q2&r{(WnEy(lw+VCRuO&aD$bW-0Szqhu*&oOv8Jv-x|=s!02Q`V(3?V@ecqHVxaZJtf5ffuX{=R4Hzos~KaUIxu@o-12Z@D+vgWx@t0pWlBf z<0m?6wmnBK{^?|P8CEYZO|(bYEg0oZ}D)n_R0B36tYa|Y~?&!ZD+W5zW%k7nNRuNP~xf0dJ_SScJo)FIZU(zM6G zjvQ>Wo8oa5VzenWr4LrRXNdACId0f_JhjIg{2?tIo7Tw!5eGl~90E}$*Zr6d zU!rh)!qP9SKe{o!v0>d00oB=}9foS~L7v1~spH&+-k5F~s$Cj(lp4U_C_TZ-tFPJs zoHeIUf)Y;`0BSGE7kNkQ=Z@uW24tu9y-U0qv?S(xlBFE5N`{yn3!1BB{Y<4F`-DCD zW!y=ia~_7>xd`{MQiID$TnN66;~>K702%MF~#V&4;<+w zGR3%C_~|@UH!@16a*2}Ggj13zrf~BFRxB5yQQ)NCekmMm=#Sq?&4;TLG!o z{sYs>-;Ha*9_D<_3U%ZW$|0@>z&V;-fQ3ARyZ++63n??bw)qN(+D6FyH}Q&X2aYJ+ z<*lP^bz*=KYQV8|;W6tdtX>6v=GB5LZRBSh-1&qRty0rwQeXP`TG-#JM%Ybq;dcU~ zw=8hvCSaKQ1#ZHGJfKbi(qSC*?FG4IFcgX>0+^R5ur93rE>BOg0^i|xyPY&%^D7Ka zf5Ngqx&ZuZ1`2F$Vy^NUdroG;{6iIO zud7E%d47EDB@pGDiksZZeq&LyRI)bCm-Ng;PtMy4Iz_fb+8~Xmg3TXtC}^4=&9psw zpWq&%pUbJ4^@i4vnYO#|(Fu_Cptv(w!t-?F;-cM(9km)AltAwJYUjMkX4`*FPI+ET zsoFzgNg?8v`_1NF-|w=P2hdy1-qK_6v~zL!!Wr%7jLsJzz9q7~p99Z|U$vRQ61UWo zZ4}XsH|>m`=>13t)RC0)>gsgc{af=}cVj@BwTewZ+_F{|znUNuB$ze&N!@nJseIY= z9|19~l2EJ5DKX_bM$q&mHc=QPrW6pAY0;MAj+$64*7d?;`<6+J#ZGG#r5h{OJ2&Bk zlTw~XI0VauFFC-t5^#@#b>{|yq?`N7$vl5Mw9;wWOD|?L_WpEMy8VY#>>N04$D(;q zkhs-#5Mh8Ee&VanRSYiFEK=CWvk0Y zl`WMV3p`-I518%9eejnHUpk4+S+P2@QSgJdl`y#Q5kcfDM|tfNoI|M1|AcF;65cl% zh!W_T=k^_@4s+D8(s0uXefbq$=y)rxkcpqUx|$M+vK@HtiP~!}%gvCA1bAcet*@78 zJi|%tq1g9B)hQ~XhSE*r`byeH?$;7E@lSx=#GJK2zNNS1GpWR#f}a^Wts;P|X~dUB zGq$A)5C%|QCQUJIi`%3JH5zn6A+tQudKWZXndyi?R_AQgt<#}bSiaq4v2@SJv=$Gp zbz7{C1g(3gZ=`BGHq_n(MF=~2+?3Aop_(KH39?t%PJBg^8{QKp%2T8FTQ8a0eZE7? zrmOxxE0A!u@R^A~l*TTP>Tc9R1HGhFH5ke%$o|4S!EJ1Y+>sVN{&By1%e~d-+q}|{ z8iJ&YjVGpuaI+Jz7I#CW>(M1t>r3kcXCMv!7ee3yu4Ei_^{_3nUM=#*GiHBh&Hko* zzgtufso-@z*i`s}D}&h}2JDgqM)n)VUE$bLS7y`K972@bf~=`WhA16F#yo79m~7Hv z+5a^p@{0y<9&4Ie!My+<4u$rtDOfmgE{L90+D_CBP*7feGi>VPg*NQ`%VutQwux?O zT}EZrdKkP6uXSGn9F-!fU(OUaEca-2N6FgfI{B-4G_A0C6K%bNNQ)fgb_R+gSROPCLr(*ML`w>Zlf$+lf%r=eWC;> zR<~6fqsaak(8{zL5{vuYqU?Xt(eQ=t1;8xB9+>@ zvWoU`BqcC2aS{`&?QpXHcdVcK+Jc%mohlXsX21Zddu4O^Eosk+t8ZNx8_km)^JGu6 za(~>E;;dN%s{Ht{g=dg@eo-}UN>xz5 +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +extern void execute_23(char*, char *); +extern void execute_57(char*, char *); +extern void execute_55(char*, char *); +extern void vlog_const_rhs_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); +extern void execute_28(char*, char *); +extern void execute_37(char*, char *); +extern void execute_38(char*, char *); +extern void execute_25(char*, char *); +extern void execute_26(char*, char *); +extern void execute_27(char*, char *); +extern void execute_58(char*, char *); +extern void execute_59(char*, char *); +extern void execute_60(char*, char *); +extern void execute_61(char*, char *); +extern void execute_62(char*, char *); +extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[16] = {(funcp)execute_23, (funcp)execute_57, (funcp)execute_55, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_28, (funcp)execute_37, (funcp)execute_38, (funcp)execute_25, (funcp)execute_26, (funcp)execute_27, (funcp)execute_58, (funcp)execute_59, (funcp)execute_60, (funcp)execute_61, (funcp)execute_62, (funcp)vlog_transfunc_eventcallback}; +const int NumRelocateId= 16; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/twos_compliment_tb_behav/xsim.reloc", (void **)funcTab, 16); + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/twos_compliment_tb_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/twos_compliment_tb_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/twos_compliment_tb_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/twos_compliment_tb_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/twos_compliment_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/twos_compliment_tb_behav/webtalk/usage_statistics_ext_xsim.xml b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/twos_compliment_tb_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..579b5e0 --- /dev/null +++ b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/twos_compliment_tb_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/lab2CA.sim/sim_1/behav/xsim/xsim.dir/twos_compliment_tb_behav/xsim.mem b/lab2CA.sim/sim_1/behav/xsim/xsim.dir/twos_compliment_tb_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..c12a7fcd85000d75b3a455bfe12d18bca75f26d5 GIT binary patch literal 4495 zcmeHIc{mhW8!xv=66GS>C`(GV?E5?jMGR$$Fj*=LgBa@|(G|sTvt*kI71{SljizJ? zGnX(J3|Yo97={^xF`sVwzVEsJeE)oZcFuF2bKd7Y@9+Jc-#O2F4jlMLyYs`|y!~T7 z?fDP?FY}iIzZCeTz%K>PtpC@(e{B1orqq5t zf68^>K{=eg8d5NB0J#lJNo$d3x-D;^fa1|rlWQh1Yu8RnIq*rg_TBFdl|QUzYc1}?s%&l< zUN#bc6{7r!4fa-(v<_zxqobJ{(b(?!z>IU*H?yh}$Nr>99JG$E7p=~hdsHC(HUoWk zTPUE7W@fI2v?1SU^+l4G-AG~Q=Q!o%^(yP?=>uBg!8$1LxwcTv5#L8(Zz^3JQP8?4jrnk;^b$F%u;z=9WDX5(@2xC)Xc_X@AoGW?DsH)vkjPCg6icyN2CH1WFW zZS?w%Uk_M$7ZXQWYBVDWs~S2~opyW$nU83*_*$O@2dT&SZCz#xB#WC8VjG#vOU<6f z37mO>9ucSD*q;7+>Vo+N9!pf0z49lplK8p)5dAe;3`DrH5@5TDoNDid8ozT0gV6b} z$)KJTv9x&$@|XBZWN>_Sd5ase8`HH3{l#FY?h<6vX`_xXm?A$QT2eG--=<=VU7(u= zJA3cKIBP#Fp1?o9FK@%LGFKdUm+1key#?GzDT)Me;_P3l^b4$A(rNZB5B3Ufqt3{T zc<6pjqfWsV90Fy9LXafAsd*HQ>O$(3n$i8T-o3sqo07Le8uz%kQ1Bs|;2&T2a;TvJ4! zU)(_kSOz?+J*vRF=IrH4X*=$yt$etCX6JM}k8Y7l{3-HM#(UgQ0tWrDMo}f$tqOd1 z7_9$#iZ7NK-WBz6&jGz=944l^=MmvdXBxQ6m_!m-H>|gy{E;gHpem~^VJ9`{$K#PU z9yd?PJJj?gM$g6##_;-3q`^o*aeg%Y=(t3VY&23oPPa1=9J6~o=?%*aM~^pdag8aV z`uayNhFhm!v2^dI6XZN*9YWAvlEnEUH6r2&(YdeU3x2|`Sj+Zl_c}?cgi2kqo0jK9 zSDoSL%w&WiD9qf6N>>6=w-~Du_0Gza7QJ?MOp>8jV?~XSPbh=mbHSpVH6U!h(Te9I z0l&MT1dE;3)kN6wE=eOetco}Pa7rd^#(i2yL(w(X?uuB8BwSoT*FzrOVDF_Af3k0x zBCkCWqf(K4;gH=Dw|+eIH>bN<`F5$?+IE$lYhjG970Fcwr7w~Z3CY`s{GM8*_YPvd zUG{n+zEr3OQg5aWKYjBJ|LBUy0xxU(&sPP*Hd)k_DU`V zgqg(^VC7O+K}g5N7h{9D$w8aoy&$gdK`FZ*BX_hF8rVizY5PGZdRKEEbV)inJNCiq zND0el+EaqTbN#8<-LC_y>@fqL+<-oCBLZx?ot~zH41&dRJ4(ZLs^>zjDEMk>CoQ!P z>z4H&lFO>lf)}}wy#uQzn0`<0id^9a>Y^u5>KP+zKcRK+Y5)cdf|lC16xM61cOz)M zNEwkzTOKdG^AhzK#Z(q7?r!gXi(TawG|$hM}=VtaSjxgE#zCGz7%3lM!t2DG6BNf z9@jJ;oS=je&lx77L%fCaaLtUc+7Bh*SdORM_j1Kf>^-(Bfc5*?4$?NFk!m5rSdMJ# z0m+pX7qe#~xaFeI18Q3H^Zl;-=!~dN9P80i&q1=`paI-}=HH zEJ-+KpzM@x+}%b*GgC$uSmVqb_EoN>> z0D)2r2C(|Q^m9VWhBWwDIDz5z@Kz6b1@y*?Jza2Yr?nS`C*c_xLHr%)>kBL#;>SkH8bb+!!s#o4=pjDJ(*1{rqiF0B3F z`sPZMLb^YmJxHVmu)uZQPX}DaTb9Lyjphr9hJM^r4qW1JG4u$|Uz`OeK$7gmg8n{# zWdELvucVlrhjjAWJPA@c|CtD5e0+6JDHS#KC(7PTQ@;fWUOBVajizlhP(L5VWDgTT zEB(ht5Y3E)nXCUHdfV>)s$cW>o6@I1G=LGE-zyQj4HLc;K`|oU+sD+A23Dr}4}@r0 z0zi?(Gak%wjmDq4`VsDYnn=q*rP%f)VvIun*xn=&&_$68C;qN+5k+G=WEpU5d?4rQ zI<x6&8SI6I8T_uHwgIW;pN8)gs#f0QSD+BgQ7<0$C{YXs6G>P zx;r$gLUIpjPI!3=2in${UiNE*yBZx|)AM*2`-GbjRvrhL&Az(XsEoTB^RqK-Z><#F zZE?qV5S_C@Gi6bgML=(a-6O6+?X)6Hy?r6AmiVQ3Qb?o=SvkKF;#M`4CC~+RmtogI z##FVoSsn{UOK_n!+AN<;5x02scDa>z)s+25%}ZBBM14iv7kzMLHU zS7|?zF3&r-?Imw+f>|ppCgq(XHIj+)~t@5NYk9TmMd}bnSCt& z-7$`B7(%w@fq=4o3j+H7{bPryE&ajv&Lj z)RjTt+%^I*sUvl1H460&;@<%2wl=oQw<_&uQ1W(o5Mp!V4&WYRPj%mxet1M7%`^TG zCL&0-1S3>*(Ujlep<%g14#gLvBP)0FBz*5HtDRk$tw8tQ1FCW-Pr=*vDsedNvY=@6Oi z8SASH5f6#-tzmIucwd+11nLnTtUa>!yG=4xN%T7oXIgDrMPp5ifh3uJ6qSDg$Kcv% zcXH#}Anp9I6^J%sis-TGx5{tdP4);gkltzUl2+_nn{@lctW|m(WqpzsHXNL8PQV|} zJR5kOo|HM2zq-U%_;TrU_3Kb?C4d}MWGL%8;5zErIg{1?%}YTQ;2_csuM$ z6n1WG>Z!QmaS}CeEFhoPf;-q`<$~pyv#8LyOmj(h1{^J;F#Ys~pVM4oTU(J6X?)yp zlv@*#ckkTuS5<)i@an}+6+q!rfZ!aG&J_Gi@Acf3KimP$3gn|h=gTy>_qBooWWXTKt6D!Q6U7>r0Ia= zk54T8Ew;%*M1wzkOB?T#@fN;35=t0Zo3_%j2M{l6*~qmsMsKX5N1NHdyv(P61HL$y hfnPp$^x6pWsb~tV_G{j!j+aeo=`~DoPJ^MDe*rC=IdlL3 literal 0 HcmV?d00001 diff --git a/lab2CA.sim/sim_1/behav/xsim/xvlog.pb b/lab2CA.sim/sim_1/behav/xsim/xvlog.pb new file mode 100644 index 0000000000000000000000000000000000000000..0cba9367b95ff765e73ea45979fb2d8e25ed62d9 GIT binary patch literal 2134 zcmcJQ$xg#C6h$de3PwT|uwsy+N)&|DhNNX^ccpX(8zjb!l{ijn;n0d zul;VPE(MaV2Dt*(#)QHzMQ!r$GmjSn6 zT8-Npo!7JlPKZ=kn4O!@{76>8y6lr!LA^T&3MiyFHvH2fbCrFtF|8s<(l?Z`i>1s$ ui|9n=EgXPNHR2K?o(?EUF|&!N-3R%tqh{^Z8LMv%fF6sSYmB&v%7r(88*{e+ literal 0 HcmV?d00001 diff --git a/lab2CA.srcs/sources_1/new/ALU.v b/lab2CA.srcs/sources_1/new/ALU.v index 9d5deb4..c44f1c6 100644 --- a/lab2CA.srcs/sources_1/new/ALU.v +++ b/lab2CA.srcs/sources_1/new/ALU.v @@ -35,8 +35,7 @@ module ALU( and_9bit and0( .A(operand0), .B(operand1), - .Cin(1'b0), - .Sum(result_E)); + .C(result_E)); // F (101) - Shift Logical Left shift_logical_left sll( .A(operand0), diff --git a/lab2CA.srcs/sources_1/new/BasicModules.v b/lab2CA.srcs/sources_1/new/BasicModules.v index 001f115..fcbdbd9 100644 --- a/lab2CA.srcs/sources_1/new/BasicModules.v +++ b/lab2CA.srcs/sources_1/new/BasicModules.v @@ -12,6 +12,44 @@ module add_1bit( endmodule +//testbench +//module add1bit_tb(); +//reg v; +//reg w; +//reg x; +//wire y; +//wire z; + +//add_1bit add0( +// .A(v), +// .B(w), +// .Cin(x), +// .S(y), +// .Cout(z)); + +// initial begin +// v = 0; +// w = 0; +// x = 0; +// #5 +// v = 0; +// w = 1; +// x = 0; +// #5 +// v = 0; +// w = 0; +// x = 1; +// #5 +// v = 1; +// w = 1; +// x = 0; +// #5 +// v = 1; +// w = 1; +// x = 1; +// end +//endmodule + module add_9bit( input wire [8:0] A, input wire [8:0] B, @@ -93,6 +131,45 @@ module add_9bit( endmodule +//testbench +//module add9bit_tb(); +//reg [8:0] a; +//reg [8:0] b; +//reg cin; +//wire [8:0] s; +//wire cout; + +//add_9bit add0( +// .A(a), +// .B(b), +// .Cin(cin), +// .Sum(s), +// .Cout(cout)); + + +// initial begin +// a = 9'b000000000; +// b = 9'b000000000; +// cin = 0; +// #5 +// a = 9'b000000001; +// b = 9'b000000000; +// cin = 0; +// #5 +// a = 9'b000000000; +// b = 9'b000000001; +// cin = 1; +// #5 +// a = 9'b000000001; +// b = 9'b000000001; +// cin = 0; +// #5 +// a = 9'b000001000; +// b = 9'b000000001; +// cin = 1; +// end +//endmodule + module and_1bit( input wire A, input wire B, @@ -102,6 +179,34 @@ module and_1bit( endmodule +//testbench +//module and1bit_tb(); +//reg a; +//reg b; +//wire c; + +//and_1bit and0( +//.A(a), +//.B(b), +//.C(c)); + +// initial begin +// a = 0; +// b = 0; +// #5 +// a = 0; +// b = 1; +// #5 +// a = 1; +// b = 0; +// #5 +// a = 1; +// b = 1; +// #5 $finish; + +// end +//endmodule + module and_9bit( input wire [8:0] A, input wire [8:0] B, @@ -154,6 +259,40 @@ module and_9bit( endmodule +//testbench +//module and9bit_tb(); +//reg [8:0] a; +//reg [8:0] b; +//wire [8:0] c; + +//and_9bit and0( +//.A(a), +//.B(b), +//.C(c)); + +// initial begin +// a = 9'b000000000; +// b = 9'b000000000; +// #5 +// a = 9'b000000000; +// b = 9'b000000001; +// #5 +// a = 9'b000000001; +// b = 9'b000000000; +// #5 +// a = 9'b000000001; +// b = 9'b000000001; +// #5 +// a = 9'b000100001; +// b = 9'b000000001; +// #5 +// a = 9'b000100001; +// b = 9'b000100001; +// #5 $finish; + +// end +//endmodule + module gen_clock(); reg clk; initial begin @@ -164,6 +303,12 @@ module gen_clock(); end endmodule +// testbench +//module gen_clock_tb(); + +//reg clk; +// gen + module mux_2_1(input wire switch, input wire [8:0] A,B, output reg [8:0] out); @@ -178,6 +323,48 @@ module mux_2_1(input wire switch, endmodule +//testbench +//module mux_2_1_tb(); +//reg s; +//reg [8:0] a; +//reg [8:0] b; +//wire [8:0] c; + +//mux_2_1 mux0( +//.switch(s), +//.A(a), +//.B(b), +//.out(c)); + +// initial begin +// s = 0; +// a = 9'b000000101; +// b = 9'b000000000; +// #5 +// s = 1; +// a = 9'b000000001; +// b = 9'b000100001; +// #5 +// s = 0; +// a = 9'b000000000; +// b = 9'b000000001; +// #5 +// s = 1; +// a = 9'b000000001; +// b = 9'b000000001; +// #5 +// s = 0; +// a = 9'b000010001; +// b = 9'b000000001; +// #5 +// s = 1; +// a = 9'b000010001; +// b = 9'b000010111; +// #5 $finish; + +// end +//endmodule + module mux_4_1(input wire [1:0] switch, input wire [8:0] A,B,C,D, output reg [8:0] out); @@ -194,6 +381,52 @@ module mux_4_1(input wire [1:0] switch, endmodule +//testbench +//module mux_4_1_tb(); +//reg [1:0] s; +//reg [8:0] a; +//reg [8:0] b; +//reg [8:0] c; +//reg [8:0] d; +//wire [8:0] e; + +//mux_4_1 mux1( +//.switch(s), +//.A(a), +//.B(b), +//.C(c), +//.D(d), +//.out(e)); + +// initial begin +// s = 2'b00; +// a = 9'b000000101; +// b = 9'b000111100; +// c = 9'b001001001; +// d = 9'b100000000; +// #5 +// s = 2'b01; +// a = 9'b000000101; +// b = 9'b000111100; +// c = 9'b001001001; +// d = 9'b100000000; +// #5 +// s = 2'b10; +// a = 9'b000000101; +// b = 9'b000111100; +// c = 9'b001001001; +// d = 9'b100000000; +// #5 +// s = 2'b11; +// a = 9'b000000101; +// b = 9'b000111100; +// c = 9'b001001001; +// d = 9'b100000000; +// #5 $finish; + +// end +//endmodule + module mux_8_1( input wire [2:0] switch, input wire [8:0] A,B,C,D,E,F,G,H, @@ -215,44 +448,127 @@ module mux_8_1( endmodule -module mux_16_1( - input wire [3:0] switch, - input wire [8:0] A,B,C,D,E,F,G,H,I,J,K,L,M,N,O,P, - output reg [8:0] out); - - always @(A,B,C,D,E,F,G,H,I,J,K,L,M,N,O,P,switch) begin - case (switch) - 4'b0000 : out = A; - 4'b0001 : out = B; - 4'b0010 : out = C; - 4'b0011 : out = D; - 4'b0100 : out = E; - 4'b0101 : out = F; - 4'b0110 : out = G; - 4'b0111 : out = H; - 4'b1000 : out = I; - 4'b1001 : out = J; - 4'b1010 : out = K; - 4'b1011 : out = L; - 4'b1100 : out = M; - 4'b1101 : out = N; - 4'b1110 : out = O; - 4'b1111 : out = P; - default : out = 9'bxxxxxxxxx; - endcase - end +//testbench +//module mux_8_1_tb(); +//reg [2:0] s; +//reg [8:0] a; +//reg [8:0] b; +//reg [8:0] c; +//reg [8:0] d; +//reg [8:0] e; +//reg [8:0] f; +//reg [8:0] g; +//reg [8:0] h; +//wire [8:0] out; -endmodule +//mux_8_1 mux1( +//.switch(s), +//.A(a), +//.B(b), +//.C(c), +//.D(d), +//.E(e), +//.F(f), +//.G(g), +//.H(h), +//.out(out)); + +// initial begin +// s = 3'b000; +// a = 9'b000000101; +// b = 9'b000111100; +// c = 9'b001001001; +// d = 9'b100110000; +// e = 9'b010000101; +// f = 9'b010111100; +// g = 9'b011001001; +// h = 9'b111000000; +// #5 +// s = 3'b001; +// #5 +// s = 3'b010; +// #5 +// s = 3'b011; +// #5 +// s = 3'b100; +// #5 +// s = 3'b101; +// #5 +// s = 3'b110; +// #5 +// s = 3'b111; +// #5 $finish; + +// end +//endmodule + +//module mux_16_1( +// input wire [3:0] switch, +// input wire [8:0] A,B,C,D,E,F,G,H,I,J,K,L,M,N,O,P, +// output reg [8:0] out); + +// always @(A,B,C,D,E,F,G,H,I,J,K,L,M,N,O,P,switch) begin +// case (switch) +// 4'b0000 : out = A; +// 4'b0001 : out = B; +// 4'b0010 : out = C; +// 4'b0011 : out = D; +// 4'b0100 : out = E; +// 4'b0101 : out = F; +// 4'b0110 : out = G; +// 4'b0111 : out = H; +// 4'b1000 : out = I; +// 4'b1001 : out = J; +// 4'b1010 : out = K; +// 4'b1011 : out = L; +// 4'b1100 : out = M; +// 4'b1101 : out = N; +// 4'b1110 : out = O; +// 4'b1111 : out = P; +// default : out = 9'bxxxxxxxxx; +// endcase +// end + +//endmodule module nor_1bit( input wire A, input wire B, output wire C); - assign C = A |~ B; + //assign C = A |~ B; + assign C = ~(A | B); endmodule +//testbench +//module nor_1bit_tb(); +//reg a; +//reg b; +//wire c; + +//nor_1bit nor0( +//.A(a), +//.B(b), +//.C(c)); + +// initial begin +// a = 0; +// b = 0; +// #5 +// a = 0; +// b = 1; +// #5 +// a = 1; +// b = 0; +// #5 +// a = 1; +// b = 1; +// #5 $finish; + +// end +//endmodule + module nor_9bit( input wire [8:0] A, input wire [8:0] B, @@ -305,6 +621,41 @@ module nor_9bit( endmodule +//testbench +//module nor_9bit_tb(); +//reg [8:0] a; +//reg [8:0] b; +//wire [8:0] c; + +//nor_9bit nor0( +//.A(a), +//.B(b), +//.C(c)); + +// initial begin +// a = 9'b000000000; +// b = 9'b000000000; +// #5 +// a = 9'b000000000; +// b = 9'b000000001; +// #5 +// a = 9'b000000001; +// b = 9'b000000000; +// #5 +// a = 9'b000000001; +// b = 9'b000000001; +// #5 +// a = 9'b000100001; +// b = 9'b000000001; +// #5 +// a = 9'b000100001; +// b = 9'b000100001; +// #5 $finish; + +// end +//endmodule + + module not_1bit( input wire A, output wire B); @@ -313,6 +664,24 @@ module not_1bit( endmodule +//testbench +//module not_1bit_tb(); +//reg a; +//wire b; + +//not_1bit not0( +//.A(a), +//.B(b)); + +// initial begin +// a = 0; +// #5 +// a = 1; +// #5 $finish; + +// end +//endmodule + module not_9bit( input wire [8:0] A, output wire [8:0] B); @@ -355,6 +724,35 @@ module not_9bit( endmodule +//testbench +//module not_9bit_tb(); +//reg [8:0] a; +//wire [8:0] b; + +// not_9bit not0( +// .A(a), +// .B(b)); + +// initial begin +// a = 9'b000000000; +// #5 +// a = 9'b000000001; +// #5 +// a = 9'b000111000; +// #5 +// a = 9'b010101010; +// #5 +// a = 9'b101010101; +// #5 +// a = 9'b111111111; +// #5 +// a = 9'b100000001; +// #5 $finish; + +// end + +//endmodule + module or_1bit( input wire A, input wire B, @@ -364,6 +762,35 @@ module or_1bit( endmodule +//testbench +//module or_1bit_tb(); +//reg a; +//reg b; +//wire c; + +// or_1bit or0( +// .A(a), +// .B(b), +// .C(c)); + +// initial begin +// a = 0; +// b = 0; +// #5 +// a = 0; +// b = 1; +// #5 +// a = 1; +// b = 0; +// #5 +// a = 1; +// b = 1; +// #5 $finish; + +// end + +//endmodule + module or_9bit( input wire [8:0] A, input wire [8:0] B, @@ -416,6 +843,50 @@ module or_9bit( endmodule +//testbench +//module or_9bit_tb(); +//reg [8:0] a; +//reg [8:0] b; +//wire [8:0] c; + +// or_9bit tb0( +// .A(a), +// .B(b), +// .C(c)); + +// initial begin +// a = 9'b000000000; +// b = 9'b000000000; +// #5 +// a = 9'b111111111; +// b = 9'b111111111; +// #5 +// a = 9'b111111111; +// b = 9'b000000000; +// #5 +// a = 9'b000000000; +// b = 9'b111111111; +// #5 +// a = 9'b000000000; +// b = 9'b111111111; +// #5 +// a = 9'b010101010; +// b = 9'b111111111; +// #5 +// a = 9'b010101010; +// b = 9'b101010101; +// #5 +// a = 9'b000011111; +// b = 9'b111111111; +// #5 +// a = 9'b000000000; +// b = 9'b000010000; +// #5 $finish; + +// end + +//endmodule + module register(input wire clk, reset, input wire [1:0] En, input wire [8:0] Din, @@ -429,54 +900,143 @@ module register(input wire clk, reset, Dout = Din; end else begin - Dout = "ZZZZZZZZZ"; + Dout = 9'bZZZZZZZZZ; end end endmodule -//Mux follows intuitive switching -module mux(input wire [1:0] switch, - input wire [8:0] A,B,C,D, - output reg [8:0] out); - - always @(A,B,C,D,switch) begin - if (switch == 2'b00) begin - out = A; - end - else if (switch == 2'b01) begin - out = B; - end - else if (switch == 2'b10) begin - out = C; - end - else if (switch == 2'b11) begin - out = D; - end - else begin - out = "ZZZZZZZZZ"; - end - end -endmodule +//testbench +//module register_tb(); +//reg clk,reset; +//reg [1:0] En; +//reg [8:0] Din; +//wire [8:0] Dout; + +// register tb0( +// .clk(clk), +// .reset(reset), +// .En(En), +// .Din(Din), +// .Dout(Dout)); + +// initial begin +// clk = 0; +// reset = 0; +// En = 2'b00; +// Din = 9'b000000000; +// #5 +// clk = 1; +// #5 +// clk = 0; +// reset = 0; +// En = 2'b00; +// Din = 9'b010101010; +// #5 +// clk = 1; +// #5 +// clk = 0; +// reset = 1; +// En = 2'b00; +// Din = 9'b010101010; +// #5 +// clk = 1; +// #5 +// clk = 0; +// reset = 0; +// En = 2'b01; +// Din = 9'b101010101; +// #5 +// clk = 1; +// #5 +// clk = 0; +// reset = 0; +// En = 2'b00; +// Din = 9'b000011111; +// #5 +// clk = 1; +// #5 +// clk = 0; +// #5 $finish; + +// end + +//endmodule module shift_logical_left( input wire [8:0] A, output wire [8:0] B); - assign B = {A[7:0],A[8]}; + assign B = {A[7:0],1'b0}; endmodule +//testbench +//module shift_logical_left_tb(); +//reg [8:0] a; +//wire [8:0] b; + +// shift_logical_left tb0( +// .A(a), +// .B(b)); + +// initial begin +// a = 9'b000000000; +// #5 +// a = 9'b000000001; +// #5 +// a = 9'b000111000; +// #5 +// a = 9'b010101010; +// #5 +// a = 9'b101010101; +// #5 +// a = 9'b111111111; +// #5 +// a = 9'b100000001; +// #5 $finish; + +// end + +//endmodule + module shift_logical_right( input wire [8:0] A, output wire [8:0] B); - assign B = {A[0],A[8:1]}; + assign B = {1'b0,A[8:1]}; endmodule +//testbench +//module shift_logical_right_tb(); +//reg [8:0] a; +//wire [8:0] b; + +// shift_logical_right tb0( +// .A(a), +// .B(b)); + +// initial begin +// a = 9'b000000000; +// #5 +// a = 9'b000000001; +// #5 +// a = 9'b000111000; +// #5 +// a = 9'b010101010; +// #5 +// a = 9'b101010101; +// #5 +// a = 9'b111111111; +// #5 +// a = 9'b100000001; +// #5 $finish; + +// end + +//endmodule -// No D instance, fix module sub_9bit( input wire [8:0] A, input wire [8:0] B, @@ -496,6 +1056,50 @@ module sub_9bit( endmodule +//testbench +//module sub_9bit_tb(); +//reg [8:0] a; +//reg [8:0] b; +//wire [8:0] c; + +// sub_9bit tb0( +// .A(a), +// .B(b), +// .C(c)); + +// initial begin +// a = 9'b000000000; +// b = 9'b000000000; +// #5 +// a = 9'b111111111; +// b = 9'b111111111; +// #5 +// a = 9'b111111111; +// b = 9'b000000000; +// #5 +// a = 9'b000000000; +// b = 9'b111111111; +// #5 +// a = 9'b000000000; +// b = 9'b111111111; +// #5 +// a = 9'b010101010; +// b = 9'b111111111; +// #5 +// a = 9'b010101010; +// b = 9'b101010101; +// #5 +// a = 9'b000011111; +// b = 9'b111111111; +// #5 +// a = 9'b000000000; +// b = 9'b000010000; +// #5 $finish; + +// end + +//endmodule + module twos_compliment_9bit( input wire [8:0] A, output wire [8:0] B); @@ -512,4 +1116,33 @@ module twos_compliment_9bit( .Cin(1'b1), .Sum(B)); -endmodule \ No newline at end of file +endmodule + +//testbench +//module twos_compliment_tb(); +//reg [8:0] a; +//wire [8:0] b; + +// twos_compliment_9bit tb0( +// .A(a), +// .B(b)); + +// initial begin +// a = 9'b000000000; +// #5 +// a = 9'b000000001; +// #5 +// a = 9'b000111000; +// #5 +// a = 9'b010101010; +// #5 +// a = 9'b101010101; +// #5 +// a = 9'b111111111; +// #5 +// a = 9'b100000001; +// #5 $finish; + +// end + +//endmodule \ No newline at end of file diff --git a/lab2CA.srcs/sources_1/new/FetchUnit.v b/lab2CA.srcs/sources_1/new/FetchUnit.v index 49ba6a6..7749982 100644 --- a/lab2CA.srcs/sources_1/new/FetchUnit.v +++ b/lab2CA.srcs/sources_1/new/FetchUnit.v @@ -1,7 +1,6 @@ `timescale 1ns / 1ps module FetchUnit(input wire clk, reset, op_idx, - input wire [1:0] write_index, input wire [8:0] AddrIn, output wire [8:0] AddrOut); @@ -11,7 +10,7 @@ module FetchUnit(input wire clk, reset, op_idx, register PC( .clk(clk), .reset(reset), - .En({write_index[0], write_index[1]}), + .En(2'b00), .Din(result_m), .Dout(progC_out)); //Adds 1 to the program counter @@ -19,11 +18,11 @@ module FetchUnit(input wire clk, reset, op_idx, .A(progC_out), .B(1'b1), .Cin(1'b0), - .Sum(result_a)); + .Sum(AddrOut)); mux_2_1 PCmux( .A(AddrIn), - .B(result_a), + .B(AddrOut), .out(result_m), .switch(op_idx)); diff --git a/lab2CA.xpr b/lab2CA.xpr index 1006427..d0d9735 100644 --- a/lab2CA.xpr +++ b/lab2CA.xpr @@ -3,7 +3,7 @@ - +
- + @@ -81,7 +81,7 @@ - + @@ -92,6 +92,7 @@ @@ -107,7 +108,6 @@