fetch unit
Should be done. also added 2 to 1 mux
This commit is contained in:
@@ -3,7 +3,11 @@
|
|||||||
<!--The data in this file is primarily intended for consumption by Xilinx tools.
|
<!--The data in this file is primarily intended for consumption by Xilinx tools.
|
||||||
The structure and the elements are likely to change over the next few releases.
|
The structure and the elements are likely to change over the next few releases.
|
||||||
This means code written to parse this file will need to be revisited each subsequent release.-->
|
This means code written to parse this file will need to be revisited each subsequent release.-->
|
||||||
|
<<<<<<< Updated upstream
|
||||||
<application name="pa" timeStamp="Fri Feb 15 17:51:37 2019">
|
<application name="pa" timeStamp="Fri Feb 15 17:51:37 2019">
|
||||||
|
=======
|
||||||
|
<application name="pa" timeStamp="Sat Feb 16 11:50:37 2019">
|
||||||
|
>>>>>>> Stashed changes
|
||||||
<section name="Project Information" visible="false">
|
<section name="Project Information" visible="false">
|
||||||
<property name="ProjectID" value="cabf4166fb474d5f964bb35d114df571" type="ProjectID"/>
|
<property name="ProjectID" value="cabf4166fb474d5f964bb35d114df571" type="ProjectID"/>
|
||||||
<property name="ProjectIteration" value="1" type="ProjectIteration"/>
|
<property name="ProjectIteration" value="1" type="ProjectIteration"/>
|
||||||
@@ -20,6 +24,7 @@ This means code written to parse this file will need to be revisited each subseq
|
|||||||
<property name="AddSources" value="3" type="JavaHandler"/>
|
<property name="AddSources" value="3" type="JavaHandler"/>
|
||||||
<property name="CloseProject" value="1" type="JavaHandler"/>
|
<property name="CloseProject" value="1" type="JavaHandler"/>
|
||||||
<property name="EditDelete" value="1" type="JavaHandler"/>
|
<property name="EditDelete" value="1" type="JavaHandler"/>
|
||||||
|
<<<<<<< Updated upstream
|
||||||
<property name="OpenProject" value="1" type="JavaHandler"/>
|
<property name="OpenProject" value="1" type="JavaHandler"/>
|
||||||
<property name="RunSchematic" value="1" type="JavaHandler"/>
|
<property name="RunSchematic" value="1" type="JavaHandler"/>
|
||||||
<property name="RunSynthesis" value="3" type="JavaHandler"/>
|
<property name="RunSynthesis" value="3" type="JavaHandler"/>
|
||||||
@@ -45,6 +50,34 @@ This means code written to parse this file will need to be revisited each subseq
|
|||||||
<property name="MainMenuMgr_PROJECT" value="1" type="GuiHandlerData"/>
|
<property name="MainMenuMgr_PROJECT" value="1" type="GuiHandlerData"/>
|
||||||
<property name="MsgTreePanel_MESSAGE_VIEW_TREE" value="4" type="GuiHandlerData"/>
|
<property name="MsgTreePanel_MESSAGE_VIEW_TREE" value="4" type="GuiHandlerData"/>
|
||||||
<property name="MsgView_CLEAR_MESSAGES_RESULTING_FROM_USER_EXECUTED" value="1" type="GuiHandlerData"/>
|
<property name="MsgView_CLEAR_MESSAGES_RESULTING_FROM_USER_EXECUTED" value="1" type="GuiHandlerData"/>
|
||||||
|
=======
|
||||||
|
<property name="NewProject" value="2" type="JavaHandler"/>
|
||||||
|
<property name="OpenProject" value="4" type="JavaHandler"/>
|
||||||
|
<property name="SaveProjectAs" value="1" type="JavaHandler"/>
|
||||||
|
<property name="ToolsSettings" value="1" type="JavaHandler"/>
|
||||||
|
</item>
|
||||||
|
<item name="Gui Handlers">
|
||||||
|
<property name="BaseDialog_OK" value="7" type="GuiHandlerData"/>
|
||||||
|
<property name="CreateSrcFileDialog_FILE_NAME" value="3" type="GuiHandlerData"/>
|
||||||
|
<property name="FPGAChooser_CATEGORY" value="1" type="GuiHandlerData"/>
|
||||||
|
<property name="FPGAChooser_FAMILY" value="2" type="GuiHandlerData"/>
|
||||||
|
<property name="FPGAChooser_FPGA_TABLE" value="1" type="GuiHandlerData"/>
|
||||||
|
<property name="FPGAChooser_PACKAGE" value="1" type="GuiHandlerData"/>
|
||||||
|
<property name="FileSetPanel_FILE_SET_PANEL_TREE" value="40" type="GuiHandlerData"/>
|
||||||
|
<property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="1" type="GuiHandlerData"/>
|
||||||
|
<property name="GettingStartedView_CREATE_NEW_PROJECT" value="2" type="GuiHandlerData"/>
|
||||||
|
<property name="GettingStartedView_OPEN_PROJECT" value="4" type="GuiHandlerData"/>
|
||||||
|
<property name="MainMenuMgr_CHECKPOINT" value="3" type="GuiHandlerData"/>
|
||||||
|
<property name="MainMenuMgr_EDIT" value="4" type="GuiHandlerData"/>
|
||||||
|
<property name="MainMenuMgr_FILE" value="8" type="GuiHandlerData"/>
|
||||||
|
<property name="MainMenuMgr_FLOW" value="12" type="GuiHandlerData"/>
|
||||||
|
<property name="MainMenuMgr_IP" value="1" type="GuiHandlerData"/>
|
||||||
|
<property name="MainMenuMgr_OPEN_RECENT_PROJECT" value="1" type="GuiHandlerData"/>
|
||||||
|
<property name="MainMenuMgr_PROJECT" value="6" type="GuiHandlerData"/>
|
||||||
|
<property name="MainMenuMgr_REPORTS" value="8" type="GuiHandlerData"/>
|
||||||
|
<property name="MainMenuMgr_SETTINGS" value="2" type="GuiHandlerData"/>
|
||||||
|
<property name="MainMenuMgr_TOOLS" value="16" type="GuiHandlerData"/>
|
||||||
|
>>>>>>> Stashed changes
|
||||||
<property name="PACommandNames_ADD_SOURCES" value="3" type="GuiHandlerData"/>
|
<property name="PACommandNames_ADD_SOURCES" value="3" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_AUTO_UPDATE_HIER" value="3" type="GuiHandlerData"/>
|
<property name="PACommandNames_AUTO_UPDATE_HIER" value="3" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_CLOSE_PROJECT" value="1" type="GuiHandlerData"/>
|
<property name="PACommandNames_CLOSE_PROJECT" value="1" type="GuiHandlerData"/>
|
||||||
@@ -68,9 +101,15 @@ This means code written to parse this file will need to be revisited each subseq
|
|||||||
<property name="SyntheticaStateMonitor_CANCEL" value="1" type="GuiHandlerData"/>
|
<property name="SyntheticaStateMonitor_CANCEL" value="1" type="GuiHandlerData"/>
|
||||||
</item>
|
</item>
|
||||||
<item name="Other">
|
<item name="Other">
|
||||||
|
<<<<<<< Updated upstream
|
||||||
<property name="GuiMode" value="60" type="GuiMode"/>
|
<property name="GuiMode" value="60" type="GuiMode"/>
|
||||||
<property name="BatchMode" value="0" type="BatchMode"/>
|
<property name="BatchMode" value="0" type="BatchMode"/>
|
||||||
<property name="TclMode" value="59" type="TclMode"/>
|
<property name="TclMode" value="59" type="TclMode"/>
|
||||||
|
=======
|
||||||
|
<property name="GuiMode" value="3" type="GuiMode"/>
|
||||||
|
<property name="BatchMode" value="0" type="BatchMode"/>
|
||||||
|
<property name="TclMode" value="2" type="TclMode"/>
|
||||||
|
>>>>>>> Stashed changes
|
||||||
</item>
|
</item>
|
||||||
</section>
|
</section>
|
||||||
</application>
|
</application>
|
||||||
|
|||||||
@@ -56,4 +56,29 @@ module regFile(input wire clk, reset,
|
|||||||
.D(r3_out),
|
.D(r3_out),
|
||||||
.switch(op1_idx));
|
.switch(op1_idx));
|
||||||
|
|
||||||
endmodule
|
<<<<<<< Updated upstream
|
||||||
|
endmodule
|
||||||
|
=======
|
||||||
|
endmodule
|
||||||
|
|
||||||
|
module register(input wire clk, reset,
|
||||||
|
input wire [1:0] En,
|
||||||
|
input wire [7:0] Din,
|
||||||
|
output reg [7:0] Dout);
|
||||||
|
|
||||||
|
endmodule
|
||||||
|
|
||||||
|
module MUX();
|
||||||
|
|
||||||
|
endmodule
|
||||||
|
|
||||||
|
module fetchUnit(input wire clk, reset, write_en);
|
||||||
|
register progcount(
|
||||||
|
.clk(clk),
|
||||||
|
.reset(reset),
|
||||||
|
.En(),
|
||||||
|
.Din(),
|
||||||
|
.Dout());
|
||||||
|
|
||||||
|
endmodule
|
||||||
|
>>>>>>> Stashed changes
|
||||||
|
|||||||
Reference in New Issue
Block a user