Miscellaneous
This commit is contained in:
@@ -3,7 +3,7 @@
|
|||||||
<!--The data in this file is primarily intended for consumption by Xilinx tools.
|
<!--The data in this file is primarily intended for consumption by Xilinx tools.
|
||||||
The structure and the elements are likely to change over the next few releases.
|
The structure and the elements are likely to change over the next few releases.
|
||||||
This means code written to parse this file will need to be revisited each subsequent release.-->
|
This means code written to parse this file will need to be revisited each subsequent release.-->
|
||||||
<application name="pa" timeStamp="Wed Feb 20 11:35:47 2019">
|
<application name="pa" timeStamp="Thu Feb 21 15:07:11 2019">
|
||||||
<section name="Project Information" visible="false">
|
<section name="Project Information" visible="false">
|
||||||
<property name="ProjectID" value="88e779ed22f94d2db93b335d17c75f15" type="ProjectID"/>
|
<property name="ProjectID" value="88e779ed22f94d2db93b335d17c75f15" type="ProjectID"/>
|
||||||
<property name="ProjectIteration" value="7" type="ProjectIteration"/>
|
<property name="ProjectIteration" value="7" type="ProjectIteration"/>
|
||||||
@@ -24,54 +24,54 @@ This means code written to parse this file will need to be revisited each subseq
|
|||||||
<property name="RunSchematic" value="9" type="JavaHandler"/>
|
<property name="RunSchematic" value="9" type="JavaHandler"/>
|
||||||
<property name="RunSynthesis" value="16" type="JavaHandler"/>
|
<property name="RunSynthesis" value="16" type="JavaHandler"/>
|
||||||
<property name="SetSourceEnabled" value="2" type="JavaHandler"/>
|
<property name="SetSourceEnabled" value="2" type="JavaHandler"/>
|
||||||
<property name="SetTopNode" value="22" type="JavaHandler"/>
|
<property name="SetTopNode" value="23" type="JavaHandler"/>
|
||||||
<property name="ShowView" value="9" type="JavaHandler"/>
|
<property name="ShowView" value="9" type="JavaHandler"/>
|
||||||
<property name="SimulationClose" value="4" type="JavaHandler"/>
|
<property name="SimulationClose" value="4" type="JavaHandler"/>
|
||||||
<property name="SimulationRun" value="62" type="JavaHandler"/>
|
<property name="SimulationRun" value="63" type="JavaHandler"/>
|
||||||
<property name="ToggleSelectAreaMode" value="2" type="JavaHandler"/>
|
<property name="ToggleSelectAreaMode" value="2" type="JavaHandler"/>
|
||||||
<property name="ToolsSettings" value="1" type="JavaHandler"/>
|
<property name="ToolsSettings" value="1" type="JavaHandler"/>
|
||||||
<property name="ViewTaskImplementation" value="1" type="JavaHandler"/>
|
<property name="ViewTaskImplementation" value="1" type="JavaHandler"/>
|
||||||
<property name="ViewTaskProjectManager" value="1" type="JavaHandler"/>
|
<property name="ViewTaskProjectManager" value="1" type="JavaHandler"/>
|
||||||
<property name="ViewTaskRTLAnalysis" value="1" type="JavaHandler"/>
|
<property name="ViewTaskRTLAnalysis" value="2" type="JavaHandler"/>
|
||||||
<property name="ZoomFit" value="6" type="JavaHandler"/>
|
<property name="ZoomFit" value="6" type="JavaHandler"/>
|
||||||
</item>
|
</item>
|
||||||
<item name="Gui Handlers">
|
<item name="Gui Handlers">
|
||||||
<property name="BaseDialog_CANCEL" value="12" type="GuiHandlerData"/>
|
<property name="BaseDialog_CANCEL" value="13" type="GuiHandlerData"/>
|
||||||
<property name="BaseDialog_OK" value="65" type="GuiHandlerData"/>
|
<property name="BaseDialog_OK" value="65" type="GuiHandlerData"/>
|
||||||
<property name="BaseDialog_YES" value="4" type="GuiHandlerData"/>
|
<property name="BaseDialog_YES" value="4" type="GuiHandlerData"/>
|
||||||
<property name="CmdMsgDialog_OK" value="4" type="GuiHandlerData"/>
|
<property name="CmdMsgDialog_OK" value="4" type="GuiHandlerData"/>
|
||||||
<property name="CmdMsgDialog_OPEN_MESSAGES_VIEW" value="1" type="GuiHandlerData"/>
|
<property name="CmdMsgDialog_OPEN_MESSAGES_VIEW" value="1" type="GuiHandlerData"/>
|
||||||
<property name="FileSetPanel_FILE_SET_PANEL_TREE" value="122" type="GuiHandlerData"/>
|
<property name="FileSetPanel_FILE_SET_PANEL_TREE" value="142" type="GuiHandlerData"/>
|
||||||
<property name="FloatingTopDialog_SELECT_TOP_MODULE_OF_YOUR_DESIGN" value="12" type="GuiHandlerData"/>
|
<property name="FloatingTopDialog_SELECT_TOP_MODULE_OF_YOUR_DESIGN" value="12" type="GuiHandlerData"/>
|
||||||
<property name="FloatingTopDialog_SPECIFY_NEW_TOP_MODULE" value="10" type="GuiHandlerData"/>
|
<property name="FloatingTopDialog_SPECIFY_NEW_TOP_MODULE" value="10" type="GuiHandlerData"/>
|
||||||
<property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="119" type="GuiHandlerData"/>
|
<property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="121" type="GuiHandlerData"/>
|
||||||
<property name="GettingStartedView_OPEN_PROJECT" value="1" type="GuiHandlerData"/>
|
<property name="GettingStartedView_OPEN_PROJECT" value="1" type="GuiHandlerData"/>
|
||||||
<property name="GraphicalView_ZOOM_FIT" value="26" type="GuiHandlerData"/>
|
<property name="GraphicalView_ZOOM_FIT" value="27" type="GuiHandlerData"/>
|
||||||
<property name="GraphicalView_ZOOM_IN" value="40" type="GuiHandlerData"/>
|
<property name="GraphicalView_ZOOM_IN" value="40" type="GuiHandlerData"/>
|
||||||
<property name="GraphicalView_ZOOM_OUT" value="28" type="GuiHandlerData"/>
|
<property name="GraphicalView_ZOOM_OUT" value="28" type="GuiHandlerData"/>
|
||||||
<property name="HCodeEditor_BLANK_OPERATIONS" value="5" type="GuiHandlerData"/>
|
<property name="HCodeEditor_BLANK_OPERATIONS" value="5" type="GuiHandlerData"/>
|
||||||
<property name="HCodeEditor_CLOSE" value="3" type="GuiHandlerData"/>
|
<property name="HCodeEditor_CLOSE" value="3" type="GuiHandlerData"/>
|
||||||
<property name="HCodeEditor_COMMANDS_TO_FOLD_TEXT" value="3" type="GuiHandlerData"/>
|
<property name="HCodeEditor_COMMANDS_TO_FOLD_TEXT" value="3" type="GuiHandlerData"/>
|
||||||
<property name="HCodeEditor_DIFF_WITH" value="3" type="GuiHandlerData"/>
|
<property name="HCodeEditor_DIFF_WITH" value="3" type="GuiHandlerData"/>
|
||||||
<property name="HCodeEditor_SEARCH_TEXT_COMBO_BOX" value="5" type="GuiHandlerData"/>
|
<property name="HCodeEditor_SEARCH_TEXT_COMBO_BOX" value="7" type="GuiHandlerData"/>
|
||||||
<property name="HInputHandler_TOGGLE_LINE_COMMENTS" value="13" type="GuiHandlerData"/>
|
<property name="HInputHandler_TOGGLE_LINE_COMMENTS" value="13" type="GuiHandlerData"/>
|
||||||
<property name="LaunchPanel_DONT_SHOW_THIS_DIALOG_AGAIN" value="1" type="GuiHandlerData"/>
|
<property name="LaunchPanel_DONT_SHOW_THIS_DIALOG_AGAIN" value="1" type="GuiHandlerData"/>
|
||||||
<property name="MessageWithOptionDialog_DONT_SHOW_THIS_DIALOG_AGAIN" value="2" type="GuiHandlerData"/>
|
<property name="MessageWithOptionDialog_DONT_SHOW_THIS_DIALOG_AGAIN" value="2" type="GuiHandlerData"/>
|
||||||
<property name="MsgTreePanel_MESSAGE_VIEW_TREE" value="22" type="GuiHandlerData"/>
|
<property name="MsgTreePanel_MESSAGE_VIEW_TREE" value="22" type="GuiHandlerData"/>
|
||||||
<property name="OpenFileAction_OK" value="1" type="GuiHandlerData"/>
|
<property name="OpenFileAction_OK" value="1" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_AUTO_UPDATE_HIER" value="25" type="GuiHandlerData"/>
|
<property name="PACommandNames_AUTO_UPDATE_HIER" value="26" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_OPEN_RTL_DESIGN" value="1" type="GuiHandlerData"/>
|
<property name="PACommandNames_OPEN_RTL_DESIGN" value="1" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_RELOAD_RTL_DESIGN" value="1" type="GuiHandlerData"/>
|
<property name="PACommandNames_RELOAD_RTL_DESIGN" value="1" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_SELECT_AREA" value="2" type="GuiHandlerData"/>
|
<property name="PACommandNames_SELECT_AREA" value="2" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_SET_AS_TOP" value="23" type="GuiHandlerData"/>
|
<property name="PACommandNames_SET_AS_TOP" value="24" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_SIMULATION_RUN_BEHAVIORAL" value="60" type="GuiHandlerData"/>
|
<property name="PACommandNames_SIMULATION_RUN_BEHAVIORAL" value="61" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_SIMULATION_RUN_POST_IMPLEMENTATION_FUNCTIONAL" value="1" type="GuiHandlerData"/>
|
<property name="PACommandNames_SIMULATION_RUN_POST_IMPLEMENTATION_FUNCTIONAL" value="1" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_SIMULATION_RUN_POST_IMPLEMENTATION_TIMING" value="4" type="GuiHandlerData"/>
|
<property name="PACommandNames_SIMULATION_RUN_POST_IMPLEMENTATION_TIMING" value="4" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_SIMULATION_SETTINGS" value="1" type="GuiHandlerData"/>
|
<property name="PACommandNames_SIMULATION_SETTINGS" value="1" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_SRC_ENABLE" value="1" type="GuiHandlerData"/>
|
<property name="PACommandNames_SRC_ENABLE" value="1" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_ZOOM_FIT" value="6" type="GuiHandlerData"/>
|
<property name="PACommandNames_ZOOM_FIT" value="6" type="GuiHandlerData"/>
|
||||||
<property name="PAViews_CODE" value="6" type="GuiHandlerData"/>
|
<property name="PAViews_CODE" value="6" type="GuiHandlerData"/>
|
||||||
<property name="PAViews_PROJECT_SUMMARY" value="40" type="GuiHandlerData"/>
|
<property name="PAViews_PROJECT_SUMMARY" value="41" type="GuiHandlerData"/>
|
||||||
<property name="PAViews_SCHEMATIC" value="9" type="GuiHandlerData"/>
|
<property name="PAViews_SCHEMATIC" value="9" type="GuiHandlerData"/>
|
||||||
<property name="ProgressDialog_BACKGROUND" value="3" type="GuiHandlerData"/>
|
<property name="ProgressDialog_BACKGROUND" value="3" type="GuiHandlerData"/>
|
||||||
<property name="ProgressDialog_CANCEL" value="4" type="GuiHandlerData"/>
|
<property name="ProgressDialog_CANCEL" value="4" type="GuiHandlerData"/>
|
||||||
@@ -85,14 +85,19 @@ This means code written to parse this file will need to be revisited each subseq
|
|||||||
<property name="SaveProjectUtils_SAVE" value="5" type="GuiHandlerData"/>
|
<property name="SaveProjectUtils_SAVE" value="5" type="GuiHandlerData"/>
|
||||||
<property name="SelectTopModuleDialog_SELECT_TOP_MODULE" value="12" type="GuiHandlerData"/>
|
<property name="SelectTopModuleDialog_SELECT_TOP_MODULE" value="12" type="GuiHandlerData"/>
|
||||||
<property name="SimulationScopesPanel_SIMULATE_SCOPE_TABLE" value="3" type="GuiHandlerData"/>
|
<property name="SimulationScopesPanel_SIMULATE_SCOPE_TABLE" value="3" type="GuiHandlerData"/>
|
||||||
<property name="SrcMenu_IP_HIERARCHY" value="26" type="GuiHandlerData"/>
|
<property name="SrcMenu_IP_HIERARCHY" value="27" type="GuiHandlerData"/>
|
||||||
<property name="StaleMoreAction_OUT_OF_DATE_DETAILS" value="1" type="GuiHandlerData"/>
|
<property name="StaleMoreAction_OUT_OF_DATE_DETAILS" value="1" type="GuiHandlerData"/>
|
||||||
<property name="SyntheticaGettingStartedView_RECENT_PROJECTS" value="1" type="GuiHandlerData"/>
|
<property name="SyntheticaGettingStartedView_RECENT_PROJECTS" value="3" type="GuiHandlerData"/>
|
||||||
<property name="SyntheticaStateMonitor_CANCEL" value="1" type="GuiHandlerData"/>
|
<property name="SyntheticaStateMonitor_CANCEL" value="1" type="GuiHandlerData"/>
|
||||||
<property name="TaskBanner_CLOSE" value="17" type="GuiHandlerData"/>
|
<property name="TaskBanner_CLOSE" value="17" type="GuiHandlerData"/>
|
||||||
<property name="TclConsoleView_TCL_CONSOLE_CODE_EDITOR" value="1" type="GuiHandlerData"/>
|
<property name="TclConsoleView_TCL_CONSOLE_CODE_EDITOR" value="1" type="GuiHandlerData"/>
|
||||||
<property name="WaveformNameTree_WAVEFORM_NAME_TREE" value="148" type="GuiHandlerData"/>
|
<property name="WaveformNameTree_WAVEFORM_NAME_TREE" value="148" type="GuiHandlerData"/>
|
||||||
</item>
|
</item>
|
||||||
|
<item name="Other">
|
||||||
|
<property name="GuiMode" value="61" type="GuiMode"/>
|
||||||
|
<property name="BatchMode" value="0" type="BatchMode"/>
|
||||||
|
<property name="TclMode" value="60" type="TclMode"/>
|
||||||
|
</item>
|
||||||
</section>
|
</section>
|
||||||
</application>
|
</application>
|
||||||
</document>
|
</document>
|
||||||
|
|||||||
@@ -1,69 +1,28 @@
|
|||||||
<?xml version="1.0" encoding="UTF-8"?>
|
<?xml version="1.0" encoding="UTF-8"?>
|
||||||
<GenRun Id="impl_1" LaunchPart="xc7k160tifbg484-2L" LaunchTime="1550680547">
|
<GenRun Id="impl_1" LaunchPart="xc7k160tifbg484-2L" LaunchTime="1550680547">
|
||||||
<File Type="BITSTR-BMM" Name="FetchUnit_bd.bmm"/>
|
|
||||||
<File Type="OPT-METHODOLOGY-DRC" Name="FetchUnit_methodology_drc_opted.rpt"/>
|
|
||||||
<File Type="INIT-TIMING" Name="FetchUnit_timing_summary_init.rpt"/>
|
|
||||||
<File Type="ROUTE-PWR" Name="FetchUnit_power_routed.rpt"/>
|
|
||||||
<File Type="PA-TCL" Name="FetchUnit.tcl"/>
|
<File Type="PA-TCL" Name="FetchUnit.tcl"/>
|
||||||
<File Type="OPT-TIMING" Name="FetchUnit_timing_summary_opted.rpt"/>
|
<File Type="BITSTR-BMM" Name="FetchUnit_bd.bmm"/>
|
||||||
<File Type="OPT-DCP" Name="FetchUnit_opt.dcp"/>
|
|
||||||
<File Type="ROUTE-PWR-SUM" Name="FetchUnit_power_summary_routed.pb"/>
|
|
||||||
<File Type="REPORTS-TCL" Name="FetchUnit_reports.tcl"/>
|
<File Type="REPORTS-TCL" Name="FetchUnit_reports.tcl"/>
|
||||||
<File Type="OPT-DRC" Name="FetchUnit_drc_opted.rpt"/>
|
<File Type="OPT-DCP" Name="FetchUnit_opt.dcp"/>
|
||||||
<File Type="OPT-HWDEF" Name="FetchUnit.hwdef"/>
|
<File Type="OPT-HWDEF" Name="FetchUnit.hwdef"/>
|
||||||
<File Type="PWROPT-DCP" Name="FetchUnit_pwropt.dcp"/>
|
<File Type="PWROPT-DCP" Name="FetchUnit_pwropt.dcp"/>
|
||||||
<File Type="PWROPT-DRC" Name="FetchUnit_drc_pwropted.rpt"/>
|
|
||||||
<File Type="PWROPT-TIMING" Name="FetchUnit_timing_summary_pwropted.rpt"/>
|
|
||||||
<File Type="PLACE-DCP" Name="FetchUnit_placed.dcp"/>
|
<File Type="PLACE-DCP" Name="FetchUnit_placed.dcp"/>
|
||||||
<File Type="PLACE-IO" Name="FetchUnit_io_placed.rpt"/>
|
|
||||||
<File Type="PLACE-CLK" Name="FetchUnit_clock_utilization_placed.rpt"/>
|
|
||||||
<File Type="PLACE-UTIL" Name="FetchUnit_utilization_placed.rpt"/>
|
|
||||||
<File Type="PLACE-UTIL-PB" Name="FetchUnit_utilization_placed.pb"/>
|
|
||||||
<File Type="PLACE-CTRL" Name="FetchUnit_control_sets_placed.rpt"/>
|
|
||||||
<File Type="PLACE-SIMILARITY" Name="FetchUnit_incremental_reuse_placed.rpt"/>
|
|
||||||
<File Type="PLACE-PRE-SIMILARITY" Name="FetchUnit_incremental_reuse_pre_placed.rpt"/>
|
<File Type="PLACE-PRE-SIMILARITY" Name="FetchUnit_incremental_reuse_pre_placed.rpt"/>
|
||||||
<File Type="BG-BGN" Name="FetchUnit.bgn"/>
|
<File Type="BG-BGN" Name="FetchUnit.bgn"/>
|
||||||
<File Type="PLACE-TIMING" Name="FetchUnit_timing_summary_placed.rpt"/>
|
|
||||||
<File Type="POSTPLACE-PWROPT-DCP" Name="FetchUnit_postplace_pwropt.dcp"/>
|
<File Type="POSTPLACE-PWROPT-DCP" Name="FetchUnit_postplace_pwropt.dcp"/>
|
||||||
<File Type="BG-BIN" Name="FetchUnit.bin"/>
|
<File Type="BG-BIN" Name="FetchUnit.bin"/>
|
||||||
<File Type="POSTPLACE-PWROPT-TIMING" Name="FetchUnit_timing_summary_postplace_pwropted.rpt"/>
|
|
||||||
<File Type="PHYSOPT-DCP" Name="FetchUnit_physopt.dcp"/>
|
<File Type="PHYSOPT-DCP" Name="FetchUnit_physopt.dcp"/>
|
||||||
<File Type="PHYSOPT-DRC" Name="FetchUnit_drc_physopted.rpt"/>
|
|
||||||
<File Type="BITSTR-MSK" Name="FetchUnit.msk"/>
|
<File Type="BITSTR-MSK" Name="FetchUnit.msk"/>
|
||||||
<File Type="PHYSOPT-TIMING" Name="FetchUnit_timing_summary_physopted.rpt"/>
|
|
||||||
<File Type="ROUTE-ERROR-DCP" Name="FetchUnit_routed_error.dcp"/>
|
<File Type="ROUTE-ERROR-DCP" Name="FetchUnit_routed_error.dcp"/>
|
||||||
<File Type="ROUTE-DCP" Name="FetchUnit_routed.dcp"/>
|
<File Type="ROUTE-DCP" Name="FetchUnit_routed.dcp"/>
|
||||||
<File Type="ROUTE-BLACKBOX-DCP" Name="FetchUnit_routed_bb.dcp"/>
|
<File Type="ROUTE-BLACKBOX-DCP" Name="FetchUnit_routed_bb.dcp"/>
|
||||||
<File Type="ROUTE-DRC" Name="FetchUnit_drc_routed.rpt"/>
|
|
||||||
<File Type="ROUTE-DRC-PB" Name="FetchUnit_drc_routed.pb"/>
|
|
||||||
<File Type="BITSTR-LTX" Name="debug_nets.ltx"/>
|
|
||||||
<File Type="BITSTR-LTX" Name="FetchUnit.ltx"/>
|
<File Type="BITSTR-LTX" Name="FetchUnit.ltx"/>
|
||||||
<File Type="ROUTE-DRC-RPX" Name="FetchUnit_drc_routed.rpx"/>
|
|
||||||
<File Type="BITSTR-MMI" Name="FetchUnit.mmi"/>
|
<File Type="BITSTR-MMI" Name="FetchUnit.mmi"/>
|
||||||
<File Type="ROUTE-METHODOLOGY-DRC" Name="FetchUnit_methodology_drc_routed.rpt"/>
|
|
||||||
<File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="FetchUnit_methodology_drc_routed.rpx"/>
|
|
||||||
<File Type="BITSTR-SYSDEF" Name="FetchUnit.sysdef"/>
|
<File Type="BITSTR-SYSDEF" Name="FetchUnit.sysdef"/>
|
||||||
<File Type="ROUTE-METHODOLOGY-DRC-PB" Name="FetchUnit_methodology_drc_routed.pb"/>
|
|
||||||
<File Type="ROUTE-PWR-RPX" Name="FetchUnit_power_routed.rpx"/>
|
|
||||||
<File Type="ROUTE-STATUS" Name="FetchUnit_route_status.rpt"/>
|
|
||||||
<File Type="ROUTE-STATUS-PB" Name="FetchUnit_route_status.pb"/>
|
|
||||||
<File Type="ROUTE-TIMINGSUMMARY" Name="FetchUnit_timing_summary_routed.rpt"/>
|
|
||||||
<File Type="ROUTE-TIMING-PB" Name="FetchUnit_timing_summary_routed.pb"/>
|
<File Type="ROUTE-TIMING-PB" Name="FetchUnit_timing_summary_routed.pb"/>
|
||||||
<File Type="ROUTE-TIMING-RPX" Name="FetchUnit_timing_summary_routed.rpx"/>
|
|
||||||
<File Type="ROUTE-SIMILARITY" Name="FetchUnit_incremental_reuse_routed.rpt"/>
|
|
||||||
<File Type="ROUTE-CLK" Name="FetchUnit_clock_utilization_routed.rpt"/>
|
|
||||||
<File Type="ROUTE-BUS-SKEW" Name="FetchUnit_bus_skew_routed.rpt"/>
|
|
||||||
<File Type="ROUTE-BUS-SKEW-PB" Name="FetchUnit_bus_skew_routed.pb"/>
|
|
||||||
<File Type="ROUTE-BUS-SKEW-RPX" Name="FetchUnit_bus_skew_routed.rpx"/>
|
|
||||||
<File Type="POSTROUTE-PHYSOPT-DCP" Name="FetchUnit_postroute_physopt.dcp"/>
|
<File Type="POSTROUTE-PHYSOPT-DCP" Name="FetchUnit_postroute_physopt.dcp"/>
|
||||||
<File Type="POSTROUTE-PHYSOPT-BLACKBOX-DCP" Name="FetchUnit_postroute_physopt_bb.dcp"/>
|
<File Type="POSTROUTE-PHYSOPT-BLACKBOX-DCP" Name="FetchUnit_postroute_physopt_bb.dcp"/>
|
||||||
<File Type="POSTROUTE-PHYSOPT-TIMING" Name="FetchUnit_timing_summary_postroute_physopted.rpt"/>
|
|
||||||
<File Type="POSTROUTE-PHYSOPT-TIMING-PB" Name="FetchUnit_timing_summary_postroute_physopted.pb"/>
|
|
||||||
<File Type="POSTROUTE-PHYSOPT-TIMING-RPX" Name="FetchUnit_timing_summary_postroute_physopted.rpx"/>
|
|
||||||
<File Type="POSTROUTE-PHYSOPT-BUS-SKEW" Name="FetchUnit_bus_skew_postroute_physopted.rpt"/>
|
|
||||||
<File Type="POSTROUTE-PHYSOPT-BUS-SKEW-PB" Name="FetchUnit_bus_skew_postroute_physopted.pb"/>
|
|
||||||
<File Type="BG-BIT" Name="FetchUnit.bit"/>
|
<File Type="BG-BIT" Name="FetchUnit.bit"/>
|
||||||
<File Type="POSTROUTE-PHYSOPT-BUS-SKEW-RPX" Name="FetchUnit_bus_skew_postroute_physopted.rpx"/>
|
|
||||||
<File Type="BITSTR-RBT" Name="FetchUnit.rbt"/>
|
<File Type="BITSTR-RBT" Name="FetchUnit.rbt"/>
|
||||||
<File Type="BITSTR-NKY" Name="FetchUnit.nky"/>
|
<File Type="BITSTR-NKY" Name="FetchUnit.nky"/>
|
||||||
<File Type="BG-DRC" Name="FetchUnit.drc"/>
|
<File Type="BG-DRC" Name="FetchUnit.drc"/>
|
||||||
|
|||||||
@@ -1,14 +1,9 @@
|
|||||||
<?xml version="1.0" encoding="UTF-8"?>
|
<?xml version="1.0" encoding="UTF-8"?>
|
||||||
<GenRun Id="synth_1" LaunchPart="xc7k160tifbg484-2L" LaunchTime="1550680547">
|
<GenRun Id="synth_1" LaunchPart="xc7k160tifbg484-2L" LaunchTime="1550680547">
|
||||||
<File Type="PA-TCL" Name="FetchUnit.tcl"/>
|
<File Type="PA-TCL" Name="FetchUnit.tcl"/>
|
||||||
<File Type="RDS-PROPCONSTRS" Name="FetchUnit_drc_synth.rpt"/>
|
|
||||||
<File Type="REPORTS-TCL" Name="FetchUnit_reports.tcl"/>
|
<File Type="REPORTS-TCL" Name="FetchUnit_reports.tcl"/>
|
||||||
<File Type="RDS-RDS" Name="FetchUnit.vds"/>
|
<File Type="RDS-RDS" Name="FetchUnit.vds"/>
|
||||||
<File Type="RDS-UTIL" Name="FetchUnit_utilization_synth.rpt"/>
|
|
||||||
<File Type="RDS-UTIL-PB" Name="FetchUnit_utilization_synth.pb"/>
|
|
||||||
<File Type="RDS-DCP" Name="FetchUnit.dcp"/>
|
<File Type="RDS-DCP" Name="FetchUnit.dcp"/>
|
||||||
<File Type="VDS-TIMINGSUMMARY" Name="FetchUnit_timing_summary_synth.rpt"/>
|
|
||||||
<File Type="VDS-TIMING-PB" Name="FetchUnit_timing_summary_synth.pb"/>
|
|
||||||
<FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
|
<FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
|
||||||
<Filter Type="Srcs"/>
|
<Filter Type="Srcs"/>
|
||||||
<File Path="$PSRCDIR/sources_1/new/BasicModules.v">
|
<File Path="$PSRCDIR/sources_1/new/BasicModules.v">
|
||||||
|
|||||||
@@ -2,11 +2,11 @@
|
|||||||
# Webtalk v2018.3 (64-bit)
|
# Webtalk v2018.3 (64-bit)
|
||||||
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
||||||
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
||||||
# Start of session at: Wed Feb 20 11:30:13 2019
|
# Start of session at: Thu Feb 21 15:07:17 2019
|
||||||
# Process ID: 10344
|
# Process ID: 4960
|
||||||
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim
|
# Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim
|
||||||
# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
# Command line: wbtcv.exe -mode batch -source C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
||||||
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log
|
# Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log
|
||||||
# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou
|
# Journal file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou
|
||||||
#-----------------------------------------------------------
|
#-----------------------------------------------------------
|
||||||
source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
source C:/REPOSITORIES/Educational/Western -notrace
|
||||||
|
|||||||
@@ -2,8 +2,8 @@
|
|||||||
# Webtalk v2018.3 (64-bit)
|
# Webtalk v2018.3 (64-bit)
|
||||||
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
||||||
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
||||||
# Start of session at: Wed Feb 20 11:27:03 2019
|
# Start of session at: Wed Feb 20 11:30:13 2019
|
||||||
# Process ID: 13504
|
# Process ID: 10344
|
||||||
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim
|
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim
|
||||||
# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
||||||
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log
|
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log
|
||||||
12
lab2CA.sim/sim_1/behav/xsim/webtalk_16620.backup.jou
Normal file
12
lab2CA.sim/sim_1/behav/xsim/webtalk_16620.backup.jou
Normal file
@@ -0,0 +1,12 @@
|
|||||||
|
#-----------------------------------------------------------
|
||||||
|
# Webtalk v2018.3 (64-bit)
|
||||||
|
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
||||||
|
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
||||||
|
# Start of session at: Thu Feb 21 14:46:02 2019
|
||||||
|
# Process ID: 16620
|
||||||
|
# Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim
|
||||||
|
# Command line: wbtcv.exe -mode batch -source C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
||||||
|
# Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log
|
||||||
|
# Journal file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou
|
||||||
|
#-----------------------------------------------------------
|
||||||
|
source C:/REPOSITORIES/Educational/Western -notrace
|
||||||
@@ -1,12 +0,0 @@
|
|||||||
#-----------------------------------------------------------
|
|
||||||
# Webtalk v2018.3 (64-bit)
|
|
||||||
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
|
||||||
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
|
||||||
# Start of session at: Sat Feb 16 17:35:22 2019
|
|
||||||
# Process ID: 5680
|
|
||||||
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim
|
|
||||||
# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
|
||||||
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log
|
|
||||||
# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou
|
|
||||||
#-----------------------------------------------------------
|
|
||||||
source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/regFile_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
|
||||||
Binary file not shown.
@@ -1,42 +0,0 @@
|
|||||||
webtalk_init -webtalk_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/
|
|
||||||
webtalk_register_client -client project
|
|
||||||
webtalk_add_data -client project -key date_generated -value "Wed Feb 20 11:22:46 2019" -context "software_version_and_target_device"
|
|
||||||
webtalk_add_data -client project -key product_version -value "XSIM v2018.3 (64-bit)" -context "software_version_and_target_device"
|
|
||||||
webtalk_add_data -client project -key build_version -value "2405991" -context "software_version_and_target_device"
|
|
||||||
webtalk_add_data -client project -key os_platform -value "WIN64" -context "software_version_and_target_device"
|
|
||||||
webtalk_add_data -client project -key registration_id -value "174150793_174150794_210688225_140" -context "software_version_and_target_device"
|
|
||||||
webtalk_add_data -client project -key tool_flow -value "xsim_vivado" -context "software_version_and_target_device"
|
|
||||||
webtalk_add_data -client project -key beta -value "FALSE" -context "software_version_and_target_device"
|
|
||||||
webtalk_add_data -client project -key route_design -value "FALSE" -context "software_version_and_target_device"
|
|
||||||
webtalk_add_data -client project -key target_family -value "not_applicable" -context "software_version_and_target_device"
|
|
||||||
webtalk_add_data -client project -key target_device -value "not_applicable" -context "software_version_and_target_device"
|
|
||||||
webtalk_add_data -client project -key target_package -value "not_applicable" -context "software_version_and_target_device"
|
|
||||||
webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device"
|
|
||||||
webtalk_add_data -client project -key random_id -value "4e917e26-7591-4435-9135-15bd446b0238" -context "software_version_and_target_device"
|
|
||||||
webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device"
|
|
||||||
webtalk_add_data -client project -key project_iteration -value "21" -context "software_version_and_target_device"
|
|
||||||
webtalk_add_data -client project -key os_name -value "Microsoft Windows 8 or later , 64-bit" -context "user_environment"
|
|
||||||
webtalk_add_data -client project -key os_release -value "major release (build 9200)" -context "user_environment"
|
|
||||||
webtalk_add_data -client project -key cpu_name -value "Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" -context "user_environment"
|
|
||||||
webtalk_add_data -client project -key cpu_speed -value "3492 MHz" -context "user_environment"
|
|
||||||
webtalk_add_data -client project -key total_processors -value "1" -context "user_environment"
|
|
||||||
webtalk_add_data -client project -key system_ram -value "34.000 GB" -context "user_environment"
|
|
||||||
webtalk_register_client -client xsim
|
|
||||||
webtalk_add_data -client xsim -key File_Counter -value "3" -context "xsim\\command_line_options"
|
|
||||||
webtalk_add_data -client xsim -key Command -value "xelab" -context "xsim\\command_line_options"
|
|
||||||
webtalk_add_data -client xsim -key Vhdl2008 -value "false" -context "xsim\\command_line_options"
|
|
||||||
webtalk_add_data -client xsim -key GenDLL -value "false" -context "xsim\\command_line_options"
|
|
||||||
webtalk_add_data -client xsim -key SDFModeling -value "false" -context "xsim\\command_line_options"
|
|
||||||
webtalk_add_data -client xsim -key HWCosim -value "false" -context "xsim\\command_line_options"
|
|
||||||
webtalk_add_data -client xsim -key DPI_Used -value "false" -context "xsim\\command_line_options"
|
|
||||||
webtalk_add_data -client xsim -key Debug -value "typical" -context "xsim\\command_line_options"
|
|
||||||
webtalk_add_data -client xsim -key Simulation_Image_Code -value "69 KB" -context "xsim\\usage"
|
|
||||||
webtalk_add_data -client xsim -key Simulation_Image_Data -value "4 KB" -context "xsim\\usage"
|
|
||||||
webtalk_add_data -client xsim -key Total_Nets -value "0" -context "xsim\\usage"
|
|
||||||
webtalk_add_data -client xsim -key Total_Processes -value "45" -context "xsim\\usage"
|
|
||||||
webtalk_add_data -client xsim -key Total_Instances -value "15" -context "xsim\\usage"
|
|
||||||
webtalk_add_data -client xsim -key Xilinx_HDL_Libraries_Used -value "secureip unimacro_ver unisims_ver " -context "xsim\\usage"
|
|
||||||
webtalk_add_data -client xsim -key Compiler_Time -value "0.78_sec" -context "xsim\\usage"
|
|
||||||
webtalk_add_data -client xsim -key Compiler_Memory -value "41208_KB" -context "xsim\\usage"
|
|
||||||
webtalk_transmit -clientid 1004531601 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/fetchUnit_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "<H3>XSIM Usage Report</H3><BR>"
|
|
||||||
webtalk_terminate
|
|
||||||
Binary file not shown.
Binary file not shown.
@@ -31,61 +31,61 @@ module FetchUnit(input wire clk, reset,
|
|||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
//testbench
|
//testbench
|
||||||
//module fetchUnit_tb();
|
module fetchUnit_tb();
|
||||||
//reg [8:0] addr_in;
|
reg [8:0] addr_in;
|
||||||
//reg opidx;
|
reg opidx;
|
||||||
//reg reset;
|
reg reset;
|
||||||
//wire [8:0] addr_out;
|
wire [8:0] addr_out;
|
||||||
|
|
||||||
// reg clk;
|
reg clk;
|
||||||
// initial begin
|
initial begin
|
||||||
// clk = 1'b0;
|
clk = 1'b0;
|
||||||
// end
|
end
|
||||||
// always begin
|
always begin
|
||||||
// #5 clk = ~clk; // Period to be determined
|
#5 clk = ~clk; // Period to be determined
|
||||||
// end
|
end
|
||||||
|
|
||||||
//FetchUnit fetchUnit0(
|
FetchUnit fetchUnit0(
|
||||||
//.clk(clk),
|
.clk(clk),
|
||||||
//.reset(reset),
|
.reset(reset),
|
||||||
//.op_idx(opidx),
|
.op_idx(opidx),
|
||||||
//.AddrIn(addr_in),
|
.AddrIn(addr_in),
|
||||||
//.AddrOut(addr_out));
|
.AddrOut(addr_out));
|
||||||
|
|
||||||
|
|
||||||
|
|
||||||
// initial begin
|
initial begin
|
||||||
// reset = 0;
|
reset = 0;
|
||||||
// opidx = 1'b1;
|
opidx = 1'b1;
|
||||||
// addr_in = 0'b000000000;
|
addr_in = 0'b000000000;
|
||||||
// #5
|
#5
|
||||||
// reset = 1;
|
reset = 1;
|
||||||
// #5
|
#5
|
||||||
// reset = 0;
|
reset = 0;
|
||||||
// opidx = 1'b0;
|
opidx = 1'b0;
|
||||||
// addr_in = 9'b000001111;
|
addr_in = 9'b000001111;
|
||||||
// #5
|
#5
|
||||||
// #5
|
#5
|
||||||
// addr_in = 9'b011000011;
|
addr_in = 9'b011000011;
|
||||||
// #5
|
#5
|
||||||
// #5
|
#5
|
||||||
// opidx = 1'b1;
|
opidx = 1'b1;
|
||||||
// #5
|
#5
|
||||||
// #5
|
#5
|
||||||
// #5
|
#5
|
||||||
// #5
|
#5
|
||||||
// opidx = 1'b0;
|
opidx = 1'b0;
|
||||||
// addr_in = 9'b000001111;
|
addr_in = 9'b000001111;
|
||||||
// #5
|
#5
|
||||||
// #5
|
#5
|
||||||
// addr_in = 9'b010010011;
|
addr_in = 9'b010010011;
|
||||||
// #5
|
#5
|
||||||
// opidx = 1'b1;
|
opidx = 1'b1;
|
||||||
// #5
|
#5
|
||||||
// #5
|
#5
|
||||||
// #5
|
#5
|
||||||
// #5
|
#5
|
||||||
// #5 $finish;
|
#5 $finish;
|
||||||
|
|
||||||
// end
|
end
|
||||||
//endmodule
|
endmodule
|
||||||
@@ -3,7 +3,7 @@
|
|||||||
<!-- -->
|
<!-- -->
|
||||||
<!-- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -->
|
<!-- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -->
|
||||||
|
|
||||||
<Project Version="7" Minor="39" Path="C:/Users/ecelab/ECE3570-Lab/lab2CA.xpr">
|
<Project Version="7" Minor="39" Path="C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.xpr">
|
||||||
<DefaultLaunch Dir="$PRUNDIR"/>
|
<DefaultLaunch Dir="$PRUNDIR"/>
|
||||||
<Configuration>
|
<Configuration>
|
||||||
<Option Name="Id" Val="0a5803efda44405bb28bbf43ba22e808"/>
|
<Option Name="Id" Val="0a5803efda44405bb28bbf43ba22e808"/>
|
||||||
@@ -31,7 +31,7 @@
|
|||||||
<Option Name="EnableBDX" Val="FALSE"/>
|
<Option Name="EnableBDX" Val="FALSE"/>
|
||||||
<Option Name="DSAVendor" Val="xilinx"/>
|
<Option Name="DSAVendor" Val="xilinx"/>
|
||||||
<Option Name="DSANumComputeUnits" Val="60"/>
|
<Option Name="DSANumComputeUnits" Val="60"/>
|
||||||
<Option Name="WTXSimLaunchSim" Val="60"/>
|
<Option Name="WTXSimLaunchSim" Val="61"/>
|
||||||
<Option Name="WTModelSimLaunchSim" Val="0"/>
|
<Option Name="WTModelSimLaunchSim" Val="0"/>
|
||||||
<Option Name="WTQuestaLaunchSim" Val="0"/>
|
<Option Name="WTQuestaLaunchSim" Val="0"/>
|
||||||
<Option Name="WTIesLaunchSim" Val="0"/>
|
<Option Name="WTIesLaunchSim" Val="0"/>
|
||||||
@@ -104,7 +104,8 @@
|
|||||||
<Filter Type="Srcs"/>
|
<Filter Type="Srcs"/>
|
||||||
<Config>
|
<Config>
|
||||||
<Option Name="DesignMode" Val="RTL"/>
|
<Option Name="DesignMode" Val="RTL"/>
|
||||||
<Option Name="TopModule" Val="regFile_tb"/>
|
<Option Name="TopModule" Val="fetchUnit_tb"/>
|
||||||
|
<Option Name="TopLib" Val="xil_defaultlib"/>
|
||||||
<Option Name="TransportPathDelay" Val="0"/>
|
<Option Name="TransportPathDelay" Val="0"/>
|
||||||
<Option Name="TransportIntDelay" Val="0"/>
|
<Option Name="TransportIntDelay" Val="0"/>
|
||||||
<Option Name="SrcSet" Val="sources_1"/>
|
<Option Name="SrcSet" Val="sources_1"/>
|
||||||
|
|||||||
Reference in New Issue
Block a user