Added forwarding

This commit is contained in:
Johannes
2019-04-11 18:36:00 -04:00
parent 42d2bf2d80
commit bc9c02322c
12 changed files with 367 additions and 277 deletions

View File

@@ -3,10 +3,10 @@
<!--The data in this file is primarily intended for consumption by Xilinx tools. <!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases. The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.--> This means code written to parse this file will need to be revisited each subsequent release.-->
<application name="pa" timeStamp="Thu Apr 11 16:03:16 2019"> <application name="pa" timeStamp="Thu Apr 11 18:35:24 2019">
<section name="Project Information" visible="false"> <section name="Project Information" visible="false">
<property name="ProjectID" value="88e779ed22f94d2db93b335d17c75f15" type="ProjectID"/> <property name="ProjectID" value="3e90d71c8f614f9191c5fa413d1d835d" type="ProjectID"/>
<property name="ProjectIteration" value="26" type="ProjectIteration"/> <property name="ProjectIteration" value="1" type="ProjectIteration"/>
</section> </section>
<section name="PlanAhead Usage" visible="true"> <section name="PlanAhead Usage" visible="true">
<item name="Project Data"> <item name="Project Data">
@@ -17,195 +17,19 @@ This means code written to parse this file will need to be revisited each subseq
<property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/> <property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/>
</item> </item>
<item name="Java Command Handlers"> <item name="Java Command Handlers">
<property name="AddSources" value="6" type="JavaHandler"/> <property name="CloseProject" value="1" type="JavaHandler"/>
<property name="CloseProject" value="22" type="JavaHandler"/>
<property name="EditDelete" value="8" type="JavaHandler"/>
<property name="FlipToViewTaskRTLAnalysis" value="1" type="JavaHandler"/>
<property name="OpenDesign" value="1" type="JavaHandler"/>
<property name="OpenFile" value="1" type="JavaHandler"/>
<property name="OpenProject" value="3" type="JavaHandler"/>
<property name="ReloadDesign" value="1" type="JavaHandler"/>
<property name="ReportTimingSummary" value="9" type="JavaHandler"/>
<property name="RunImplementation" value="31" type="JavaHandler"/>
<property name="RunSchematic" value="35" type="JavaHandler"/>
<property name="RunSynthesis" value="31" type="JavaHandler"/>
<property name="SaveFileProxyHandler" value="5" type="JavaHandler"/>
<property name="SaveLayoutAs" value="1" type="JavaHandler"/>
<property name="SetSourceEnabled" value="5" type="JavaHandler"/>
<property name="SetTopNode" value="43" type="JavaHandler"/>
<property name="ShowSimulationDefaultWaveFormView" value="1" type="JavaHandler"/>
<property name="ShowSource" value="1" type="JavaHandler"/>
<property name="ShowView" value="19" type="JavaHandler"/>
<property name="SimulationClose" value="6" type="JavaHandler"/>
<property name="SimulationRelaunch" value="103" type="JavaHandler"/>
<property name="SimulationRun" value="97" type="JavaHandler"/>
<property name="SimulationRunForTime" value="5" type="JavaHandler"/>
<property name="TclFind" value="7" type="JavaHandler"/>
<property name="ToggleSelectAreaMode" value="3" type="JavaHandler"/>
<property name="ToggleViewNavigator" value="1" type="JavaHandler"/>
<property name="ToolsSettings" value="2" type="JavaHandler"/>
<property name="UpdateSourceFiles" value="1" type="JavaHandler"/>
<property name="ViewLayoutCmd" value="2" type="JavaHandler"/>
<property name="ViewTaskImplementation" value="4" type="JavaHandler"/>
<property name="ViewTaskProjectManager" value="2" type="JavaHandler"/>
<property name="ViewTaskRTLAnalysis" value="16" type="JavaHandler"/>
<property name="WaveformOpenConfiguration" value="2" type="JavaHandler"/>
<property name="WaveformSaveConfiguration" value="13" type="JavaHandler"/>
<property name="WaveformSaveConfigurationAs" value="1" type="JavaHandler"/>
<property name="ZoomFit" value="11" type="JavaHandler"/>
<property name="ZoomOut" value="3" type="JavaHandler"/>
</item> </item>
<item name="Gui Handlers"> <item name="Gui Handlers">
<property name="AbstractSaveAsDialog_NAME" value="2" type="GuiHandlerData"/> <property name="FileSetPanel_FILE_SET_PANEL_TREE" value="2" type="GuiHandlerData"/>
<property name="AbstractSearchablePanel_SHOW_SEARCH" value="2" type="GuiHandlerData"/> <property name="MainMenuMgr_FILE" value="2" type="GuiHandlerData"/>
<property name="BaseDialogUtils_OPEN_IN_SPECIFIED_LAYOUT" value="1" type="GuiHandlerData"/> <property name="MainMenuMgr_PROJECT" value="1" type="GuiHandlerData"/>
<property name="BaseDialog_APPLY" value="1" type="GuiHandlerData"/> <property name="PACommandNames_CLOSE_PROJECT" value="1" type="GuiHandlerData"/>
<property name="BaseDialog_CANCEL" value="33" type="GuiHandlerData"/> <property name="SyntheticaGettingStartedView_RECENT_PROJECTS" value="1" type="GuiHandlerData"/>
<property name="BaseDialog_OK" value="152" type="GuiHandlerData"/>
<property name="BaseDialog_YES" value="21" type="GuiHandlerData"/>
<property name="ClosePlanner_YES" value="1" type="GuiHandlerData"/>
<property name="CmdMsgDialog_MESSAGES" value="2" type="GuiHandlerData"/>
<property name="CmdMsgDialog_OK" value="13" type="GuiHandlerData"/>
<property name="CmdMsgDialog_OPEN_MESSAGES_VIEW" value="2" type="GuiHandlerData"/>
<property name="CodeView_TOGGLE_COLUMN_SELECTION_MODE" value="14" type="GuiHandlerData"/>
<property name="CreateSrcFileDialog_FILE_NAME" value="7" type="GuiHandlerData"/>
<property name="FileSetPanel_FILE_SET_PANEL_TREE" value="647" type="GuiHandlerData"/>
<property name="FloatingTopDialog_SELECT_TOP_MODULE_OF_YOUR_DESIGN" value="12" type="GuiHandlerData"/>
<property name="FloatingTopDialog_SPECIFY_NEW_TOP_MODULE" value="10" type="GuiHandlerData"/>
<property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="299" type="GuiHandlerData"/>
<property name="GettingStartedView_OPEN_PROJECT" value="2" type="GuiHandlerData"/>
<property name="GraphicalView_ZOOM_FIT" value="68" type="GuiHandlerData"/>
<property name="GraphicalView_ZOOM_IN" value="74" type="GuiHandlerData"/>
<property name="GraphicalView_ZOOM_OUT" value="60" type="GuiHandlerData"/>
<property name="HCodeEditor_BLANK_OPERATIONS" value="6" type="GuiHandlerData"/>
<property name="HCodeEditor_CLOSE" value="9" type="GuiHandlerData"/>
<property name="HCodeEditor_COMMANDS_TO_FOLD_TEXT" value="3" type="GuiHandlerData"/>
<property name="HCodeEditor_DIFF_WITH" value="3" type="GuiHandlerData"/>
<property name="HCodeEditor_SEARCH_TEXT_COMBO_BOX" value="66" type="GuiHandlerData"/>
<property name="HInputHandler_INDENT_SELECTION" value="1" type="GuiHandlerData"/>
<property name="HInputHandler_TOGGLE_LINE_COMMENTS" value="15" type="GuiHandlerData"/>
<property name="HPopupTitle_CLOSE" value="1" type="GuiHandlerData"/>
<property name="InstanceMenu_FLOORPLANNING" value="2" type="GuiHandlerData"/>
<property name="LaunchPanel_DONT_SHOW_THIS_DIALOG_AGAIN" value="1" type="GuiHandlerData"/>
<property name="MainMenuMgr_CHECKPOINT" value="7" type="GuiHandlerData"/>
<property name="MainMenuMgr_CONSTRAINTS" value="2" type="GuiHandlerData"/>
<property name="MainMenuMgr_EDIT" value="14" type="GuiHandlerData"/>
<property name="MainMenuMgr_EXPORT" value="5" type="GuiHandlerData"/>
<property name="MainMenuMgr_FILE" value="72" type="GuiHandlerData"/>
<property name="MainMenuMgr_FLOW" value="8" type="GuiHandlerData"/>
<property name="MainMenuMgr_IP" value="7" type="GuiHandlerData"/>
<property name="MainMenuMgr_OPEN_BLOCK_DESIGN" value="2" type="GuiHandlerData"/>
<property name="MainMenuMgr_OPEN_RECENT_PROJECT" value="2" type="GuiHandlerData"/>
<property name="MainMenuMgr_PROJECT" value="33" type="GuiHandlerData"/>
<property name="MainMenuMgr_REPORTS" value="4" type="GuiHandlerData"/>
<property name="MainMenuMgr_RUN" value="2" type="GuiHandlerData"/>
<property name="MainMenuMgr_SETTINGS" value="2" type="GuiHandlerData"/>
<property name="MainMenuMgr_SIMULATION_WAVEFORM" value="16" type="GuiHandlerData"/>
<property name="MainMenuMgr_TEXT_EDITOR" value="8" type="GuiHandlerData"/>
<property name="MainMenuMgr_TOOLS" value="6" type="GuiHandlerData"/>
<property name="MainMenuMgr_VIEW" value="2" type="GuiHandlerData"/>
<property name="MainMenuMgr_WINDOW" value="8" type="GuiHandlerData"/>
<property name="MainToolbarMgr_RUN" value="2" type="GuiHandlerData"/>
<property name="MainWinMenuMgr_LAYOUT" value="4" type="GuiHandlerData"/>
<property name="MainWinToolbarMgr_SELECT_OR_SAVE_WINDOW_LAYOUT" value="3" type="GuiHandlerData"/>
<property name="MessageWithOptionDialog_DONT_SHOW_THIS_DIALOG_AGAIN" value="6" type="GuiHandlerData"/>
<property name="MsgTreePanel_DISCARD_USER_CREATED_MESSAGES" value="2" type="GuiHandlerData"/>
<property name="MsgTreePanel_MESSAGE_SEVERITY" value="5" type="GuiHandlerData"/>
<property name="MsgTreePanel_MESSAGE_VIEW_TREE" value="175" type="GuiHandlerData"/>
<property name="MsgTreePanel_SUPPRESS_THIS_MESSAGE" value="1" type="GuiHandlerData"/>
<property name="MsgView_CLEAR_MESSAGES_RESULTING_FROM_USER_EXECUTED" value="5" type="GuiHandlerData"/>
<property name="MsgView_INFORMATION_MESSAGES" value="1" type="GuiHandlerData"/>
<property name="MsgView_WARNING_MESSAGES" value="3" type="GuiHandlerData"/>
<property name="NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE" value="117" type="GuiHandlerData"/>
<property name="NetlistSchMenuAndMouse_EXPAND_COLLAPSE" value="1" type="GuiHandlerData"/>
<property name="NetlistSchMenuAndMouse_VIEW" value="2" type="GuiHandlerData"/>
<property name="NetlistSchematicView_SHOW_IO_PORTS_IN_THIS_SCHEMATIC" value="1" type="GuiHandlerData"/>
<property name="NetlistTreeView_NETLIST_TREE" value="4" type="GuiHandlerData"/>
<property name="OpenFileAction_CANCEL" value="3" type="GuiHandlerData"/>
<property name="OpenFileAction_OK" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_ADD_SOURCES" value="6" type="GuiHandlerData"/>
<property name="PACommandNames_AUTO_UPDATE_HIER" value="55" type="GuiHandlerData"/>
<property name="PACommandNames_CLOSE_PROJECT" value="21" type="GuiHandlerData"/>
<property name="PACommandNames_GOTO_INSTANTIATION" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_MESSAGE_WINDOW" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_OPEN_PROJECT" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_OPEN_RTL_DESIGN" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_RELOAD_RTL_DESIGN" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_SCHEMATIC" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_SELECT_AREA" value="3" type="GuiHandlerData"/>
<property name="PACommandNames_SET_AS_TOP" value="44" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_CLOSE" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_DEFAULT_WAVEFORM_WINDOW" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_LIVE_RUN" value="5" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_RELAUNCH" value="112" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_RUN" value="3" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_RUN_BEHAVIORAL" value="96" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_RUN_POST_IMPLEMENTATION_FUNCTIONAL" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_RUN_POST_IMPLEMENTATION_TIMING" value="4" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_SETTINGS" value="2" type="GuiHandlerData"/>
<property name="PACommandNames_SRC_DISABLE" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_SRC_ENABLE" value="3" type="GuiHandlerData"/>
<property name="PACommandNames_SRC_REPLACE_FILE" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_TOGGLE_VIEW_NAV" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_ZOOM_FIT" value="11" type="GuiHandlerData"/>
<property name="PACommandNames_ZOOM_OUT" value="3" type="GuiHandlerData"/>
<property name="PAViews_CODE" value="58" type="GuiHandlerData"/>
<property name="PAViews_DEVICE" value="3" type="GuiHandlerData"/>
<property name="PAViews_PATH_TABLE" value="1" type="GuiHandlerData"/>
<property name="PAViews_PROJECT_SUMMARY" value="67" type="GuiHandlerData"/>
<property name="PAViews_SCHEMATIC" value="33" type="GuiHandlerData"/>
<property name="PathReportTableView_DESCRIPTION" value="2" type="GuiHandlerData"/>
<property name="PlanAheadTab_SHOW_FLOW_NAVIGATOR" value="2" type="GuiHandlerData"/>
<property name="PowerResultTab_REPORT_NAVIGATION_TREE" value="1" type="GuiHandlerData"/>
<property name="PrimitivesMenu_HIGHLIGHT_LEAF_CELLS" value="1" type="GuiHandlerData"/>
<property name="ProgressDialog_BACKGROUND" value="10" type="GuiHandlerData"/>
<property name="ProgressDialog_CANCEL" value="5" type="GuiHandlerData"/>
<property name="ProjectSettingsSimulationPanel_TABBED_PANE" value="2" type="GuiHandlerData"/>
<property name="ProjectTab_RELOAD" value="30" type="GuiHandlerData"/>
<property name="RDICommands_COPY" value="2" type="GuiHandlerData"/>
<property name="RDICommands_DELETE" value="6" type="GuiHandlerData"/>
<property name="RDICommands_LINE_COMMENT" value="2" type="GuiHandlerData"/>
<property name="RDICommands_REDO" value="1" type="GuiHandlerData"/>
<property name="RDICommands_SAVE_FILE" value="148" type="GuiHandlerData"/>
<property name="RDICommands_WAVEFORM_OPEN_CONFIGURATION" value="2" type="GuiHandlerData"/>
<property name="RDICommands_WAVEFORM_SAVE_CONFIGURATION" value="9" type="GuiHandlerData"/>
<property name="RDICommands_WAVEFORM_SAVE_CONFIGURATION_AS" value="1" type="GuiHandlerData"/>
<property name="RDIViews_WAVEFORM_VIEWER" value="914" type="GuiHandlerData"/>
<property name="ReportTimingSummaryDialog_REPORT_TIMING_SUMMARY_DIALOG_TABBED" value="14" type="GuiHandlerData"/>
<property name="ReportTimingSummaryDialog_REPORT_UNCONSTRAINED_PATHS" value="6" type="GuiHandlerData"/>
<property name="RunGadget_SHOW_ERROR" value="1" type="GuiHandlerData"/>
<property name="RunGadget_SHOW_WARNING_AND_ERROR_MESSAGES_IN_MESSAGES" value="5" type="GuiHandlerData"/>
<property name="SaveProjectUtils_CANCEL" value="1" type="GuiHandlerData"/>
<property name="SaveProjectUtils_SAVE" value="12" type="GuiHandlerData"/>
<property name="SelectMenu_HIGHLIGHT" value="2" type="GuiHandlerData"/>
<property name="SelectMenu_MARK" value="2" type="GuiHandlerData"/>
<property name="SelectTopModuleDialog_SELECT_TOP_MODULE" value="12" type="GuiHandlerData"/>
<property name="SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE" value="83" type="GuiHandlerData"/>
<property name="SimulationScopesPanel_SIMULATE_SCOPE_TABLE" value="166" type="GuiHandlerData"/>
<property name="SrcChooserPanel_ADD_HDL_AND_NETLIST_FILES_TO_YOUR_PROJECT" value="1" type="GuiHandlerData"/>
<property name="SrcChooserPanel_CREATE_FILE" value="5" type="GuiHandlerData"/>
<property name="SrcMenu_IP_HIERARCHY" value="55" type="GuiHandlerData"/>
<property name="StaleMoreAction_OUT_OF_DATE_DETAILS" value="1" type="GuiHandlerData"/>
<property name="StaleRunDialog_NO" value="3" type="GuiHandlerData"/>
<property name="StaleRunDialog_YES" value="1" type="GuiHandlerData"/>
<property name="SyntheticaGettingStartedView_RECENT_PROJECTS" value="33" type="GuiHandlerData"/>
<property name="SyntheticaStateMonitor_CANCEL" value="2" type="GuiHandlerData"/>
<property name="TaskBanner_CLOSE" value="41" type="GuiHandlerData"/>
<property name="TclConsoleView_TCL_CONSOLE_CODE_EDITOR" value="1" type="GuiHandlerData"/>
<property name="TclFindDialog_RESULT_NAME" value="2" type="GuiHandlerData"/>
<property name="TimingDialogUtils_RESULTS_NAME" value="1" type="GuiHandlerData"/>
<property name="TimingItemFlatTablePanel_TABLE" value="3" type="GuiHandlerData"/>
<property name="WaveformNameTree_WAVEFORM_NAME_TREE" value="588" type="GuiHandlerData"/>
<property name="WaveformView_GOTO_CURSOR" value="3" type="GuiHandlerData"/>
<property name="WaveformView_GOTO_LAST_TIME" value="1" type="GuiHandlerData"/>
<property name="WaveformView_GOTO_TIME_0" value="9" type="GuiHandlerData"/>
<property name="WaveformView_PREVIOUS_MARKER" value="1" type="GuiHandlerData"/>
<property name="WaveformView_PREVIOUS_TRANSITION" value="2" type="GuiHandlerData"/>
</item> </item>
<item name="Other"> <item name="Other">
<property name="GuiMode" value="49" type="GuiMode"/> <property name="GuiMode" value="20" type="GuiMode"/>
<property name="BatchMode" value="0" type="BatchMode"/> <property name="BatchMode" value="0" type="BatchMode"/>
<property name="TclMode" value="41" type="TclMode"/> <property name="TclMode" value="18" type="TclMode"/>
</item> </item>
</section> </section>
</application> </application>

View File

@@ -2,6 +2,7 @@
# Webtalk v2018.3 (64-bit) # Webtalk v2018.3 (64-bit)
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
<<<<<<< Updated upstream
# Start of session at: Thu Apr 11 16:19:32 2019 # Start of session at: Thu Apr 11 16:19:32 2019
# Process ID: 16612 # Process ID: 16612
# Current directory: C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim # Current directory: C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim
@@ -10,3 +11,13 @@
# Journal file: C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou # Journal file: C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou
#----------------------------------------------------------- #-----------------------------------------------------------
source C:/Users/JoseIgnacio/CA -notrace source C:/Users/JoseIgnacio/CA -notrace
=======
# Start of session at: Thu Apr 11 18:30:50 2019
# Process ID: 12808
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim
# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/xsim_webtalk.tcl -notrace
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log
# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou
#-----------------------------------------------------------
source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/xsim_webtalk.tcl -notrace
>>>>>>> Stashed changes

View File

@@ -0,0 +1,12 @@
#-----------------------------------------------------------
# Webtalk v2018.3 (64-bit)
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
# Start of session at: Wed Apr 10 10:36:59 2019
# Process ID: 20220
# Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim
# Command line: wbtcv.exe -mode batch -source C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/xsim_webtalk.tcl -notrace
# Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log
# Journal file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou
#-----------------------------------------------------------
source C:/REPOSITORIES/Educational/Western -notrace

View File

@@ -2,11 +2,11 @@
# Webtalk v2018.3 (64-bit) # Webtalk v2018.3 (64-bit)
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 # SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 # IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
# Start of session at: Sat Apr 6 17:28:44 2019 # Start of session at: Thu Apr 11 15:10:37 2019
# Process ID: 8732 # Process ID: 6396
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim # Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim
# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_behav/webtalk/xsim_webtalk.tcl -notrace # Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/xsim_webtalk.tcl -notrace
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log # Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log
# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou # Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou
#----------------------------------------------------------- #-----------------------------------------------------------
source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_behav/webtalk/xsim_webtalk.tcl -notrace source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/xsim_webtalk.tcl -notrace

Binary file not shown.

View File

@@ -46,6 +46,7 @@ typedef void (*funcp)(char *, char *);
extern int main(int, char**); extern int main(int, char**);
extern void execute_2(char*, char *); extern void execute_2(char*, char *);
extern void execute_3(char*, char *); extern void execute_3(char*, char *);
<<<<<<< Updated upstream
extern void execute_183(char*, char *); extern void execute_183(char*, char *);
extern void execute_456(char*, char *); extern void execute_456(char*, char *);
extern void execute_457(char*, char *); extern void execute_457(char*, char *);
@@ -70,65 +71,103 @@ extern void execute_8(char*, char *);
extern void execute_209(char*, char *); extern void execute_209(char*, char *);
extern void execute_211(char*, char *); extern void execute_211(char*, char *);
extern void execute_212(char*, char *); extern void execute_212(char*, char *);
=======
extern void execute_240(char*, char *);
extern void execute_609(char*, char *);
extern void execute_610(char*, char *);
extern void vlog_simple_process_execute_0_fast_no_reg_no_agg(char*, char*, char*);
extern void execute_246(char*, char *);
extern void execute_601(char*, char *);
extern void execute_602(char*, char *);
extern void execute_603(char*, char *);
extern void execute_604(char*, char *);
extern void execute_605(char*, char *);
extern void execute_606(char*, char *);
extern void execute_607(char*, char *);
extern void execute_608(char*, char *);
extern void execute_247(char*, char *);
extern void execute_248(char*, char *);
extern void execute_249(char*, char *);
extern void execute_280(char*, char *);
extern void execute_281(char*, char *);
extern void execute_282(char*, char *);
extern void execute_283(char*, char *);
extern void execute_284(char*, char *);
extern void execute_285(char*, char *);
extern void execute_286(char*, char *);
extern void execute_287(char*, char *);
extern void execute_288(char*, char *);
extern void execute_289(char*, char *);
extern void execute_290(char*, char *);
extern void execute_291(char*, char *);
extern void execute_292(char*, char *);
extern void execute_7(char*, char *);
extern void execute_8(char*, char *);
extern void vlog_const_rhs_process_execute_0_fast_no_reg_no_agg(char*, char*, char*);
extern void execute_269(char*, char *);
extern void execute_271(char*, char *);
extern void execute_272(char*, char *);
>>>>>>> Stashed changes
extern void execute_11(char*, char *); extern void execute_11(char*, char *);
extern void execute_190(char*, char *); extern void execute_250(char*, char *);
extern void execute_191(char*, char *); extern void execute_251(char*, char *);
extern void execute_23(char*, char *); extern void execute_23(char*, char *);
extern void execute_213(char*, char *); extern void execute_273(char*, char *);
extern void execute_214(char*, char *); extern void execute_274(char*, char *);
extern void execute_215(char*, char *); extern void execute_275(char*, char *);
extern void execute_216(char*, char *); extern void execute_276(char*, char *);
extern void execute_217(char*, char *); extern void execute_277(char*, char *);
extern void execute_218(char*, char *); extern void execute_278(char*, char *);
extern void execute_219(char*, char *); extern void execute_279(char*, char *);
extern void execute_26(char*, char *); extern void execute_26(char*, char *);
extern void execute_36(char*, char *); extern void execute_36(char*, char *);
extern void execute_40(char*, char *); extern void execute_40(char*, char *);
extern void execute_42(char*, char *); extern void execute_42(char*, char *);
extern void execute_231(char*, char *); extern void execute_293(char*, char *);
extern void execute_232(char*, char *); extern void execute_294(char*, char *);
extern void execute_233(char*, char *); extern void execute_295(char*, char *);
extern void execute_234(char*, char *); extern void execute_296(char*, char *);
extern void execute_235(char*, char *); extern void execute_297(char*, char *);
extern void execute_236(char*, char *); extern void execute_298(char*, char *);
extern void execute_237(char*, char *); extern void execute_299(char*, char *);
extern void execute_238(char*, char *); extern void execute_300(char*, char *);
extern void execute_239(char*, char *); extern void execute_301(char*, char *);
extern void execute_240(char*, char *); extern void execute_302(char*, char *);
extern void execute_241(char*, char *); extern void execute_303(char*, char *);
extern void execute_242(char*, char *); extern void execute_304(char*, char *);
extern void execute_243(char*, char *); extern void execute_305(char*, char *);
extern void execute_244(char*, char *); extern void execute_306(char*, char *);
extern void execute_425(char*, char *); extern void execute_487(char*, char *);
extern void execute_427(char*, char *); extern void execute_489(char*, char *);
extern void execute_428(char*, char *); extern void execute_490(char*, char *);
extern void execute_430(char*, char *); extern void execute_492(char*, char *);
extern void execute_431(char*, char *); extern void execute_493(char*, char *);
extern void execute_432(char*, char *); extern void execute_494(char*, char *);
extern void execute_433(char*, char *); extern void execute_495(char*, char *);
extern void execute_434(char*, char *); extern void execute_496(char*, char *);
extern void execute_45(char*, char *); extern void execute_45(char*, char *);
extern void execute_46(char*, char *); extern void execute_46(char*, char *);
extern void execute_360(char*, char *); extern void execute_422(char*, char *);
extern void execute_361(char*, char *); extern void execute_423(char*, char *);
extern void execute_362(char*, char *); extern void execute_424(char*, char *);
extern void execute_363(char*, char *); extern void execute_425(char*, char *);
extern void execute_364(char*, char *); extern void execute_426(char*, char *);
extern void execute_365(char*, char *); extern void execute_427(char*, char *);
extern void execute_289(char*, char *); extern void execute_351(char*, char *);
extern void execute_270(char*, char *); extern void execute_332(char*, char *);
extern void execute_310(char*, char *); extern void execute_372(char*, char *);
extern void execute_311(char*, char *); extern void execute_373(char*, char *);
extern void execute_312(char*, char *); extern void execute_374(char*, char *);
extern void execute_313(char*, char *); extern void execute_375(char*, char *);
extern void execute_314(char*, char *); extern void execute_376(char*, char *);
extern void execute_315(char*, char *); extern void execute_377(char*, char *);
extern void execute_357(char*, char *); extern void execute_419(char*, char *);
extern void execute_358(char*, char *); extern void execute_420(char*, char *);
extern void execute_129(char*, char *); extern void execute_129(char*, char *);
extern void execute_405(char*, char *); extern void execute_467(char*, char *);
extern void execute_160(char*, char *); extern void execute_160(char*, char *);
extern void execute_173(char*, char *); extern void execute_173(char*, char *);
<<<<<<< Updated upstream
extern void execute_435(char*, char *); extern void execute_435(char*, char *);
extern void execute_436(char*, char *); extern void execute_436(char*, char *);
extern void execute_437(char*, char *); extern void execute_437(char*, char *);
@@ -158,10 +197,42 @@ extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsig
extern void transaction_109(char*, char*, unsigned, unsigned, unsigned); extern void transaction_109(char*, char*, unsigned, unsigned, unsigned);
funcp funcTab[112] = {(funcp)execute_2, (funcp)execute_3, (funcp)execute_183, (funcp)execute_456, (funcp)execute_457, (funcp)vlog_simple_process_execute_0_fast_no_reg_no_agg, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_454, (funcp)execute_455, (funcp)execute_189, (funcp)execute_220, (funcp)execute_221, (funcp)execute_222, (funcp)execute_223, (funcp)execute_224, (funcp)execute_225, (funcp)execute_226, (funcp)execute_227, (funcp)execute_228, (funcp)execute_229, (funcp)execute_230, (funcp)execute_7, (funcp)execute_8, (funcp)execute_209, (funcp)execute_211, (funcp)execute_212, (funcp)execute_11, (funcp)execute_190, (funcp)execute_191, (funcp)execute_23, (funcp)execute_213, (funcp)execute_214, (funcp)execute_215, (funcp)execute_216, (funcp)execute_217, (funcp)execute_218, (funcp)execute_219, (funcp)execute_26, (funcp)execute_36, (funcp)execute_40, (funcp)execute_42, (funcp)execute_231, (funcp)execute_232, (funcp)execute_233, (funcp)execute_234, (funcp)execute_235, (funcp)execute_236, (funcp)execute_237, (funcp)execute_238, (funcp)execute_239, (funcp)execute_240, (funcp)execute_241, (funcp)execute_242, (funcp)execute_243, (funcp)execute_244, (funcp)execute_425, (funcp)execute_427, (funcp)execute_428, (funcp)execute_430, (funcp)execute_431, (funcp)execute_432, (funcp)execute_433, (funcp)execute_434, (funcp)execute_45, (funcp)execute_46, (funcp)execute_360, (funcp)execute_361, (funcp)execute_362, (funcp)execute_363, (funcp)execute_364, (funcp)execute_365, (funcp)execute_289, (funcp)execute_270, (funcp)execute_310, (funcp)execute_311, (funcp)execute_312, (funcp)execute_313, (funcp)execute_314, (funcp)execute_315, (funcp)execute_357, (funcp)execute_358, (funcp)execute_129, (funcp)execute_405, (funcp)execute_160, (funcp)execute_173, (funcp)execute_435, (funcp)execute_436, (funcp)execute_437, (funcp)execute_438, (funcp)execute_439, (funcp)execute_440, (funcp)execute_441, (funcp)execute_442, (funcp)execute_443, (funcp)execute_444, (funcp)execute_445, (funcp)execute_446, (funcp)execute_447, (funcp)execute_448, (funcp)execute_449, (funcp)execute_450, (funcp)execute_451, (funcp)execute_185, (funcp)execute_186, (funcp)execute_187, (funcp)execute_458, (funcp)execute_459, (funcp)execute_460, (funcp)execute_461, (funcp)execute_462, (funcp)vlog_transfunc_eventcallback, (funcp)transaction_109}; funcp funcTab[112] = {(funcp)execute_2, (funcp)execute_3, (funcp)execute_183, (funcp)execute_456, (funcp)execute_457, (funcp)vlog_simple_process_execute_0_fast_no_reg_no_agg, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_454, (funcp)execute_455, (funcp)execute_189, (funcp)execute_220, (funcp)execute_221, (funcp)execute_222, (funcp)execute_223, (funcp)execute_224, (funcp)execute_225, (funcp)execute_226, (funcp)execute_227, (funcp)execute_228, (funcp)execute_229, (funcp)execute_230, (funcp)execute_7, (funcp)execute_8, (funcp)execute_209, (funcp)execute_211, (funcp)execute_212, (funcp)execute_11, (funcp)execute_190, (funcp)execute_191, (funcp)execute_23, (funcp)execute_213, (funcp)execute_214, (funcp)execute_215, (funcp)execute_216, (funcp)execute_217, (funcp)execute_218, (funcp)execute_219, (funcp)execute_26, (funcp)execute_36, (funcp)execute_40, (funcp)execute_42, (funcp)execute_231, (funcp)execute_232, (funcp)execute_233, (funcp)execute_234, (funcp)execute_235, (funcp)execute_236, (funcp)execute_237, (funcp)execute_238, (funcp)execute_239, (funcp)execute_240, (funcp)execute_241, (funcp)execute_242, (funcp)execute_243, (funcp)execute_244, (funcp)execute_425, (funcp)execute_427, (funcp)execute_428, (funcp)execute_430, (funcp)execute_431, (funcp)execute_432, (funcp)execute_433, (funcp)execute_434, (funcp)execute_45, (funcp)execute_46, (funcp)execute_360, (funcp)execute_361, (funcp)execute_362, (funcp)execute_363, (funcp)execute_364, (funcp)execute_365, (funcp)execute_289, (funcp)execute_270, (funcp)execute_310, (funcp)execute_311, (funcp)execute_312, (funcp)execute_313, (funcp)execute_314, (funcp)execute_315, (funcp)execute_357, (funcp)execute_358, (funcp)execute_129, (funcp)execute_405, (funcp)execute_160, (funcp)execute_173, (funcp)execute_435, (funcp)execute_436, (funcp)execute_437, (funcp)execute_438, (funcp)execute_439, (funcp)execute_440, (funcp)execute_441, (funcp)execute_442, (funcp)execute_443, (funcp)execute_444, (funcp)execute_445, (funcp)execute_446, (funcp)execute_447, (funcp)execute_448, (funcp)execute_449, (funcp)execute_450, (funcp)execute_451, (funcp)execute_185, (funcp)execute_186, (funcp)execute_187, (funcp)execute_458, (funcp)execute_459, (funcp)execute_460, (funcp)execute_461, (funcp)execute_462, (funcp)vlog_transfunc_eventcallback, (funcp)transaction_109};
const int NumRelocateId= 112; const int NumRelocateId= 112;
=======
extern void execute_497(char*, char *);
extern void execute_498(char*, char *);
extern void execute_499(char*, char *);
extern void execute_500(char*, char *);
extern void execute_501(char*, char *);
extern void execute_502(char*, char *);
extern void execute_503(char*, char *);
extern void execute_504(char*, char *);
extern void execute_505(char*, char *);
extern void execute_506(char*, char *);
extern void execute_507(char*, char *);
extern void execute_508(char*, char *);
extern void execute_509(char*, char *);
extern void execute_510(char*, char *);
extern void execute_511(char*, char *);
extern void execute_512(char*, char *);
extern void execute_513(char*, char *);
extern void execute_514(char*, char *);
extern void execute_242(char*, char *);
extern void execute_243(char*, char *);
extern void execute_244(char*, char *);
extern void execute_611(char*, char *);
extern void execute_612(char*, char *);
extern void execute_613(char*, char *);
extern void execute_614(char*, char *);
extern void execute_615(char*, char *);
extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
extern void transaction_129(char*, char*, unsigned, unsigned, unsigned);
funcp funcTab[124] = {(funcp)execute_2, (funcp)execute_3, (funcp)execute_240, (funcp)execute_609, (funcp)execute_610, (funcp)vlog_simple_process_execute_0_fast_no_reg_no_agg, (funcp)execute_246, (funcp)execute_601, (funcp)execute_602, (funcp)execute_603, (funcp)execute_604, (funcp)execute_605, (funcp)execute_606, (funcp)execute_607, (funcp)execute_608, (funcp)execute_247, (funcp)execute_248, (funcp)execute_249, (funcp)execute_280, (funcp)execute_281, (funcp)execute_282, (funcp)execute_283, (funcp)execute_284, (funcp)execute_285, (funcp)execute_286, (funcp)execute_287, (funcp)execute_288, (funcp)execute_289, (funcp)execute_290, (funcp)execute_291, (funcp)execute_292, (funcp)execute_7, (funcp)execute_8, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_269, (funcp)execute_271, (funcp)execute_272, (funcp)execute_11, (funcp)execute_250, (funcp)execute_251, (funcp)execute_23, (funcp)execute_273, (funcp)execute_274, (funcp)execute_275, (funcp)execute_276, (funcp)execute_277, (funcp)execute_278, (funcp)execute_279, (funcp)execute_26, (funcp)execute_36, (funcp)execute_40, (funcp)execute_42, (funcp)execute_293, (funcp)execute_294, (funcp)execute_295, (funcp)execute_296, (funcp)execute_297, (funcp)execute_298, (funcp)execute_299, (funcp)execute_300, (funcp)execute_301, (funcp)execute_302, (funcp)execute_303, (funcp)execute_304, (funcp)execute_305, (funcp)execute_306, (funcp)execute_487, (funcp)execute_489, (funcp)execute_490, (funcp)execute_492, (funcp)execute_493, (funcp)execute_494, (funcp)execute_495, (funcp)execute_496, (funcp)execute_45, (funcp)execute_46, (funcp)execute_422, (funcp)execute_423, (funcp)execute_424, (funcp)execute_425, (funcp)execute_426, (funcp)execute_427, (funcp)execute_351, (funcp)execute_332, (funcp)execute_372, (funcp)execute_373, (funcp)execute_374, (funcp)execute_375, (funcp)execute_376, (funcp)execute_377, (funcp)execute_419, (funcp)execute_420, (funcp)execute_129, (funcp)execute_467, (funcp)execute_160, (funcp)execute_173, (funcp)execute_497, (funcp)execute_498, (funcp)execute_499, (funcp)execute_500, (funcp)execute_501, (funcp)execute_502, (funcp)execute_503, (funcp)execute_504, (funcp)execute_505, (funcp)execute_506, (funcp)execute_507, (funcp)execute_508, (funcp)execute_509, (funcp)execute_510, (funcp)execute_511, (funcp)execute_512, (funcp)execute_513, (funcp)execute_514, (funcp)execute_242, (funcp)execute_243, (funcp)execute_244, (funcp)execute_611, (funcp)execute_612, (funcp)execute_613, (funcp)execute_614, (funcp)execute_615, (funcp)vlog_transfunc_eventcallback, (funcp)transaction_129};
const int NumRelocateId= 124;
>>>>>>> Stashed changes
void relocate(char *dp) void relocate(char *dp)
{ {
iki_relocate(dp, "xsim.dir/CPU9bits_tb_behav/xsim.reloc", (void **)funcTab, 112); iki_relocate(dp, "xsim.dir/CPU9bits_tb_behav/xsim.reloc", (void **)funcTab, 124);
/*Populate the transaction function pointer field in the whole net structure */ /*Populate the transaction function pointer field in the whole net structure */
} }

View File

@@ -1,14 +1,14 @@
<?xml version="1.0" encoding="UTF-8" ?> <?xml version="1.0" encoding="UTF-8" ?>
<webTalkData fileName='usage_statistics_ext_xsim.xml' majorVersion='1' minorVersion='0' timeStamp='Sat Apr 6 17:41:26 2019'> <webTalkData fileName='usage_statistics_ext_xsim.xml' majorVersion='1' minorVersion='0' timeStamp='Thu Apr 11 18:30:50 2019'>
<section name="__ROOT__" level="0" order="1" description=""> <section name="__ROOT__" level="0" order="1" description="">
<section name="software_version_and_target_device" level="1" order="1" description=""> <section name="software_version_and_target_device" level="1" order="1" description="">
<keyValuePair key="beta" value="FALSE" description="" /> <keyValuePair key="beta" value="FALSE" description="" />
<keyValuePair key="build_version" value="2405991" description="" /> <keyValuePair key="build_version" value="2405991" description="" />
<keyValuePair key="date_generated" value="Sat Apr 6 17:41:25 2019" description="" /> <keyValuePair key="date_generated" value="Thu Apr 11 18:30:48 2019" description="" />
<keyValuePair key="os_platform" value="WIN64" description="" /> <keyValuePair key="os_platform" value="WIN64" description="" />
<keyValuePair key="product_version" value="XSIM v2018.3 (64-bit)" description="" /> <keyValuePair key="product_version" value="XSIM v2018.3 (64-bit)" description="" />
<keyValuePair key="project_id" value="0a5803efda44405bb28bbf43ba22e808" description="" /> <keyValuePair key="project_id" value="0a5803efda44405bb28bbf43ba22e808" description="" />
<keyValuePair key="project_iteration" value="139" description="" /> <keyValuePair key="project_iteration" value="144" description="" />
<keyValuePair key="random_id" value="4e917e26-7591-4435-9135-15bd446b0238" description="" /> <keyValuePair key="random_id" value="4e917e26-7591-4435-9135-15bd446b0238" description="" />
<keyValuePair key="registration_id" value="174150793_174150794_210688225_140" description="" /> <keyValuePair key="registration_id" value="174150793_174150794_210688225_140" description="" />
<keyValuePair key="route_design" value="FALSE" description="" /> <keyValuePair key="route_design" value="FALSE" description="" />
@@ -30,24 +30,14 @@
</section> </section>
<section name="xsim" level="1" order="4" description=""> <section name="xsim" level="1" order="4" description="">
<section name="command_line_options" level="2" order="1" description=""> <section name="command_line_options" level="2" order="1" description="">
<keyValuePair key="command" value="xelab" description="" /> <keyValuePair key="command" value="xsim" description="" />
<keyValuePair key="debug" value="typical" description="" />
<keyValuePair key="dpi_used" value="false" description="" />
<keyValuePair key="file_counter" value="12" description="" />
<keyValuePair key="gendll" value="false" description="" />
<keyValuePair key="hwcosim" value="false" description="" />
<keyValuePair key="sdfmodeling" value="false" description="" />
<keyValuePair key="vhdl2008" value="false" description="" />
</section> </section>
<section name="usage" level="2" order="2" description=""> <section name="usage" level="2" order="2" description="">
<keyValuePair key="compiler_memory" value="46864_KB" description="" /> <keyValuePair key="iteration" value="0" description="" />
<keyValuePair key="compiler_time" value="0.86_sec" description="" /> <keyValuePair key="runtime" value="50015 ns" description="" />
<keyValuePair key="simulation_image_code" value="114 KB" description="" /> <keyValuePair key="simulation_memory" value="7852_KB" description="" />
<keyValuePair key="simulation_image_data" value="20 KB" description="" /> <keyValuePair key="simulation_time" value="0.05_sec" description="" />
<keyValuePair key="total_instances" value="149" description="" /> <keyValuePair key="trace_waveform" value="true" description="" />
<keyValuePair key="total_nets" value="0" description="" />
<keyValuePair key="total_processes" value="316" description="" />
<keyValuePair key="xilinx_hdl_libraries_used" value="secureip unimacro_ver unisims_ver " description="" />
</section> </section>
</section> </section>
</section> </section>

View File

@@ -1,10 +1,22 @@
<<<<<<< Updated upstream
<<<<<<< Updated upstream
webtalk_init -webtalk_dir C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/ webtalk_init -webtalk_dir C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/
webtalk_register_client -client project webtalk_register_client -client project
webtalk_add_data -client project -key date_generated -value "Thu Apr 11 17:31:39 2019" -context "software_version_and_target_device" webtalk_add_data -client project -key date_generated -value "Thu Apr 11 17:31:39 2019" -context "software_version_and_target_device"
=======
webtalk_init -webtalk_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/
webtalk_register_client -client project
webtalk_add_data -client project -key date_generated -value "Thu Apr 11 18:32:16 2019" -context "software_version_and_target_device"
>>>>>>> Stashed changes
=======
webtalk_init -webtalk_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/
webtalk_register_client -client project
webtalk_add_data -client project -key date_generated -value "Thu Apr 11 18:31:01 2019" -context "software_version_and_target_device"
>>>>>>> Stashed changes
webtalk_add_data -client project -key product_version -value "XSIM v2018.3 (64-bit)" -context "software_version_and_target_device" webtalk_add_data -client project -key product_version -value "XSIM v2018.3 (64-bit)" -context "software_version_and_target_device"
webtalk_add_data -client project -key build_version -value "2405991" -context "software_version_and_target_device" webtalk_add_data -client project -key build_version -value "2405991" -context "software_version_and_target_device"
webtalk_add_data -client project -key os_platform -value "WIN64" -context "software_version_and_target_device" webtalk_add_data -client project -key os_platform -value "WIN64" -context "software_version_and_target_device"
webtalk_add_data -client project -key registration_id -value "" -context "software_version_and_target_device" webtalk_add_data -client project -key registration_id -value "174150793_174150794_210688225_140" -context "software_version_and_target_device"
webtalk_add_data -client project -key tool_flow -value "xsim_vivado" -context "software_version_and_target_device" webtalk_add_data -client project -key tool_flow -value "xsim_vivado" -context "software_version_and_target_device"
webtalk_add_data -client project -key beta -value "FALSE" -context "software_version_and_target_device" webtalk_add_data -client project -key beta -value "FALSE" -context "software_version_and_target_device"
webtalk_add_data -client project -key route_design -value "FALSE" -context "software_version_and_target_device" webtalk_add_data -client project -key route_design -value "FALSE" -context "software_version_and_target_device"
@@ -12,6 +24,8 @@ webtalk_add_data -client project -key target_family -value "not_applicable" -con
webtalk_add_data -client project -key target_device -value "not_applicable" -context "software_version_and_target_device" webtalk_add_data -client project -key target_device -value "not_applicable" -context "software_version_and_target_device"
webtalk_add_data -client project -key target_package -value "not_applicable" -context "software_version_and_target_device" webtalk_add_data -client project -key target_package -value "not_applicable" -context "software_version_and_target_device"
webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device"
<<<<<<< Updated upstream
<<<<<<< Updated upstream
webtalk_add_data -client project -key random_id -value "17336daf-0d92-4f07-b4a4-ff1c52043edb" -context "software_version_and_target_device" webtalk_add_data -client project -key random_id -value "17336daf-0d92-4f07-b4a4-ff1c52043edb" -context "software_version_and_target_device"
webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device" webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device"
webtalk_add_data -client project -key project_iteration -value "143" -context "software_version_and_target_device" webtalk_add_data -client project -key project_iteration -value "143" -context "software_version_and_target_device"
@@ -21,12 +35,54 @@ webtalk_add_data -client project -key cpu_name -value "Intel(R) Core(TM) i5-3230
webtalk_add_data -client project -key cpu_speed -value "2594 MHz" -context "user_environment" webtalk_add_data -client project -key cpu_speed -value "2594 MHz" -context "user_environment"
webtalk_add_data -client project -key total_processors -value "1" -context "user_environment" webtalk_add_data -client project -key total_processors -value "1" -context "user_environment"
webtalk_add_data -client project -key system_ram -value "8.000 GB" -context "user_environment" webtalk_add_data -client project -key system_ram -value "8.000 GB" -context "user_environment"
=======
webtalk_add_data -client project -key random_id -value "4e917e26-7591-4435-9135-15bd446b0238" -context "software_version_and_target_device"
webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device"
webtalk_add_data -client project -key project_iteration -value "146" -context "software_version_and_target_device"
=======
webtalk_add_data -client project -key random_id -value "4e917e26-7591-4435-9135-15bd446b0238" -context "software_version_and_target_device"
webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device"
webtalk_add_data -client project -key project_iteration -value "145" -context "software_version_and_target_device"
>>>>>>> Stashed changes
webtalk_add_data -client project -key os_name -value "Microsoft Windows 8 or later , 64-bit" -context "user_environment"
webtalk_add_data -client project -key os_release -value "major release (build 9200)" -context "user_environment"
webtalk_add_data -client project -key cpu_name -value "Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" -context "user_environment"
webtalk_add_data -client project -key cpu_speed -value "3492 MHz" -context "user_environment"
webtalk_add_data -client project -key total_processors -value "1" -context "user_environment"
webtalk_add_data -client project -key system_ram -value "34.000 GB" -context "user_environment"
<<<<<<< Updated upstream
>>>>>>> Stashed changes
webtalk_register_client -client xsim webtalk_register_client -client xsim
webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options" webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options"
webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage" webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage"
webtalk_add_data -client xsim -key runtime -value "50015 ns" -context "xsim\\usage" webtalk_add_data -client xsim -key runtime -value "50015 ns" -context "xsim\\usage"
webtalk_add_data -client xsim -key iteration -value "0" -context "xsim\\usage" webtalk_add_data -client xsim -key iteration -value "0" -context "xsim\\usage"
webtalk_add_data -client xsim -key Simulation_Time -value "0.14_sec" -context "xsim\\usage" webtalk_add_data -client xsim -key Simulation_Time -value "0.14_sec" -context "xsim\\usage"
<<<<<<< Updated upstream
webtalk_add_data -client xsim -key Simulation_Memory -value "8272_KB" -context "xsim\\usage" webtalk_add_data -client xsim -key Simulation_Memory -value "8272_KB" -context "xsim\\usage"
webtalk_transmit -clientid 2296267995 -regid "" -xml C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "<H3>XSIM Usage Report</H3><BR>" webtalk_transmit -clientid 2296267995 -regid "" -xml C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "<H3>XSIM Usage Report</H3><BR>"
=======
webtalk_add_data -client xsim -key Simulation_Memory -value "8152_KB" -context "xsim\\usage"
webtalk_transmit -clientid 4257293974 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "<H3>XSIM Usage Report</H3><BR>"
>>>>>>> Stashed changes
=======
webtalk_register_client -client xsim
webtalk_add_data -client xsim -key File_Counter -value "12" -context "xsim\\command_line_options"
webtalk_add_data -client xsim -key Command -value "xelab" -context "xsim\\command_line_options"
webtalk_add_data -client xsim -key Vhdl2008 -value "false" -context "xsim\\command_line_options"
webtalk_add_data -client xsim -key GenDLL -value "false" -context "xsim\\command_line_options"
webtalk_add_data -client xsim -key SDFModeling -value "false" -context "xsim\\command_line_options"
webtalk_add_data -client xsim -key HWCosim -value "false" -context "xsim\\command_line_options"
webtalk_add_data -client xsim -key DPI_Used -value "false" -context "xsim\\command_line_options"
webtalk_add_data -client xsim -key Debug -value "typical" -context "xsim\\command_line_options"
webtalk_add_data -client xsim -key Simulation_Image_Code -value "134 KB" -context "xsim\\usage"
webtalk_add_data -client xsim -key Simulation_Image_Data -value "26 KB" -context "xsim\\usage"
webtalk_add_data -client xsim -key Total_Nets -value "0" -context "xsim\\usage"
webtalk_add_data -client xsim -key Total_Processes -value "418" -context "xsim\\usage"
webtalk_add_data -client xsim -key Total_Instances -value "204" -context "xsim\\usage"
webtalk_add_data -client xsim -key Xilinx_HDL_Libraries_Used -value "secureip unimacro_ver unisims_ver " -context "xsim\\usage"
webtalk_add_data -client xsim -key Compiler_Time -value "0.98_sec" -context "xsim\\usage"
webtalk_add_data -client xsim -key Compiler_Memory -value "50208_KB" -context "xsim\\usage"
webtalk_transmit -clientid 1054187896 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "<H3>XSIM Usage Report</H3><BR>"
>>>>>>> Stashed changes
webtalk_terminate webtalk_terminate

View File

@@ -6,13 +6,16 @@ module CPU9bits(
output wire done output wire done
); );
wire [8:0] RFIn,FUAddr; wire [8:0] RFIn,FUAddr, op0_ext, op1_ext, wr_ext, op0_sub, op1_sub, op0_zero, op1_zero, op0_and, op1_and, newOp0, newOp1;
wire [1:0] instr; wire [1:0] instr, op0_idx, op1_idx;
wire fetchBranch, RegEn; wire fetchBranch, RegEn, compare0, compare1;
wire [50:0] FDOut, FDPipOut; wire [50:0] FDOut, FDPipOut, EMIn;
wire [61:0] EMOut, EMPipOut; wire [61:0] EMOut, EMPipOut;
assign result = RFIn; assign result = RFIn;
assign EMIn = {FDPipOut[50:42], newOp0, newOp1, FDPipOut[23:0]};
FDModule FD( FDModule FD(
.reset(reset), .reset(reset),
@@ -23,7 +26,11 @@ module CPU9bits(
.AddrIn(FUAddr), .AddrIn(FUAddr),
.RFIdx(instr), .RFIdx(instr),
.result(FDOut), .result(FDOut),
.done(done) .done(done),
.compare0(compare0),
.compare1(compare1),
.op0_idx(op0_idx),
.op1_idx(op1_idx)
); );
fDPipReg pipe1( fDPipReg pipe1(
@@ -37,7 +44,7 @@ module CPU9bits(
EMModule EM( EMModule EM(
.reset(reset), .reset(reset),
.clk(clk), .clk(clk),
.PipIn(FDPipOut), .PipIn(EMIn),
.PipOut(EMOut) .PipOut(EMOut)
); );
@@ -57,6 +64,70 @@ module CPU9bits(
.fetchBranch(fetchBranch), .fetchBranch(fetchBranch),
.RegEn(RegEn) .RegEn(RegEn)
); );
sign_extend_2bit ext0(
.A(op0_idx),
.B(op0_ext)
);
sign_extend_2bit ext1(
.A(op1_idx),
.B(op1_ext)
);
sign_extend_2bit ext2(
.A(instr),
.B(wr_ext)
);
sub_9bit sub0(
.A(op0_ext),
.B(wr_ext),
.C(op0_sub)
);
sub_9bit sub1(
.A(op1_ext),
.B(wr_ext),
.C(op1_sub)
);
BEQ beq0(
.A(op0_sub),
.B(op0_zero)
);
BEQ beq1(
.A(op1_sub),
.B(op1_zero)
);
and_9bit and0(
.A(~op0_zero),
.B({8'b00000000,compare0}),
.C(op0_and)
);
and_9bit and1(
.A(~op1_zero),
.B({8'b00000000,compare1}),
.C(op1_and)
);
mux_2_1 mux0(
.switch(op0_and[0]),
.A(FDOut[41:33]),
.B(EMPipOut[33:25]), //ALUOut
.out(newOp0)
);
mux_2_1 mux1(
.switch(op1_and[0]),
.A(FDOut[32:24]),
.B(EMPipOut[33:25]), //ALUOut
.out(newOp1)
);
endmodule endmodule

View File

@@ -6,15 +6,24 @@ module ControlUnit(
output reg [3:0] aluOut, output reg [3:0] aluOut,
output reg [2:0] FU, output reg [2:0] FU,
output reg [1:0] bank, output reg [1:0] bank,
<<<<<<< Updated upstream
output reg addi, mem, dataMemEn, RegEn, halt, link, js output reg addi, mem, dataMemEn, RegEn, halt, link, js
); );
=======
output reg addi, mem, dataMemEn, RegEn, halt, link, js, compare0, compare1
);
>>>>>>> Stashed changes
always @(instIn, functBit) always @(instIn, functBit)
begin begin
case(instIn) case(instIn)
4'b0000: // Halt/NOP 4'b0000: // Halt/NOP
begin begin
<<<<<<< Updated upstream
halt <= functBit; halt <= functBit;
=======
halt <= ~functBit;
>>>>>>> Stashed changes
RegEn <= 1'b1; RegEn <= 1'b1;
FU <= 3'b001; // Disable Branching FU <= 3'b001; // Disable Branching
addi <= 1'b0; addi <= 1'b0;
@@ -24,6 +33,8 @@ module ControlUnit(
link <= 1'b0; link <= 1'b0;
bank <= 2'b10; bank <= 2'b10;
js <= 1'b0; js <= 1'b0;
compare0 <= 1'b0;
compare1 <= 1'b0;
end end
4'b0001: // Load Byte 4'b0001: // Load Byte
begin begin
@@ -37,6 +48,8 @@ module ControlUnit(
link <= 1'b0; link <= 1'b0;
bank <= 2'b10; bank <= 2'b10;
js <= 1'b0; js <= 1'b0;
compare0 <= 1'b1;
compare1 <= 1'b1;
end end
4'b0010: // Store Byte 4'b0010: // Store Byte
begin begin
@@ -50,6 +63,8 @@ module ControlUnit(
link <= 1'b0; link <= 1'b0;
bank <= 2'b10; bank <= 2'b10;
js <= 1'b0; js <= 1'b0;
compare0 <= 1'b1;
compare1 <= 1'b1;
end end
4'b0011: // Link 4'b0011: // Link
begin begin
@@ -63,6 +78,8 @@ module ControlUnit(
link <= 1'b1; link <= 1'b1;
bank <= 2'b10; bank <= 2'b10;
js <= 1'b0; js <= 1'b0;
compare0 <= 1'b0;
compare1 <= 1'b0;
end end
4'b0100: // Zero 4'b0100: // Zero
begin begin
@@ -76,6 +93,8 @@ module ControlUnit(
link <= 1'b0; link <= 1'b0;
bank <= 2'b10; bank <= 2'b10;
js <= 1'b0; js <= 1'b0;
compare0 <= 1'b0;
compare1 <= 1'b0;
end end
4'b0101: // Add/Subtract 4'b0101: // Add/Subtract
if(functBit == 1) // Subtract if(functBit == 1) // Subtract
@@ -90,6 +109,8 @@ module ControlUnit(
link <= 1'b0; link <= 1'b0;
bank <= 2'b10; bank <= 2'b10;
js <= 1'b0; js <= 1'b0;
compare0 <= 1'b1;
compare1 <= 1'b1;
end end
else // Add else // Add
begin begin
@@ -103,6 +124,8 @@ module ControlUnit(
link <= 1'b0; link <= 1'b0;
bank <= 2'b10; bank <= 2'b10;
js <= 1'b0; js <= 1'b0;
compare0 <= 1'b1;
compare1 <= 1'b1;
end end
4'b0110: // Add Immediate 4'b0110: // Add Immediate
begin begin
@@ -116,6 +139,8 @@ module ControlUnit(
link <= 1'b0; link <= 1'b0;
bank <= 2'b10; bank <= 2'b10;
js <= 1'b0; js <= 1'b0;
compare0 <= 1'b1;
compare1 <= 1'b0;
end end
4'b0111: // Set if Less Than 4'b0111: // Set if Less Than
begin begin
@@ -129,6 +154,8 @@ module ControlUnit(
link <= 1'b0; link <= 1'b0;
bank <= 2'b10; bank <= 2'b10;
js <= 1'b0; js <= 1'b0;
compare0 <= 1'b1;
compare1 <= 1'b1;
end end
4'b1000: // Jump to Register 4'b1000: // Jump to Register
begin begin
@@ -142,6 +169,8 @@ module ControlUnit(
link <= 1'b0; link <= 1'b0;
bank <= 2'b10; bank <= 2'b10;
js <= 1'b0; js <= 1'b0;
compare0 <= 1'b1;
compare1 <= 1'b0;
end end
4'b1001: // Jump Forward 4'b1001: // Jump Forward
begin begin
@@ -155,6 +184,8 @@ module ControlUnit(
link <= 1'b0; link <= 1'b0;
bank <= 2'b10; bank <= 2'b10;
js <= 1'b0; js <= 1'b0;
compare0 <= 1'b0;
compare1 <= 1'b0;
end end
4'b1010: // Bank Load/Bank Store 4'b1010: // Bank Load/Bank Store
begin begin
@@ -168,6 +199,8 @@ module ControlUnit(
link <= 1'b0; link <= 1'b0;
bank <= {functBit,functBit}; bank <= {functBit,functBit};
js <= 1'b0; js <= 1'b0;
compare0 <= 1'b1;
compare1 <= 1'b0;
end end
4'b1011: // Jump Backward 4'b1011: // Jump Backward
begin begin
@@ -181,6 +214,8 @@ module ControlUnit(
link <= 1'b0; link <= 1'b0;
bank <= 2'b10; bank <= 2'b10;
js <= 1'b1; js <= 1'b1;
compare0 <= 1'b0;
compare1 <= 1'b0;
end end
4'b1100: // Branch if Zero 4'b1100: // Branch if Zero
begin begin
@@ -194,6 +229,8 @@ module ControlUnit(
link <= 1'b0; link <= 1'b0;
bank <= 2'b10; bank <= 2'b10;
js <= 1'b0; js <= 1'b0;
compare0 <= 1'b1;
compare1 <= 1'b0;
end end
4'b1101: // NOR 4'b1101: // NOR
begin begin
@@ -207,6 +244,8 @@ module ControlUnit(
link <= 1'b0; link <= 1'b0;
bank <= 2'b10; bank <= 2'b10;
js <= 1'b0; js <= 1'b0;
compare0 <= 1'b1;
compare1 <= 1'b1;
end end
4'b1110: // OR/AND 4'b1110: // OR/AND
if(functBit == 1) // AND if(functBit == 1) // AND
@@ -221,6 +260,8 @@ module ControlUnit(
link <= 1'b0; link <= 1'b0;
bank <= 2'b10; bank <= 2'b10;
js <= 1'b0; js <= 1'b0;
compare0 <= 1'b1;
compare1 <= 1'b1;
end end
else // OR else // OR
begin begin
@@ -234,6 +275,8 @@ module ControlUnit(
link <= 1'b0; link <= 1'b0;
bank <= 2'b10; bank <= 2'b10;
js <= 1'b0; js <= 1'b0;
compare0 <= 1'b1;
compare1 <= 1'b1;
end end
4'b1111: // Shift Right Logical/Shift Left Logical 4'b1111: // Shift Right Logical/Shift Left Logical
if(functBit == 1) // Shift Right Logical if(functBit == 1) // Shift Right Logical
@@ -248,6 +291,8 @@ module ControlUnit(
link <= 1'b0; link <= 1'b0;
bank <= 2'b10; bank <= 2'b10;
js <= 1'b0; js <= 1'b0;
compare0 <= 1'b1;
compare1 <= 1'b1;
end end
else // Shift Left Logical else // Shift Left Logical
begin begin
@@ -261,6 +306,8 @@ module ControlUnit(
link <= 1'b0; link <= 1'b0;
bank <= 2'b10; bank <= 2'b10;
js <= 1'b0; js <= 1'b0;
compare0 <= 1'b1;
compare1 <= 1'b1;
end end
default: default:
begin begin
@@ -274,6 +321,8 @@ module ControlUnit(
link <= 1'b0; link <= 1'b0;
bank <= 2'b10; bank <= 2'b10;
js <= 1'b0; js <= 1'b0;
compare0 <= 1'b0;
compare1 <= 1'b0;
end end
endcase endcase
end end

View File

@@ -4,8 +4,9 @@ module FDModule(
input wire reset, clk, FUIdx, En, input wire reset, clk, FUIdx, En,
input wire [8:0] RFIn, AddrIn, input wire [8:0] RFIn, AddrIn,
input wire[1:0] RFIdx, input wire[1:0] RFIdx,
output wire [1:0] op0_idx, op1_idx,
output wire [50:0] result, output wire [50:0] result,
output wire done output wire done, compare0, compare1
); );
@@ -13,9 +14,11 @@ module FDModule(
wire [3:0] aluOp; wire [3:0] aluOp;
wire [2:0] FU; wire [2:0] FU;
wire [1:0] bankS; wire [1:0] bankS;
wire addiS, RegEn, loadS, halt, link, js, dataMemEn; wire addiS, RegEn, loadS, halt, link, js, dataMemEn ;
assign result = {instr,op0,op1,PCout,addiS,RegEn,loadS,link,js,dataMemEn,aluOp,FU,bankS}; // concat all signals into one assign result = {instr,op0,op1,PCout,addiS,RegEn,loadS,link,js,dataMemEn,aluOp,FU,bankS}; // concat all signals into one
assign op0_idx = instr[4:3];
assign op1_idx = instr[2:1];
instructionMemory iM( instructionMemory iM(
@@ -55,6 +58,8 @@ module FDModule(
.halt(done), .halt(done),
.link(link), .link(link),
.bank(bankS), .bank(bankS),
.js(js) .js(js),
.compare0(compare0),
.compare1(compare1)
); );
endmodule endmodule

View File

@@ -3,7 +3,7 @@
<!-- --> <!-- -->
<!-- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. --> <!-- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -->
<Project Version="7" Minor="39" Path="C:/Users/JoseIgnacio/CA Lab/lab2CA.xpr"> <Project Version="7" Minor="39" Path="C:/Users/ecelab/ECE3570-Lab/lab2CA.xpr">
<DefaultLaunch Dir="$PRUNDIR"/> <DefaultLaunch Dir="$PRUNDIR"/>
<Configuration> <Configuration>
<Option Name="Id" Val="0a5803efda44405bb28bbf43ba22e808"/> <Option Name="Id" Val="0a5803efda44405bb28bbf43ba22e808"/>
@@ -73,13 +73,6 @@
<Attr Name="UsedIn" Val="simulation"/> <Attr Name="UsedIn" Val="simulation"/>
</FileInfo> </FileInfo>
</File> </File>
<File Path="$PSRCDIR/sources_1/new/ControlUnit.v">
<FileInfo>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/new/EMModule.v"> <File Path="$PSRCDIR/sources_1/new/EMModule.v">
<FileInfo> <FileInfo>
<Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="synthesis"/>
@@ -136,6 +129,14 @@
<Attr Name="UsedIn" Val="simulation"/> <Attr Name="UsedIn" Val="simulation"/>
</FileInfo> </FileInfo>
</File> </File>
<File Path="$PSRCDIR/sources_1/new/ControlUnit.v">
<FileInfo>
<Attr Name="AutoDisabled" Val="1"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/bd/design_1/design_1.bd"> <File Path="$PSRCDIR/sources_1/bd/design_1/design_1.bd">
<FileInfo> <FileInfo>
<Attr Name="AutoDisabled" Val="1"/> <Attr Name="AutoDisabled" Val="1"/>