Idek what ive changed its been so long
This commit is contained in:
@@ -68,7 +68,6 @@ start_step init_design
|
||||
set ACTIVE_STEP init_design
|
||||
set rc [catch {
|
||||
create_msg_db init_design.pb
|
||||
set_param synth.incrementalSynthesisCache C:/Users/ecelab/AppData/Roaming/Xilinx/Vivado/.Xil/Vivado-3864-DESKTOP-8QFGS52/incrSyn
|
||||
create_project -in_memory -part xc7k160tifbg484-2L
|
||||
set_property design_mode GateLvl [current_fileset]
|
||||
set_param project.singleFileAddWarning.threshold 0
|
||||
|
||||
@@ -2,8 +2,8 @@
|
||||
# Vivado v2018.3 (64-bit)
|
||||
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
||||
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
||||
# Start of session at: Thu Apr 11 18:41:54 2019
|
||||
# Process ID: 10352
|
||||
# Start of session at: Thu Apr 11 19:41:06 2019
|
||||
# Process ID: 12740
|
||||
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1
|
||||
# Command line: vivado.exe -log CPU9bits.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source CPU9bits.tcl -notrace
|
||||
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits.vdi
|
||||
@@ -13,18 +13,19 @@ source CPU9bits.tcl -notrace
|
||||
Command: link_design -top CPU9bits -part xc7k160tifbg484-2L
|
||||
Design is defaulting to srcset: sources_1
|
||||
Design is defaulting to constrset: constrs_1
|
||||
INFO: [Netlist 29-17] Analyzing 4 Unisim elements for replacement
|
||||
INFO: [Netlist 29-17] Analyzing 11 Unisim elements for replacement
|
||||
INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
|
||||
INFO: [Project 1-479] Netlist was created with Vivado 2018.3
|
||||
INFO: [Device 21-403] Loading part xc7k160tifbg484-2L
|
||||
INFO: [Project 1-570] Preparing netlist for logic optimization
|
||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 578.137 ; gain = 0.000
|
||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 578.020 ; gain = 0.000
|
||||
INFO: [Project 1-111] Unisim Transformation Summary:
|
||||
No Unisim elements were transformed.
|
||||
A total of 9 instances were transformed.
|
||||
RAM16X1S => RAM32X1S (RAMS32): 9 instances
|
||||
|
||||
6 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
|
||||
link_design completed successfully
|
||||
link_design: Time (s): cpu = 00:00:05 ; elapsed = 00:00:16 . Memory (MB): peak = 583.707 ; gain = 330.434
|
||||
link_design: Time (s): cpu = 00:00:05 ; elapsed = 00:00:16 . Memory (MB): peak = 578.020 ; gain = 322.695
|
||||
Command: opt_design
|
||||
Attempting to get a license for feature 'Implementation' and/or device 'xc7k160ti'
|
||||
INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160ti'
|
||||
@@ -35,53 +36,53 @@ INFO: [DRC 23-27] Running DRC with 2 threads
|
||||
INFO: [Project 1-461] DRC finished with 0 Errors
|
||||
INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information.
|
||||
|
||||
Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.787 . Memory (MB): peak = 596.723 ; gain = 13.016
|
||||
Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.703 . Memory (MB): peak = 595.879 ; gain = 17.859
|
||||
|
||||
Starting Cache Timing Information Task
|
||||
INFO: [Timing 38-35] Done setting XDC timing constraints.
|
||||
Ending Cache Timing Information Task | Checksum: 1b0ead489
|
||||
Ending Cache Timing Information Task | Checksum: 4fc30cd6
|
||||
|
||||
Time (s): cpu = 00:00:09 ; elapsed = 00:00:10 . Memory (MB): peak = 1152.047 ; gain = 555.324
|
||||
Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 1165.043 ; gain = 569.164
|
||||
|
||||
Starting Logic Optimization Task
|
||||
|
||||
Phase 1 Retarget
|
||||
INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
|
||||
INFO: [Opt 31-49] Retargeted 0 cell(s).
|
||||
Phase 1 Retarget | Checksum: 1075be1b4
|
||||
Phase 1 Retarget | Checksum: 43f14207
|
||||
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.123 . Memory (MB): peak = 1249.324 ; gain = 0.000
|
||||
INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 13 cells
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.036 . Memory (MB): peak = 1261.125 ; gain = 0.000
|
||||
INFO: [Opt 31-389] Phase Retarget created 1 cells and removed 1 cells
|
||||
|
||||
Phase 2 Constant propagation
|
||||
INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
|
||||
Phase 2 Constant propagation | Checksum: 1075be1b4
|
||||
Phase 2 Constant propagation | Checksum: 43f14207
|
||||
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.131 . Memory (MB): peak = 1249.324 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.038 . Memory (MB): peak = 1261.125 ; gain = 0.000
|
||||
INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells
|
||||
|
||||
Phase 3 Sweep
|
||||
Phase 3 Sweep | Checksum: 1075be1b4
|
||||
Phase 3 Sweep | Checksum: 43f14207
|
||||
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.151 . Memory (MB): peak = 1249.324 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.041 . Memory (MB): peak = 1261.125 ; gain = 0.000
|
||||
INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells
|
||||
|
||||
Phase 4 BUFG optimization
|
||||
Phase 4 BUFG optimization | Checksum: 1075be1b4
|
||||
Phase 4 BUFG optimization | Checksum: 43f14207
|
||||
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.163 . Memory (MB): peak = 1249.324 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.045 . Memory (MB): peak = 1261.125 ; gain = 0.000
|
||||
INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells.
|
||||
|
||||
Phase 5 Shift Register Optimization
|
||||
Phase 5 Shift Register Optimization | Checksum: 1075be1b4
|
||||
Phase 5 Shift Register Optimization | Checksum: 9334b39a
|
||||
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.217 . Memory (MB): peak = 1249.324 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.088 . Memory (MB): peak = 1261.125 ; gain = 0.000
|
||||
INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells
|
||||
|
||||
Phase 6 Post Processing Netlist
|
||||
Phase 6 Post Processing Netlist | Checksum: 1075be1b4
|
||||
Phase 6 Post Processing Netlist | Checksum: 9334b39a
|
||||
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.221 . Memory (MB): peak = 1249.324 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.090 . Memory (MB): peak = 1261.125 ; gain = 0.000
|
||||
INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells
|
||||
Opt_design Change Summary
|
||||
=========================
|
||||
@@ -90,7 +91,7 @@ Opt_design Change Summary
|
||||
-------------------------------------------------------------------------------------------------------------------------
|
||||
| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations |
|
||||
-------------------------------------------------------------------------------------------------------------------------
|
||||
| Retarget | 0 | 13 | 0 |
|
||||
| Retarget | 1 | 1 | 0 |
|
||||
| Constant propagation | 0 | 0 | 0 |
|
||||
| Sweep | 0 | 0 | 0 |
|
||||
| BUFG optimization | 0 | 0 | 0 |
|
||||
@@ -102,50 +103,32 @@ Opt_design Change Summary
|
||||
|
||||
Starting Connectivity Check Task
|
||||
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1249.324 ; gain = 0.000
|
||||
Ending Logic Optimization Task | Checksum: 1075be1b4
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1261.125 ; gain = 0.000
|
||||
Ending Logic Optimization Task | Checksum: 9334b39a
|
||||
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.226 . Memory (MB): peak = 1249.324 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.093 . Memory (MB): peak = 1261.125 ; gain = 0.000
|
||||
|
||||
Starting Power Optimization Task
|
||||
INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns.
|
||||
INFO: [Pwropt 34-9] Applying IDT optimizations ...
|
||||
INFO: [Pwropt 34-10] Applying ODC optimizations ...
|
||||
INFO: [Timing 38-35] Done setting XDC timing constraints.
|
||||
INFO: [Physopt 32-619] Estimated Timing Summary | WNS=0.000 | TNS=0.000 |
|
||||
WARNING: [Power 33-232] No user defined clocks were found in the design!
|
||||
Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate
|
||||
Running Vector-less Activity Propagation...
|
||||
Ending Power Optimization Task | Checksum: 9334b39a
|
||||
|
||||
Finished Running Vector-less Activity Propagation
|
||||
|
||||
|
||||
Starting PowerOpt Patch Enables Task
|
||||
INFO: [Pwropt 34-162] WRITE_MODE attribute of 0 BRAM(s) out of a total of 1 has been updated to save power. Run report_power_opt to get a complete listing of the BRAMs updated.
|
||||
INFO: [Pwropt 34-201] Structural ODC has moved 0 WE to EN ports
|
||||
Number of BRAM Ports augmented: 0 newly gated: 0 Total Ports: 2
|
||||
Ending PowerOpt Patch Enables Task | Checksum: 1075be1b4
|
||||
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Ending Power Optimization Task | Checksum: 1075be1b4
|
||||
|
||||
Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.918 . Memory (MB): peak = 1362.293 ; gain = 112.969
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.009 . Memory (MB): peak = 1261.125 ; gain = 0.000
|
||||
|
||||
Starting Final Cleanup Task
|
||||
Ending Final Cleanup Task | Checksum: 1075be1b4
|
||||
Ending Final Cleanup Task | Checksum: 9334b39a
|
||||
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1261.125 ; gain = 0.000
|
||||
|
||||
Starting Netlist Obfuscation Task
|
||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Ending Netlist Obfuscation Task | Checksum: 1075be1b4
|
||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1261.125 ; gain = 0.000
|
||||
Ending Netlist Obfuscation Task | Checksum: 9334b39a
|
||||
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1261.125 ; gain = 0.000
|
||||
INFO: [Common 17-83] Releasing license: Implementation
|
||||
28 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered.
|
||||
22 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
|
||||
opt_design completed successfully
|
||||
opt_design: Time (s): cpu = 00:00:13 ; elapsed = 00:00:13 . Memory (MB): peak = 1362.293 ; gain = 778.586
|
||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
opt_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:11 . Memory (MB): peak = 1261.125 ; gain = 683.105
|
||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1261.125 ; gain = 0.000
|
||||
WARNING: [Constraints 18-5210] No constraints selected for write.
|
||||
Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened.
|
||||
INFO: [Common 17-1381] The checkpoint 'C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_opt.dcp' has been generated.
|
||||
@@ -174,127 +157,127 @@ INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of
|
||||
Phase 1 Placer Initialization
|
||||
|
||||
Phase 1.1 Placer Initialization Netlist Sorting
|
||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 3d9e6472
|
||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1261.125 ; gain = 0.000
|
||||
Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 1963521c
|
||||
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.010 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.010 . Memory (MB): peak = 1261.125 ; gain = 0.000
|
||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1261.125 ; gain = 0.000
|
||||
|
||||
Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device
|
||||
INFO: [Timing 38-35] Done setting XDC timing constraints.
|
||||
Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 15f430561
|
||||
Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 184c2c7e6
|
||||
|
||||
Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1265.020 ; gain = 3.895
|
||||
|
||||
Phase 1.3 Build Placer Netlist Model
|
||||
Phase 1.3 Build Placer Netlist Model | Checksum: 1c86a0072
|
||||
Phase 1.3 Build Placer Netlist Model | Checksum: 188e0661e
|
||||
|
||||
Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1265.020 ; gain = 3.895
|
||||
|
||||
Phase 1.4 Constrain Clocks/Macros
|
||||
Phase 1.4 Constrain Clocks/Macros | Checksum: 1c86a0072
|
||||
Phase 1.4 Constrain Clocks/Macros | Checksum: 188e0661e
|
||||
|
||||
Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Phase 1 Placer Initialization | Checksum: 1c86a0072
|
||||
Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1265.020 ; gain = 3.895
|
||||
Phase 1 Placer Initialization | Checksum: 188e0661e
|
||||
|
||||
Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1265.020 ; gain = 3.895
|
||||
|
||||
Phase 2 Global Placement
|
||||
|
||||
Phase 2.1 Floorplanning
|
||||
Phase 2.1 Floorplanning | Checksum: 1c86a0072
|
||||
Phase 2.1 Floorplanning | Checksum: 188e0661e
|
||||
|
||||
Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1266.902 ; gain = 5.777
|
||||
WARNING: [Place 46-29] place_design is not in timing mode. Skip physical synthesis in placer
|
||||
Phase 2 Global Placement | Checksum: 2ac705958
|
||||
Phase 2 Global Placement | Checksum: 2030f88ae
|
||||
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1275.738 ; gain = 14.613
|
||||
|
||||
Phase 3 Detail Placement
|
||||
|
||||
Phase 3.1 Commit Multi Column Macros
|
||||
Phase 3.1 Commit Multi Column Macros | Checksum: 2ac705958
|
||||
Phase 3.1 Commit Multi Column Macros | Checksum: 2030f88ae
|
||||
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1275.738 ; gain = 14.613
|
||||
|
||||
Phase 3.2 Commit Most Macros & LUTRAMs
|
||||
Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 1dbfff5a9
|
||||
Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 2ac85731a
|
||||
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1275.738 ; gain = 14.613
|
||||
|
||||
Phase 3.3 Area Swap Optimization
|
||||
Phase 3.3 Area Swap Optimization | Checksum: 21cc4f0ec
|
||||
Phase 3.3 Area Swap Optimization | Checksum: 1d9aac728
|
||||
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1275.738 ; gain = 14.613
|
||||
|
||||
Phase 3.4 Pipeline Register Optimization
|
||||
Phase 3.4 Pipeline Register Optimization | Checksum: 21cc4f0ec
|
||||
Phase 3.4 Pipeline Register Optimization | Checksum: 1d9aac728
|
||||
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1275.738 ; gain = 14.613
|
||||
|
||||
Phase 3.5 Small Shape Detail Placement
|
||||
Phase 3.5 Small Shape Detail Placement | Checksum: 206a7ccd0
|
||||
Phase 3.5 Small Shape Detail Placement | Checksum: 2ad3da515
|
||||
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1284.789 ; gain = 23.664
|
||||
|
||||
Phase 3.6 Re-assign LUT pins
|
||||
Phase 3.6 Re-assign LUT pins | Checksum: 206a7ccd0
|
||||
Phase 3.6 Re-assign LUT pins | Checksum: 2ad3da515
|
||||
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1284.789 ; gain = 23.664
|
||||
|
||||
Phase 3.7 Pipeline Register Optimization
|
||||
Phase 3.7 Pipeline Register Optimization | Checksum: 206a7ccd0
|
||||
Phase 3.7 Pipeline Register Optimization | Checksum: 2ad3da515
|
||||
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Phase 3 Detail Placement | Checksum: 206a7ccd0
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1284.789 ; gain = 23.664
|
||||
Phase 3 Detail Placement | Checksum: 2ad3da515
|
||||
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1284.789 ; gain = 23.664
|
||||
|
||||
Phase 4 Post Placement Optimization and Clean-Up
|
||||
|
||||
Phase 4.1 Post Commit Optimization
|
||||
Phase 4.1 Post Commit Optimization | Checksum: 206a7ccd0
|
||||
Phase 4.1 Post Commit Optimization | Checksum: 2ad3da515
|
||||
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1284.789 ; gain = 23.664
|
||||
|
||||
Phase 4.2 Post Placement Cleanup
|
||||
Phase 4.2 Post Placement Cleanup | Checksum: 206a7ccd0
|
||||
Phase 4.2 Post Placement Cleanup | Checksum: 2ad3da515
|
||||
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1284.789 ; gain = 23.664
|
||||
|
||||
Phase 4.3 Placer Reporting
|
||||
Phase 4.3 Placer Reporting | Checksum: 206a7ccd0
|
||||
Phase 4.3 Placer Reporting | Checksum: 2ad3da515
|
||||
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1284.789 ; gain = 23.664
|
||||
|
||||
Phase 4.4 Final Placement Cleanup
|
||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Phase 4.4 Final Placement Cleanup | Checksum: 1f13c29b7
|
||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1284.789 ; gain = 0.000
|
||||
Phase 4.4 Final Placement Cleanup | Checksum: 2a7ff8ccd
|
||||
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1f13c29b7
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1284.789 ; gain = 23.664
|
||||
Phase 4 Post Placement Optimization and Clean-Up | Checksum: 2a7ff8ccd
|
||||
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Ending Placer Task | Checksum: 161b453db
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1284.789 ; gain = 23.664
|
||||
Ending Placer Task | Checksum: 1c01f6f47
|
||||
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1284.789 ; gain = 23.664
|
||||
INFO: [Common 17-83] Releasing license: Implementation
|
||||
45 Infos, 3 Warnings, 0 Critical Warnings and 0 Errors encountered.
|
||||
39 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered.
|
||||
place_design completed successfully
|
||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1284.789 ; gain = 0.000
|
||||
WARNING: [Constraints 18-5210] No constraints selected for write.
|
||||
Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened.
|
||||
Writing placer database...
|
||||
Writing XDEF routing.
|
||||
Writing XDEF routing logical nets.
|
||||
Writing XDEF routing special nets.
|
||||
Write XDEF Complete: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.117 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.111 . Memory (MB): peak = 1284.789 ; gain = 0.000
|
||||
INFO: [Common 17-1381] The checkpoint 'C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_placed.dcp' has been generated.
|
||||
INFO: [runtcl-4] Executing : report_io -file CPU9bits_io_placed.rpt
|
||||
report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.054 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.054 . Memory (MB): peak = 1284.789 ; gain = 0.000
|
||||
INFO: [runtcl-4] Executing : report_utilization -file CPU9bits_utilization_placed.rpt -pb CPU9bits_utilization_placed.pb
|
||||
INFO: [runtcl-4] Executing : report_control_sets -verbose -file CPU9bits_control_sets_placed.rpt
|
||||
report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1362.293 ; gain = 0.000
|
||||
report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1284.789 ; gain = 0.000
|
||||
Command: route_design
|
||||
Attempting to get a license for feature 'Implementation' and/or device 'xc7k160ti'
|
||||
INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160ti'
|
||||
@@ -306,68 +289,68 @@ INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more in
|
||||
|
||||
Starting Routing Task
|
||||
INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs
|
||||
Checksum: PlaceDB: b86b4c57 ConstDB: 0 ShapeSum: a9490784 RouteDB: 0
|
||||
Checksum: PlaceDB: e1291a6d ConstDB: 0 ShapeSum: def654da RouteDB: 0
|
||||
|
||||
Phase 1 Build RT Design
|
||||
Phase 1 Build RT Design | Checksum: 12dabf8e5
|
||||
Phase 1 Build RT Design | Checksum: f4f19886
|
||||
|
||||
Time (s): cpu = 00:00:28 ; elapsed = 00:00:21 . Memory (MB): peak = 1499.332 ; gain = 137.039
|
||||
Post Restoration Checksum: NetGraph: 45c7ac9c NumContArr: e7e44c49 Constraints: 0 Timing: 0
|
||||
Time (s): cpu = 00:00:28 ; elapsed = 00:00:21 . Memory (MB): peak = 1500.094 ; gain = 215.305
|
||||
Post Restoration Checksum: NetGraph: 4b3a30b8 NumContArr: a9b767ce Constraints: 0 Timing: 0
|
||||
|
||||
Phase 2 Router Initialization
|
||||
INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode.
|
||||
|
||||
Phase 2.1 Fix Topology Constraints
|
||||
Phase 2.1 Fix Topology Constraints | Checksum: 12dabf8e5
|
||||
Phase 2.1 Fix Topology Constraints | Checksum: f4f19886
|
||||
|
||||
Time (s): cpu = 00:00:28 ; elapsed = 00:00:21 . Memory (MB): peak = 1504.012 ; gain = 141.719
|
||||
Time (s): cpu = 00:00:28 ; elapsed = 00:00:21 . Memory (MB): peak = 1504.246 ; gain = 219.457
|
||||
|
||||
Phase 2.2 Pre Route Cleanup
|
||||
Phase 2.2 Pre Route Cleanup | Checksum: 12dabf8e5
|
||||
Phase 2.2 Pre Route Cleanup | Checksum: f4f19886
|
||||
|
||||
Time (s): cpu = 00:00:28 ; elapsed = 00:00:21 . Memory (MB): peak = 1504.012 ; gain = 141.719
|
||||
Time (s): cpu = 00:00:28 ; elapsed = 00:00:21 . Memory (MB): peak = 1504.246 ; gain = 219.457
|
||||
Number of Nodes with overlaps = 0
|
||||
Phase 2 Router Initialization | Checksum: d6870417
|
||||
Phase 2 Router Initialization | Checksum: 1793c9dea
|
||||
|
||||
Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1531.199 ; gain = 168.906
|
||||
Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1530.906 ; gain = 246.117
|
||||
|
||||
Phase 3 Initial Routing
|
||||
Phase 3 Initial Routing | Checksum: 658f3c2e
|
||||
Phase 3 Initial Routing | Checksum: 72ce7f92
|
||||
|
||||
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1531.199 ; gain = 168.906
|
||||
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1530.906 ; gain = 246.117
|
||||
|
||||
Phase 4 Rip-up And Reroute
|
||||
|
||||
Phase 4.1 Global Iteration 0
|
||||
Number of Nodes with overlaps = 52
|
||||
Number of Nodes with overlaps = 19
|
||||
Number of Nodes with overlaps = 0
|
||||
Phase 4.1 Global Iteration 0 | Checksum: fbcb5761
|
||||
Phase 4.1 Global Iteration 0 | Checksum: 10c1152b4
|
||||
|
||||
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1531.199 ; gain = 168.906
|
||||
Phase 4 Rip-up And Reroute | Checksum: fbcb5761
|
||||
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1530.906 ; gain = 246.117
|
||||
Phase 4 Rip-up And Reroute | Checksum: 10c1152b4
|
||||
|
||||
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1531.199 ; gain = 168.906
|
||||
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1530.906 ; gain = 246.117
|
||||
|
||||
Phase 5 Delay and Skew Optimization
|
||||
Phase 5 Delay and Skew Optimization | Checksum: fbcb5761
|
||||
Phase 5 Delay and Skew Optimization | Checksum: 10c1152b4
|
||||
|
||||
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1531.199 ; gain = 168.906
|
||||
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1530.906 ; gain = 246.117
|
||||
|
||||
Phase 6 Post Hold Fix
|
||||
|
||||
Phase 6.1 Hold Fix Iter
|
||||
Phase 6.1 Hold Fix Iter | Checksum: fbcb5761
|
||||
Phase 6.1 Hold Fix Iter | Checksum: 10c1152b4
|
||||
|
||||
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1531.199 ; gain = 168.906
|
||||
Phase 6 Post Hold Fix | Checksum: fbcb5761
|
||||
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1530.906 ; gain = 246.117
|
||||
Phase 6 Post Hold Fix | Checksum: 10c1152b4
|
||||
|
||||
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1531.199 ; gain = 168.906
|
||||
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1530.906 ; gain = 246.117
|
||||
|
||||
Phase 7 Route finalize
|
||||
|
||||
Router Utilization Summary
|
||||
Global Vertical Routing Utilization = 0.0201332 %
|
||||
Global Horizontal Routing Utilization = 0.0294118 %
|
||||
Global Vertical Routing Utilization = 0.00697219 %
|
||||
Global Horizontal Routing Utilization = 0.00971867 %
|
||||
Routable Net Status*
|
||||
*Does not include unroutable nets such as driverless and loadless.
|
||||
Run report_route_status for detailed report.
|
||||
@@ -377,10 +360,10 @@ Router Utilization Summary
|
||||
Number of Node Overlaps = 0
|
||||
|
||||
Congestion Report
|
||||
North Dir 1x1 Area, Max Cong = 19.8198%, No Congested Regions.
|
||||
South Dir 1x1 Area, Max Cong = 18.018%, No Congested Regions.
|
||||
East Dir 1x1 Area, Max Cong = 29.4118%, No Congested Regions.
|
||||
West Dir 1x1 Area, Max Cong = 22.0588%, No Congested Regions.
|
||||
North Dir 1x1 Area, Max Cong = 14.4144%, No Congested Regions.
|
||||
South Dir 1x1 Area, Max Cong = 14.4144%, No Congested Regions.
|
||||
East Dir 1x1 Area, Max Cong = 20.5882%, No Congested Regions.
|
||||
West Dir 1x1 Area, Max Cong = 16.1765%, No Congested Regions.
|
||||
|
||||
------------------------------
|
||||
Reporting congestion hotspots
|
||||
@@ -402,38 +385,38 @@ Direction: West
|
||||
Congested clusters found at Level 0
|
||||
Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0
|
||||
|
||||
Phase 7 Route finalize | Checksum: fbcb5761
|
||||
Phase 7 Route finalize | Checksum: 10c1152b4
|
||||
|
||||
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1531.199 ; gain = 168.906
|
||||
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1530.906 ; gain = 246.117
|
||||
|
||||
Phase 8 Verifying routed nets
|
||||
|
||||
Verification completed successfully
|
||||
Phase 8 Verifying routed nets | Checksum: fbcb5761
|
||||
Phase 8 Verifying routed nets | Checksum: 10c1152b4
|
||||
|
||||
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1531.199 ; gain = 168.906
|
||||
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1530.906 ; gain = 246.117
|
||||
|
||||
Phase 9 Depositing Routes
|
||||
Phase 9 Depositing Routes | Checksum: b44fe7b0
|
||||
Phase 9 Depositing Routes | Checksum: 10798d720
|
||||
|
||||
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1531.199 ; gain = 168.906
|
||||
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1530.906 ; gain = 246.117
|
||||
INFO: [Route 35-16] Router Completed Successfully
|
||||
|
||||
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1531.199 ; gain = 168.906
|
||||
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1530.906 ; gain = 246.117
|
||||
|
||||
Routing Is Done.
|
||||
INFO: [Common 17-83] Releasing license: Implementation
|
||||
57 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered.
|
||||
51 Infos, 3 Warnings, 0 Critical Warnings and 0 Errors encountered.
|
||||
route_design completed successfully
|
||||
route_design: Time (s): cpu = 00:00:30 ; elapsed = 00:00:30 . Memory (MB): peak = 1531.199 ; gain = 168.906
|
||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1531.199 ; gain = 0.000
|
||||
route_design: Time (s): cpu = 00:00:31 ; elapsed = 00:00:30 . Memory (MB): peak = 1530.906 ; gain = 246.117
|
||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1530.906 ; gain = 0.000
|
||||
WARNING: [Constraints 18-5210] No constraints selected for write.
|
||||
Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened.
|
||||
Writing placer database...
|
||||
Writing XDEF routing.
|
||||
Writing XDEF routing logical nets.
|
||||
Writing XDEF routing special nets.
|
||||
Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.090 . Memory (MB): peak = 1531.199 ; gain = 0.000
|
||||
Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.054 . Memory (MB): peak = 1530.906 ; gain = 0.000
|
||||
INFO: [Common 17-1381] The checkpoint 'C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_routed.dcp' has been generated.
|
||||
INFO: [runtcl-4] Executing : report_drc -file CPU9bits_drc_routed.rpt -pb CPU9bits_drc_routed.pb -rpx CPU9bits_drc_routed.rpx
|
||||
Command: report_drc -file CPU9bits_drc_routed.rpt -pb CPU9bits_drc_routed.pb -rpx CPU9bits_drc_routed.rpx
|
||||
@@ -455,7 +438,7 @@ INFO: [Timing 38-35] Done setting XDC timing constraints.
|
||||
Running Vector-less Activity Propagation...
|
||||
|
||||
Finished Running Vector-less Activity Propagation
|
||||
68 Infos, 6 Warnings, 0 Critical Warnings and 0 Errors encountered.
|
||||
62 Infos, 5 Warnings, 0 Critical Warnings and 0 Errors encountered.
|
||||
report_power completed successfully
|
||||
INFO: [runtcl-4] Executing : report_route_status -file CPU9bits_route_status.rpt -pb CPU9bits_route_status.pb
|
||||
INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -file CPU9bits_timing_summary_routed.rpt -pb CPU9bits_timing_summary_routed.pb -rpx CPU9bits_timing_summary_routed.rpx -warn_on_violation
|
||||
@@ -468,4 +451,4 @@ INFO: [runtcl-4] Executing : report_clock_utilization -file CPU9bits_clock_utili
|
||||
INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file CPU9bits_bus_skew_routed.rpt -pb CPU9bits_bus_skew_routed.pb -rpx CPU9bits_bus_skew_routed.rpx
|
||||
INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -2L, Delay Type: min_max.
|
||||
INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs
|
||||
INFO: [Common 17-206] Exiting Vivado at Thu Apr 11 18:43:05 2019...
|
||||
INFO: [Common 17-206] Exiting Vivado at Thu Apr 11 19:42:15 2019...
|
||||
|
||||
@@ -1,7 +1,7 @@
|
||||
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
||||
---------------------------------------------------------------------------------------------------------------------------------------------------------
|
||||
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
||||
| Date : Thu Apr 11 18:43:05 2019
|
||||
| Date : Thu Apr 11 19:42:15 2019
|
||||
| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200)
|
||||
| Command : report_bus_skew -warn_on_violation -file CPU9bits_bus_skew_routed.rpt -pb CPU9bits_bus_skew_routed.pb -rpx CPU9bits_bus_skew_routed.rpx
|
||||
| Design : CPU9bits
|
||||
|
||||
@@ -1,7 +1,7 @@
|
||||
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
||||
-------------------------------------------------------------------------------------------
|
||||
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
||||
| Date : Thu Apr 11 18:43:05 2019
|
||||
| Date : Thu Apr 11 19:42:15 2019
|
||||
| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200)
|
||||
| Command : report_clock_utilization -file CPU9bits_clock_utilization_routed.rpt
|
||||
| Design : CPU9bits
|
||||
@@ -44,7 +44,7 @@ Table of Contents
|
||||
+-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+
|
||||
| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net |
|
||||
+-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+
|
||||
| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y0 | n/a | 1 | 164 | 0 | | | clk_IBUF_BUFG_inst/O | clk_IBUF_BUFG |
|
||||
| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y0 | n/a | 1 | 70 | 0 | | | clk_IBUF_BUFG_inst/O | clk_IBUF_BUFG |
|
||||
+-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+
|
||||
* Clock Loads column represents the clock pin loads (pin count)
|
||||
** Non-Clock Loads column represents the non-clock pin loads (pin count)
|
||||
@@ -72,7 +72,7 @@ Table of Contents
|
||||
+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+
|
||||
| X0Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2800 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 |
|
||||
| X1Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 |
|
||||
| X0Y1 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 163 | 2800 | 46 | 850 | 0 | 60 | 0 | 30 | 0 | 60 |
|
||||
| X0Y1 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 61 | 2800 | 14 | 850 | 0 | 60 | 0 | 30 | 0 | 60 |
|
||||
| X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 |
|
||||
| X0Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2200 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 |
|
||||
| X1Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 |
|
||||
@@ -105,7 +105,7 @@ All Modules
|
||||
+-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+
|
||||
| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net |
|
||||
+-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+
|
||||
| g0 | BUFG/O | n/a | | | | 164 | 0 | 0 | 0 | clk_IBUF_BUFG |
|
||||
| g0 | BUFG/O | n/a | | | | 61 | 0 | 0 | 0 | clk_IBUF_BUFG |
|
||||
+-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+
|
||||
* Logic Loads column represents load cell count of all cell types other than IO, GT and clock resources
|
||||
** IO Loads column represents load cell count of IO types
|
||||
@@ -113,25 +113,25 @@ All Modules
|
||||
**** GT Loads column represents load cell count of GT types
|
||||
|
||||
|
||||
+----+------+----+
|
||||
| | X0 | X1 |
|
||||
+----+------+----+
|
||||
| Y4 | 0 | 0 |
|
||||
| Y3 | 0 | 0 |
|
||||
| Y2 | 0 | 0 |
|
||||
| Y1 | 164 | 0 |
|
||||
| Y0 | 0 | 0 |
|
||||
+----+------+----+
|
||||
+----+-----+----+
|
||||
| | X0 | X1 |
|
||||
+----+-----+----+
|
||||
| Y4 | 0 | 0 |
|
||||
| Y3 | 0 | 0 |
|
||||
| Y2 | 0 | 0 |
|
||||
| Y1 | 61 | 0 |
|
||||
| Y0 | 0 | 0 |
|
||||
+----+-----+----+
|
||||
|
||||
|
||||
7. Clock Region Cell Placement per Global Clock: Region X0Y1
|
||||
------------------------------------------------------------
|
||||
|
||||
+-----------+-------+-----------------+------------+-------------+-----------------+-----+--------+------+-----+----+------+-----+---------+---------------+
|
||||
| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net |
|
||||
+-----------+-------+-----------------+------------+-------------+-----------------+-----+--------+------+-----+----+------+-----+---------+---------------+
|
||||
| g0 | n/a | BUFG/O | None | 164 | 0 | 163 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_IBUF_BUFG |
|
||||
+-----------+-------+-----------------+------------+-------------+-----------------+-----+--------+------+-----+----+------+-----+---------+---------------+
|
||||
+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+
|
||||
| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net |
|
||||
+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+
|
||||
| g0 | n/a | BUFG/O | None | 61 | 0 | 61 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_IBUF_BUFG |
|
||||
+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+
|
||||
* Clock Loads column represents the clock pin loads (pin count)
|
||||
** Non-Clock Loads column represents the non-clock pin loads (pin count)
|
||||
*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts
|
||||
|
||||
@@ -1,7 +1,7 @@
|
||||
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
||||
-------------------------------------------------------------------------------------
|
||||
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
||||
| Date : Thu Apr 11 18:42:32 2019
|
||||
| Date : Thu Apr 11 19:41:43 2019
|
||||
| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200)
|
||||
| Command : report_control_sets -verbose -file CPU9bits_control_sets_placed.rpt
|
||||
| Design : CPU9bits
|
||||
@@ -23,8 +23,8 @@ Table of Contents
|
||||
+----------------------------------------------------------+-------+
|
||||
| Status | Count |
|
||||
+----------------------------------------------------------+-------+
|
||||
| Number of unique control sets | 9 |
|
||||
| Unused register locations in slices containing registers | 61 |
|
||||
| Number of unique control sets | 4 |
|
||||
| Unused register locations in slices containing registers | 27 |
|
||||
+----------------------------------------------------------+-------+
|
||||
|
||||
|
||||
@@ -34,8 +34,8 @@ Table of Contents
|
||||
+--------+--------------+
|
||||
| Fanout | Control Sets |
|
||||
+--------+--------------+
|
||||
| 9 | 8 |
|
||||
| 16+ | 1 |
|
||||
| 9 | 2 |
|
||||
| 16+ | 2 |
|
||||
+--------+--------------+
|
||||
|
||||
|
||||
@@ -45,30 +45,25 @@ Table of Contents
|
||||
+--------------+-----------------------+------------------------+-----------------+--------------+
|
||||
| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices |
|
||||
+--------------+-----------------------+------------------------+-----------------+--------------+
|
||||
| No | No | No | 0 | 0 |
|
||||
| No | No | No | 9 | 3 |
|
||||
| No | No | Yes | 0 | 0 |
|
||||
| No | Yes | No | 91 | 35 |
|
||||
| No | Yes | No | 34 | 15 |
|
||||
| Yes | No | No | 0 | 0 |
|
||||
| Yes | No | Yes | 0 | 0 |
|
||||
| Yes | Yes | No | 72 | 23 |
|
||||
| Yes | Yes | No | 18 | 9 |
|
||||
+--------------+-----------------------+------------------------+-----------------+--------------+
|
||||
|
||||
|
||||
4. Detailed Control Set Information
|
||||
-----------------------------------
|
||||
|
||||
+----------------+-------------------------+------------------+------------------+----------------+
|
||||
| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count |
|
||||
+----------------+-------------------------+------------------+------------------+----------------+
|
||||
| clk_IBUF_BUFG | pipe2/Dout_reg[6]_2[0] | reset_IBUF | 5 | 9 |
|
||||
| clk_IBUF_BUFG | pipe2/Dout_reg[6]_1[0] | reset_IBUF | 2 | 9 |
|
||||
| clk_IBUF_BUFG | pipe2/E[0] | reset_IBUF | 2 | 9 |
|
||||
| clk_IBUF_BUFG | pipe2/Dout_reg[6]_3[0] | reset_IBUF | 4 | 9 |
|
||||
| clk_IBUF_BUFG | pipe1/Dout_reg[43]_0[0] | reset_IBUF | 2 | 9 |
|
||||
| clk_IBUF_BUFG | pipe1/Dout_reg[1]_0[0] | reset_IBUF | 2 | 9 |
|
||||
| clk_IBUF_BUFG | pipe1/Dout_reg[1]_1[0] | reset_IBUF | 2 | 9 |
|
||||
| clk_IBUF_BUFG | pipe1/E[0] | reset_IBUF | 4 | 9 |
|
||||
| clk_IBUF_BUFG | | reset_IBUF | 35 | 91 |
|
||||
+----------------+-------------------------+------------------+------------------+----------------+
|
||||
+----------------+------------------------+------------------+------------------+----------------+
|
||||
| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count |
|
||||
+----------------+------------------------+------------------+------------------+----------------+
|
||||
| clk_IBUF_BUFG | pipe2/Dout_reg[5]_1[0] | reset_IBUF | 4 | 9 |
|
||||
| clk_IBUF_BUFG | pipe2/E[0] | reset_IBUF | 5 | 9 |
|
||||
| clk_IBUF_BUFG | | | 3 | 18 |
|
||||
| clk_IBUF_BUFG | | reset_IBUF | 15 | 34 |
|
||||
+----------------+------------------------+------------------+------------------+----------------+
|
||||
|
||||
|
||||
|
||||
@@ -1,7 +1,7 @@
|
||||
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
||||
---------------------------------------------------------------------------------------------------------------
|
||||
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
||||
| Date : Thu Apr 11 18:42:28 2019
|
||||
| Date : Thu Apr 11 19:41:39 2019
|
||||
| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200)
|
||||
| Command : report_drc -file CPU9bits_drc_opted.rpt -pb CPU9bits_drc_opted.pb -rpx CPU9bits_drc_opted.rpx
|
||||
| Design : CPU9bits
|
||||
|
||||
@@ -1,7 +1,7 @@
|
||||
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
||||
------------------------------------------------------------------------------------------------------------------
|
||||
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
||||
| Date : Thu Apr 11 18:43:03 2019
|
||||
| Date : Thu Apr 11 19:42:14 2019
|
||||
| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200)
|
||||
| Command : report_drc -file CPU9bits_drc_routed.rpt -pb CPU9bits_drc_routed.pb -rpx CPU9bits_drc_routed.rpx
|
||||
| Design : CPU9bits
|
||||
|
||||
@@ -1,7 +1,7 @@
|
||||
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
||||
-------------------------------------------------------------------------------------------------
|
||||
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
||||
| Date : Thu Apr 11 18:42:32 2019
|
||||
| Date : Thu Apr 11 19:41:43 2019
|
||||
| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200)
|
||||
| Command : report_io -file CPU9bits_io_placed.rpt
|
||||
| Design : CPU9bits
|
||||
|
||||
Binary file not shown.
@@ -1,7 +1,7 @@
|
||||
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
||||
--------------------------------------------------------------------------------------------------------------------------------------------------------------
|
||||
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
||||
| Date : Thu Apr 11 18:43:04 2019
|
||||
| Date : Thu Apr 11 19:42:15 2019
|
||||
| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200)
|
||||
| Command : report_methodology -file CPU9bits_methodology_drc_routed.rpt -pb CPU9bits_methodology_drc_routed.pb -rpx CPU9bits_methodology_drc_routed.rpx
|
||||
| Design : CPU9bits
|
||||
@@ -23,833 +23,363 @@ Table of Contents
|
||||
Floorplan: design_1
|
||||
Design limits: <entire design considered>
|
||||
Max violations: <unlimited>
|
||||
Violations found: 164
|
||||
Violations found: 70
|
||||
+-----------+----------+-----------------------------+------------+
|
||||
| Rule | Severity | Description | Violations |
|
||||
+-----------+----------+-----------------------------+------------+
|
||||
| TIMING-17 | Warning | Non-clocked sequential cell | 164 |
|
||||
| TIMING-17 | Warning | Non-clocked sequential cell | 70 |
|
||||
+-----------+----------+-----------------------------+------------+
|
||||
|
||||
2. REPORT DETAILS
|
||||
-----------------
|
||||
TIMING-17#1 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r0/Dout_reg[0]/C is not reached by a timing clock
|
||||
The clock pin EM/dM/memory_reg_0_1_0_0/SP/CLK is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#2 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r0/Dout_reg[1]/C is not reached by a timing clock
|
||||
The clock pin EM/dM/memory_reg_0_1_1_1/SP/CLK is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#3 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r0/Dout_reg[2]/C is not reached by a timing clock
|
||||
The clock pin EM/dM/memory_reg_0_1_2_2/SP/CLK is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#4 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r0/Dout_reg[3]/C is not reached by a timing clock
|
||||
The clock pin EM/dM/memory_reg_0_1_3_3/SP/CLK is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#5 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r0/Dout_reg[4]/C is not reached by a timing clock
|
||||
The clock pin EM/dM/memory_reg_0_1_4_4/SP/CLK is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#6 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r0/Dout_reg[5]/C is not reached by a timing clock
|
||||
The clock pin EM/dM/memory_reg_0_1_5_5/SP/CLK is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#7 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r0/Dout_reg[6]/C is not reached by a timing clock
|
||||
The clock pin EM/dM/memory_reg_0_1_6_6/SP/CLK is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#8 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r0/Dout_reg[7]/C is not reached by a timing clock
|
||||
The clock pin EM/dM/memory_reg_0_1_7_7/SP/CLK is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#9 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r0/Dout_reg[8]/C is not reached by a timing clock
|
||||
The clock pin EM/dM/memory_reg_0_1_8_8/SP/CLK is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#10 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r1/Dout_reg[0]/C is not reached by a timing clock
|
||||
The clock pin EM/dM/readData_reg[0]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#11 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r1/Dout_reg[1]/C is not reached by a timing clock
|
||||
The clock pin EM/dM/readData_reg[1]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#12 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r1/Dout_reg[2]/C is not reached by a timing clock
|
||||
The clock pin EM/dM/readData_reg[2]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#13 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r1/Dout_reg[3]/C is not reached by a timing clock
|
||||
The clock pin EM/dM/readData_reg[3]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#14 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r1/Dout_reg[4]/C is not reached by a timing clock
|
||||
The clock pin EM/dM/readData_reg[4]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#15 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r1/Dout_reg[5]/C is not reached by a timing clock
|
||||
The clock pin EM/dM/readData_reg[5]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#16 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r1/Dout_reg[6]/C is not reached by a timing clock
|
||||
The clock pin EM/dM/readData_reg[6]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#17 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r1/Dout_reg[7]/C is not reached by a timing clock
|
||||
The clock pin EM/dM/readData_reg[7]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#18 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r1/Dout_reg[8]/C is not reached by a timing clock
|
||||
The clock pin EM/dM/readData_reg[8]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#19 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r2/Dout_reg[0]/C is not reached by a timing clock
|
||||
The clock pin FD/FetchU/PC/Dout_reg[0]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#20 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r2/Dout_reg[1]/C is not reached by a timing clock
|
||||
The clock pin FD/FetchU/PC/Dout_reg[1]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#21 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r2/Dout_reg[2]/C is not reached by a timing clock
|
||||
The clock pin FD/FetchU/PC/Dout_reg[2]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#22 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r2/Dout_reg[3]/C is not reached by a timing clock
|
||||
The clock pin FD/RF/r0/Dout_reg[0]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#23 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r2/Dout_reg[4]/C is not reached by a timing clock
|
||||
The clock pin FD/RF/r0/Dout_reg[1]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#24 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r2/Dout_reg[5]/C is not reached by a timing clock
|
||||
The clock pin FD/RF/r0/Dout_reg[2]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#25 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r2/Dout_reg[6]/C is not reached by a timing clock
|
||||
The clock pin FD/RF/r0/Dout_reg[3]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#26 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r2/Dout_reg[7]/C is not reached by a timing clock
|
||||
The clock pin FD/RF/r0/Dout_reg[4]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#27 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r2/Dout_reg[8]/C is not reached by a timing clock
|
||||
The clock pin FD/RF/r0/Dout_reg[5]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#28 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r3/Dout_reg[0]/C is not reached by a timing clock
|
||||
The clock pin FD/RF/r0/Dout_reg[6]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#29 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r3/Dout_reg[1]/C is not reached by a timing clock
|
||||
The clock pin FD/RF/r0/Dout_reg[7]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#30 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r3/Dout_reg[2]/C is not reached by a timing clock
|
||||
The clock pin FD/RF/r0/Dout_reg[8]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#31 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r3/Dout_reg[3]/C is not reached by a timing clock
|
||||
The clock pin FD/RF/r1/Dout_reg[0]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#32 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r3/Dout_reg[4]/C is not reached by a timing clock
|
||||
The clock pin FD/RF/r1/Dout_reg[1]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#33 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r3/Dout_reg[5]/C is not reached by a timing clock
|
||||
The clock pin FD/RF/r1/Dout_reg[2]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#34 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r3/Dout_reg[6]/C is not reached by a timing clock
|
||||
The clock pin FD/RF/r1/Dout_reg[3]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#35 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r3/Dout_reg[7]/C is not reached by a timing clock
|
||||
The clock pin FD/RF/r1/Dout_reg[4]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#36 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/Bank/r3/Dout_reg[8]/C is not reached by a timing clock
|
||||
The clock pin FD/RF/r1/Dout_reg[5]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#37 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin EM/dM/memory_reg/CLKARDCLK is not reached by a timing clock
|
||||
The clock pin FD/RF/r1/Dout_reg[6]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#38 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/FetchU/PC/Dout_reg[0]/C is not reached by a timing clock
|
||||
The clock pin FD/RF/r1/Dout_reg[7]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#39 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/FetchU/PC/Dout_reg[1]/C is not reached by a timing clock
|
||||
The clock pin FD/RF/r1/Dout_reg[8]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#40 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/FetchU/PC/Dout_reg[2]/C is not reached by a timing clock
|
||||
The clock pin pipe1/Dout_reg[12]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#41 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/FetchU/PC/Dout_reg[3]/C is not reached by a timing clock
|
||||
The clock pin pipe1/Dout_reg[13]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#42 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/FetchU/PC/Dout_reg[4]/C is not reached by a timing clock
|
||||
The clock pin pipe1/Dout_reg[2]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#43 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/FetchU/PC/Dout_reg[5]/C is not reached by a timing clock
|
||||
The clock pin pipe1/Dout_reg[45]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#44 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/FetchU/PC/Dout_reg[6]/C is not reached by a timing clock
|
||||
The clock pin pipe1/Dout_reg[5]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#45 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/FetchU/PC/Dout_reg[7]/C is not reached by a timing clock
|
||||
The clock pin pipe1/Dout_reg[7]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#46 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/FetchU/PC/Dout_reg[8]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[0]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#47 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r0/Dout_reg[0]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[16]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#48 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r0/Dout_reg[1]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[17]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#49 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r0/Dout_reg[2]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[18]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#50 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r0/Dout_reg[3]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[19]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#51 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r0/Dout_reg[4]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[20]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#52 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r0/Dout_reg[5]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[21]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#53 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r0/Dout_reg[6]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[22]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#54 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r0/Dout_reg[7]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[23]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#55 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r0/Dout_reg[8]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[24]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#56 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r1/Dout_reg[0]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[25]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#57 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r1/Dout_reg[1]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[26]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#58 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r1/Dout_reg[2]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[27]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#59 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r1/Dout_reg[3]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[28]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#60 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r1/Dout_reg[4]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[29]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#61 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r1/Dout_reg[5]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[30]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#62 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r1/Dout_reg[6]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[31]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#63 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r1/Dout_reg[7]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[32]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#64 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r1/Dout_reg[8]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[33]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#65 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r2/Dout_reg[0]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[34]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#66 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r2/Dout_reg[1]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[35]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#67 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r2/Dout_reg[2]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[36]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#68 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r2/Dout_reg[3]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[3]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#69 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r2/Dout_reg[4]/C is not reached by a timing clock
|
||||
The clock pin pipe2/Dout_reg[5]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#70 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r2/Dout_reg[5]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#71 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r2/Dout_reg[6]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#72 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r2/Dout_reg[7]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#73 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r2/Dout_reg[8]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#74 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r3/Dout_reg[0]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#75 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r3/Dout_reg[1]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#76 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r3/Dout_reg[2]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#77 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r3/Dout_reg[3]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#78 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r3/Dout_reg[4]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#79 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r3/Dout_reg[5]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#80 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r3/Dout_reg[6]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#81 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r3/Dout_reg[7]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#82 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin FD/RF/r3/Dout_reg[8]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#83 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[0]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#84 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[10]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#85 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[11]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#86 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[12]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#87 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[13]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#88 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[14]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#89 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[15]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#90 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[16]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#91 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[17]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#92 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[18]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#93 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[19]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#94 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[1]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#95 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[20]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#96 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[21]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#97 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[22]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#98 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[23]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#99 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[2]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#100 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[3]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#101 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[42]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#102 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[43]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#103 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[44]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#104 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[45]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#105 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[46]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#106 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[4]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#107 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[5]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#108 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[6]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#109 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[7]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#110 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[8]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#111 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe1/Dout_reg[9]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#112 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[0]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#113 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[10]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#114 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[11]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#115 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[12]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#116 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[13]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#117 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[14]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#118 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[15]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#119 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[1]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#120 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[25]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#121 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[26]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#122 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[27]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#123 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[28]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#124 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[29]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#125 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[2]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#126 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[30]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#127 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[31]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#128 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[32]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#129 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[33]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#130 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[34]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#131 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[35]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#132 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[36]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#133 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[37]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#134 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[38]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#135 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[39]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#136 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[3]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#137 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[40]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#138 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[41]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#139 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[42]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#140 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[43]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#141 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[44]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#142 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[45]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#143 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[46]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#144 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[47]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#145 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[48]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#146 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[49]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#147 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[4]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#148 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[50]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#149 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[51]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#150 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[52]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#151 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[53]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#152 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[54]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#153 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[55]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#154 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[56]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#155 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[57]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#156 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[58]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#157 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[59]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#158 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[5]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#159 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[60]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#160 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[61]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#161 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[6]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#162 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[7]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#163 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[8]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
TIMING-17#164 Warning
|
||||
Non-clocked sequential cell
|
||||
The clock pin pipe2/Dout_reg[9]/C is not reached by a timing clock
|
||||
Related violations: <none>
|
||||
|
||||
|
||||
|
||||
Binary file not shown.
Binary file not shown.
@@ -1,7 +1,7 @@
|
||||
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
||||
----------------------------------------------------------------------------------------------------------------------------------------------
|
||||
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
||||
| Date : Thu Apr 11 18:43:05 2019
|
||||
| Date : Thu Apr 11 19:42:15 2019
|
||||
| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200)
|
||||
| Command : report_power -file CPU9bits_power_routed.rpt -pb CPU9bits_power_summary_routed.pb -rpx CPU9bits_power_routed.rpx
|
||||
| Design : CPU9bits
|
||||
@@ -30,14 +30,14 @@ Table of Contents
|
||||
----------
|
||||
|
||||
+--------------------------+--------------+
|
||||
| Total On-Chip Power (W) | 15.838 |
|
||||
| Total On-Chip Power (W) | 11.381 |
|
||||
| Design Power Budget (W) | Unspecified* |
|
||||
| Power Budget Margin (W) | NA |
|
||||
| Dynamic (W) | 15.645 |
|
||||
| Device Static (W) | 0.193 |
|
||||
| Dynamic (W) | 11.237 |
|
||||
| Device Static (W) | 0.144 |
|
||||
| Effective TJA (C/W) | 2.5 |
|
||||
| Max Ambient (C) | 60.8 |
|
||||
| Junction Temperature (C) | 64.2 |
|
||||
| Max Ambient (C) | 71.8 |
|
||||
| Junction Temperature (C) | 53.2 |
|
||||
| Confidence Level | Low |
|
||||
| Setting File | --- |
|
||||
| Simulation Activity File | --- |
|
||||
@@ -49,21 +49,21 @@ Table of Contents
|
||||
1.1 On-Chip Components
|
||||
----------------------
|
||||
|
||||
+----------------+-----------+----------+-----------+-----------------+
|
||||
| On-Chip | Power (W) | Used | Available | Utilization (%) |
|
||||
+----------------+-----------+----------+-----------+-----------------+
|
||||
| Slice Logic | 3.275 | 396 | --- | --- |
|
||||
| LUT as Logic | 3.190 | 184 | 101400 | 0.18 |
|
||||
| Register | 0.073 | 163 | 202800 | 0.08 |
|
||||
| F7/F8 Muxes | 0.007 | 3 | 101400 | <0.01 |
|
||||
| BUFG | 0.005 | 1 | 32 | 3.13 |
|
||||
| Others | 0.000 | 5 | --- | --- |
|
||||
| Signals | 3.308 | 335 | --- | --- |
|
||||
| Block RAM | 0.061 | 0.5 | 325 | 0.15 |
|
||||
| I/O | 9.001 | 12 | 285 | 4.21 |
|
||||
| Static Power | 0.193 | | | |
|
||||
| Total | 15.838 | | | |
|
||||
+----------------+-----------+----------+-----------+-----------------+
|
||||
+--------------------------+-----------+----------+-----------+-----------------+
|
||||
| On-Chip | Power (W) | Used | Available | Utilization (%) |
|
||||
+--------------------------+-----------+----------+-----------+-----------------+
|
||||
| Slice Logic | 1.762 | 175 | --- | --- |
|
||||
| LUT as Logic | 1.689 | 83 | 101400 | 0.08 |
|
||||
| Register | 0.045 | 61 | 202800 | 0.03 |
|
||||
| LUT as Distributed RAM | 0.020 | 9 | 35000 | 0.03 |
|
||||
| BUFG | 0.005 | 1 | 32 | 3.13 |
|
||||
| F7/F8 Muxes | 0.003 | 1 | 101400 | <0.01 |
|
||||
| Others | 0.000 | 7 | --- | --- |
|
||||
| Signals | 1.630 | 143 | --- | --- |
|
||||
| I/O | 7.846 | 12 | 285 | 4.21 |
|
||||
| Static Power | 0.144 | | | |
|
||||
| Total | 11.381 | | | |
|
||||
+--------------------------+-----------+----------+-----------+-----------------+
|
||||
|
||||
|
||||
1.2 Power Supply Summary
|
||||
@@ -72,16 +72,16 @@ Table of Contents
|
||||
+-----------+-------------+-----------+-------------+------------+
|
||||
| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) |
|
||||
+-----------+-------------+-----------+-------------+------------+
|
||||
| Vccint | 0.950 | 7.112 | 6.993 | 0.120 |
|
||||
| Vccaux | 1.800 | 0.760 | 0.737 | 0.024 |
|
||||
| Vccint | 0.950 | 3.650 | 3.574 | 0.075 |
|
||||
| Vccaux | 1.800 | 0.662 | 0.642 | 0.020 |
|
||||
| Vcco33 | 3.300 | 0.000 | 0.000 | 0.000 |
|
||||
| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 |
|
||||
| Vcco18 | 1.800 | 4.263 | 4.262 | 0.001 |
|
||||
| Vcco18 | 1.800 | 3.716 | 3.715 | 0.001 |
|
||||
| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 |
|
||||
| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 |
|
||||
| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 |
|
||||
| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 |
|
||||
| Vccbram | 0.950 | 0.008 | 0.005 | 0.003 |
|
||||
| Vccbram | 0.950 | 0.002 | 0.000 | 0.002 |
|
||||
| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 |
|
||||
| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 |
|
||||
| MGTVccaux | 1.800 | 0.000 | 0.000 | 0.000 |
|
||||
@@ -137,25 +137,29 @@ Table of Contents
|
||||
3.1 By Hierarchy
|
||||
----------------
|
||||
|
||||
+------------+-----------+
|
||||
| Name | Power (W) |
|
||||
+------------+-----------+
|
||||
| CPU9bits | 15.645 |
|
||||
| EM | 0.129 |
|
||||
| Bank | 0.037 |
|
||||
| r0 | 0.034 |
|
||||
| r1 | 0.003 |
|
||||
| dM | 0.091 |
|
||||
| FD | 4.742 |
|
||||
| FetchU | 3.925 |
|
||||
| PC | 3.925 |
|
||||
| RF | 0.817 |
|
||||
| r0 | 0.185 |
|
||||
| r1 | 0.449 |
|
||||
| r2 | 0.181 |
|
||||
| r3 | 0.002 |
|
||||
| pipe1 | 0.848 |
|
||||
| pipe2 | 0.903 |
|
||||
+------------+-----------+
|
||||
+--------------------------+-----------+
|
||||
| Name | Power (W) |
|
||||
+--------------------------+-----------+
|
||||
| CPU9bits | 11.237 |
|
||||
| EM | 0.071 |
|
||||
| dM | 0.071 |
|
||||
| memory_reg_0_1_0_0 | 0.002 |
|
||||
| memory_reg_0_1_1_1 | 0.002 |
|
||||
| memory_reg_0_1_2_2 | 0.002 |
|
||||
| memory_reg_0_1_3_3 | 0.002 |
|
||||
| memory_reg_0_1_4_4 | 0.002 |
|
||||
| memory_reg_0_1_5_5 | 0.002 |
|
||||
| memory_reg_0_1_6_6 | 0.002 |
|
||||
| memory_reg_0_1_7_7 | 0.002 |
|
||||
| memory_reg_0_1_8_8 | 0.002 |
|
||||
| FD | 2.780 |
|
||||
| FetchU | 2.642 |
|
||||
| PC | 2.642 |
|
||||
| RF | 0.138 |
|
||||
| r0 | 0.059 |
|
||||
| r1 | 0.079 |
|
||||
| pipe1 | 0.093 |
|
||||
| pipe2 | 0.430 |
|
||||
+--------------------------+-----------+
|
||||
|
||||
|
||||
|
||||
Binary file not shown.
Binary file not shown.
@@ -1,11 +1,11 @@
|
||||
Design Route Status
|
||||
: # nets :
|
||||
------------------------------------------- : ----------- :
|
||||
# of logical nets.......................... : 416 :
|
||||
# of nets not needing routing.......... : 79 :
|
||||
# of internally routed nets........ : 79 :
|
||||
# of routable nets..................... : 337 :
|
||||
# of fully routed nets............. : 337 :
|
||||
# of logical nets.......................... : 184 :
|
||||
# of nets not needing routing.......... : 39 :
|
||||
# of internally routed nets........ : 39 :
|
||||
# of routable nets..................... : 145 :
|
||||
# of fully routed nets............. : 145 :
|
||||
# of nets with routing errors.......... : 0 :
|
||||
------------------------------------------- : ----------- :
|
||||
|
||||
|
||||
Binary file not shown.
@@ -1,7 +1,7 @@
|
||||
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
||||
-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
|
||||
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
||||
| Date : Thu Apr 11 18:43:05 2019
|
||||
| Date : Thu Apr 11 19:42:15 2019
|
||||
| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200)
|
||||
| Command : report_timing_summary -max_paths 10 -file CPU9bits_timing_summary_routed.rpt -pb CPU9bits_timing_summary_routed.pb -rpx CPU9bits_timing_summary_routed.rpx -warn_on_violation
|
||||
| Design : CPU9bits
|
||||
@@ -52,7 +52,7 @@ Table of Contents
|
||||
|
||||
1. checking no_clock
|
||||
--------------------
|
||||
There are 164 register/latch pins with no clock driven by root clock pin: clk (HIGH)
|
||||
There are 70 register/latch pins with no clock driven by root clock pin: clk (HIGH)
|
||||
|
||||
|
||||
2. checking constant_clock
|
||||
@@ -67,7 +67,7 @@ Table of Contents
|
||||
|
||||
4. checking unconstrained_internal_endpoints
|
||||
--------------------------------------------
|
||||
There are 417 pins that are not constrained for maximum delay. (HIGH)
|
||||
There are 148 pins that are not constrained for maximum delay. (HIGH)
|
||||
|
||||
There are 0 pins that are not constrained for maximum delay due to constant clock.
|
||||
|
||||
@@ -81,7 +81,7 @@ Table of Contents
|
||||
|
||||
6. checking no_output_delay
|
||||
---------------------------
|
||||
There are 10 ports with no output delay specified. (HIGH)
|
||||
There are 9 ports with no output delay specified. (HIGH)
|
||||
|
||||
There are 0 ports with no output delay but user has a false path constraint
|
||||
|
||||
|
||||
Binary file not shown.
@@ -1,7 +1,7 @@
|
||||
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
||||
-------------------------------------------------------------------------------------------------------------
|
||||
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
||||
| Date : Thu Apr 11 18:42:32 2019
|
||||
| Date : Thu Apr 11 19:41:43 2019
|
||||
| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200)
|
||||
| Command : report_utilization -file CPU9bits_utilization_placed.rpt -pb CPU9bits_utilization_placed.pb
|
||||
| Design : CPU9bits
|
||||
@@ -28,18 +28,20 @@ Table of Contents
|
||||
1. Slice Logic
|
||||
--------------
|
||||
|
||||
+-------------------------+------+-------+-----------+-------+
|
||||
| Site Type | Used | Fixed | Available | Util% |
|
||||
+-------------------------+------+-------+-----------+-------+
|
||||
| Slice LUTs | 184 | 0 | 101400 | 0.18 |
|
||||
| LUT as Logic | 184 | 0 | 101400 | 0.18 |
|
||||
| LUT as Memory | 0 | 0 | 35000 | 0.00 |
|
||||
| Slice Registers | 163 | 0 | 202800 | 0.08 |
|
||||
| Register as Flip Flop | 163 | 0 | 202800 | 0.08 |
|
||||
| Register as Latch | 0 | 0 | 202800 | 0.00 |
|
||||
| F7 Muxes | 3 | 0 | 50700 | <0.01 |
|
||||
| F8 Muxes | 0 | 0 | 25350 | 0.00 |
|
||||
+-------------------------+------+-------+-----------+-------+
|
||||
+----------------------------+------+-------+-----------+-------+
|
||||
| Site Type | Used | Fixed | Available | Util% |
|
||||
+----------------------------+------+-------+-----------+-------+
|
||||
| Slice LUTs | 92 | 0 | 101400 | 0.09 |
|
||||
| LUT as Logic | 83 | 0 | 101400 | 0.08 |
|
||||
| LUT as Memory | 9 | 0 | 35000 | 0.03 |
|
||||
| LUT as Distributed RAM | 9 | 0 | | |
|
||||
| LUT as Shift Register | 0 | 0 | | |
|
||||
| Slice Registers | 61 | 0 | 202800 | 0.03 |
|
||||
| Register as Flip Flop | 61 | 0 | 202800 | 0.03 |
|
||||
| Register as Latch | 0 | 0 | 202800 | 0.00 |
|
||||
| F7 Muxes | 1 | 0 | 50700 | <0.01 |
|
||||
| F8 Muxes | 0 | 0 | 25350 | 0.00 |
|
||||
+----------------------------+------+-------+-----------+-------+
|
||||
|
||||
|
||||
1.1 Summary of Registers by Type
|
||||
@@ -57,7 +59,7 @@ Table of Contents
|
||||
| 0 | Yes | - | Set |
|
||||
| 0 | Yes | - | Reset |
|
||||
| 0 | Yes | Set | - |
|
||||
| 163 | Yes | Reset | - |
|
||||
| 61 | Yes | Reset | - |
|
||||
+-------+--------------+-------------+--------------+
|
||||
|
||||
|
||||
@@ -67,22 +69,25 @@ Table of Contents
|
||||
+--------------------------------------------+------+-------+-----------+-------+
|
||||
| Site Type | Used | Fixed | Available | Util% |
|
||||
+--------------------------------------------+------+-------+-----------+-------+
|
||||
| Slice | 65 | 0 | 25350 | 0.26 |
|
||||
| SLICEL | 44 | 0 | | |
|
||||
| SLICEM | 21 | 0 | | |
|
||||
| LUT as Logic | 184 | 0 | 101400 | 0.18 |
|
||||
| Slice | 28 | 0 | 25350 | 0.11 |
|
||||
| SLICEL | 21 | 0 | | |
|
||||
| SLICEM | 7 | 0 | | |
|
||||
| LUT as Logic | 83 | 0 | 101400 | 0.08 |
|
||||
| using O5 output only | 0 | | | |
|
||||
| using O6 output only | 144 | | | |
|
||||
| using O5 and O6 | 40 | | | |
|
||||
| LUT as Memory | 0 | 0 | 35000 | 0.00 |
|
||||
| LUT as Distributed RAM | 0 | 0 | | |
|
||||
| using O6 output only | 70 | | | |
|
||||
| using O5 and O6 | 13 | | | |
|
||||
| LUT as Memory | 9 | 0 | 35000 | 0.03 |
|
||||
| LUT as Distributed RAM | 9 | 0 | | |
|
||||
| using O5 output only | 0 | | | |
|
||||
| using O6 output only | 9 | | | |
|
||||
| using O5 and O6 | 0 | | | |
|
||||
| LUT as Shift Register | 0 | 0 | | |
|
||||
| Slice Registers | 163 | 0 | 202800 | 0.08 |
|
||||
| Register driven from within the Slice | 68 | | | |
|
||||
| Register driven from outside the Slice | 95 | | | |
|
||||
| LUT in front of the register is unused | 46 | | | |
|
||||
| LUT in front of the register is used | 49 | | | |
|
||||
| Unique Control Sets | 9 | | 25350 | 0.04 |
|
||||
| Slice Registers | 61 | 0 | 202800 | 0.03 |
|
||||
| Register driven from within the Slice | 30 | | | |
|
||||
| Register driven from outside the Slice | 31 | | | |
|
||||
| LUT in front of the register is unused | 3 | | | |
|
||||
| LUT in front of the register is used | 28 | | | |
|
||||
| Unique Control Sets | 4 | | 25350 | 0.02 |
|
||||
+--------------------------------------------+------+-------+-----------+-------+
|
||||
* Note: Available Control Sets calculated as Slice Registers / 8, Review the Control Sets Report for more information regarding control sets.
|
||||
|
||||
@@ -90,14 +95,13 @@ Table of Contents
|
||||
3. Memory
|
||||
---------
|
||||
|
||||
+-------------------+------+-------+-----------+-------+
|
||||
| Site Type | Used | Fixed | Available | Util% |
|
||||
+-------------------+------+-------+-----------+-------+
|
||||
| Block RAM Tile | 0.5 | 0 | 325 | 0.15 |
|
||||
| RAMB36/FIFO* | 0 | 0 | 325 | 0.00 |
|
||||
| RAMB18 | 1 | 0 | 650 | 0.15 |
|
||||
| RAMB18E1 only | 1 | | | |
|
||||
+-------------------+------+-------+-----------+-------+
|
||||
+----------------+------+-------+-----------+-------+
|
||||
| Site Type | Used | Fixed | Available | Util% |
|
||||
+----------------+------+-------+-----------+-------+
|
||||
| Block RAM Tile | 0 | 0 | 325 | 0.00 |
|
||||
| RAMB36/FIFO* | 0 | 0 | 325 | 0.00 |
|
||||
| RAMB18 | 0 | 0 | 650 | 0.00 |
|
||||
+----------------+------+-------+-----------+-------+
|
||||
* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
|
||||
|
||||
|
||||
@@ -180,17 +184,16 @@ Table of Contents
|
||||
+----------+------+---------------------+
|
||||
| Ref Name | Used | Functional Category |
|
||||
+----------+------+---------------------+
|
||||
| FDRE | 163 | Flop & Latch |
|
||||
| LUT6 | 93 | LUT |
|
||||
| LUT5 | 52 | LUT |
|
||||
| LUT3 | 36 | LUT |
|
||||
| LUT4 | 34 | LUT |
|
||||
| FDRE | 61 | Flop & Latch |
|
||||
| LUT6 | 47 | LUT |
|
||||
| LUT3 | 19 | LUT |
|
||||
| LUT4 | 14 | LUT |
|
||||
| LUT5 | 11 | LUT |
|
||||
| OBUF | 10 | IO |
|
||||
| LUT2 | 8 | LUT |
|
||||
| MUXF7 | 3 | MuxFx |
|
||||
| RAMS32 | 9 | Distributed Memory |
|
||||
| LUT2 | 5 | LUT |
|
||||
| IBUF | 2 | IO |
|
||||
| RAMB18E1 | 1 | Block Memory |
|
||||
| LUT1 | 1 | LUT |
|
||||
| MUXF7 | 1 | MuxFx |
|
||||
| BUFG | 1 | Clock |
|
||||
+----------+------+---------------------+
|
||||
|
||||
|
||||
@@ -1,69 +1,50 @@
|
||||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<GenRun Id="impl_1" LaunchPart="xc7k160tifbg484-2L" LaunchTime="1555022469">
|
||||
<File Type="BITSTR-BMM" Name="CPU9bits_bd.bmm"/>
|
||||
<File Type="OPT-METHODOLOGY-DRC" Name="CPU9bits_methodology_drc_opted.rpt"/>
|
||||
<File Type="INIT-TIMING" Name="CPU9bits_timing_summary_init.rpt"/>
|
||||
<GenRun Id="impl_1" LaunchPart="xc7k160tifbg484-2L" LaunchTime="1555026029">
|
||||
<File Type="ROUTE-PWR" Name="CPU9bits_power_routed.rpt"/>
|
||||
<File Type="PA-TCL" Name="CPU9bits.tcl"/>
|
||||
<File Type="OPT-TIMING" Name="CPU9bits_timing_summary_opted.rpt"/>
|
||||
<File Type="OPT-DCP" Name="CPU9bits_opt.dcp"/>
|
||||
<File Type="BITSTR-BMM" Name="CPU9bits_bd.bmm"/>
|
||||
<File Type="ROUTE-PWR-SUM" Name="CPU9bits_power_summary_routed.pb"/>
|
||||
<File Type="REPORTS-TCL" Name="CPU9bits_reports.tcl"/>
|
||||
<File Type="OPT-DCP" Name="CPU9bits_opt.dcp"/>
|
||||
<File Type="OPT-DRC" Name="CPU9bits_drc_opted.rpt"/>
|
||||
<File Type="OPT-HWDEF" Name="CPU9bits.hwdef"/>
|
||||
<File Type="PWROPT-DCP" Name="CPU9bits_pwropt.dcp"/>
|
||||
<File Type="PWROPT-DRC" Name="CPU9bits_drc_pwropted.rpt"/>
|
||||
<File Type="PWROPT-TIMING" Name="CPU9bits_timing_summary_pwropted.rpt"/>
|
||||
<File Type="PLACE-DCP" Name="CPU9bits_placed.dcp"/>
|
||||
<File Type="PLACE-IO" Name="CPU9bits_io_placed.rpt"/>
|
||||
<File Type="PLACE-CLK" Name="CPU9bits_clock_utilization_placed.rpt"/>
|
||||
<File Type="PLACE-UTIL" Name="CPU9bits_utilization_placed.rpt"/>
|
||||
<File Type="PLACE-UTIL-PB" Name="CPU9bits_utilization_placed.pb"/>
|
||||
<File Type="PLACE-CTRL" Name="CPU9bits_control_sets_placed.rpt"/>
|
||||
<File Type="PLACE-SIMILARITY" Name="CPU9bits_incremental_reuse_placed.rpt"/>
|
||||
<File Type="PLACE-PRE-SIMILARITY" Name="CPU9bits_incremental_reuse_pre_placed.rpt"/>
|
||||
<File Type="BG-BGN" Name="CPU9bits.bgn"/>
|
||||
<File Type="PLACE-TIMING" Name="CPU9bits_timing_summary_placed.rpt"/>
|
||||
<File Type="POSTPLACE-PWROPT-DCP" Name="CPU9bits_postplace_pwropt.dcp"/>
|
||||
<File Type="BG-BIN" Name="CPU9bits.bin"/>
|
||||
<File Type="POSTPLACE-PWROPT-TIMING" Name="CPU9bits_timing_summary_postplace_pwropted.rpt"/>
|
||||
<File Type="PHYSOPT-DCP" Name="CPU9bits_physopt.dcp"/>
|
||||
<File Type="PHYSOPT-DRC" Name="CPU9bits_drc_physopted.rpt"/>
|
||||
<File Type="BITSTR-MSK" Name="CPU9bits.msk"/>
|
||||
<File Type="PHYSOPT-TIMING" Name="CPU9bits_timing_summary_physopted.rpt"/>
|
||||
<File Type="ROUTE-ERROR-DCP" Name="CPU9bits_routed_error.dcp"/>
|
||||
<File Type="ROUTE-DCP" Name="CPU9bits_routed.dcp"/>
|
||||
<File Type="ROUTE-BLACKBOX-DCP" Name="CPU9bits_routed_bb.dcp"/>
|
||||
<File Type="ROUTE-DRC" Name="CPU9bits_drc_routed.rpt"/>
|
||||
<File Type="ROUTE-DRC-PB" Name="CPU9bits_drc_routed.pb"/>
|
||||
<File Type="BITSTR-LTX" Name="debug_nets.ltx"/>
|
||||
<File Type="BITSTR-LTX" Name="CPU9bits.ltx"/>
|
||||
<File Type="ROUTE-DRC-RPX" Name="CPU9bits_drc_routed.rpx"/>
|
||||
<File Type="BITSTR-MMI" Name="CPU9bits.mmi"/>
|
||||
<File Type="BITSTR-LTX" Name="CPU9bits.ltx"/>
|
||||
<File Type="ROUTE-METHODOLOGY-DRC" Name="CPU9bits_methodology_drc_routed.rpt"/>
|
||||
<File Type="BITSTR-MMI" Name="CPU9bits.mmi"/>
|
||||
<File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="CPU9bits_methodology_drc_routed.rpx"/>
|
||||
<File Type="BITSTR-SYSDEF" Name="CPU9bits.sysdef"/>
|
||||
<File Type="ROUTE-METHODOLOGY-DRC-PB" Name="CPU9bits_methodology_drc_routed.pb"/>
|
||||
<File Type="BITSTR-SYSDEF" Name="CPU9bits.sysdef"/>
|
||||
<File Type="ROUTE-PWR-RPX" Name="CPU9bits_power_routed.rpx"/>
|
||||
<File Type="ROUTE-STATUS" Name="CPU9bits_route_status.rpt"/>
|
||||
<File Type="ROUTE-STATUS-PB" Name="CPU9bits_route_status.pb"/>
|
||||
<File Type="ROUTE-TIMINGSUMMARY" Name="CPU9bits_timing_summary_routed.rpt"/>
|
||||
<File Type="ROUTE-TIMING-PB" Name="CPU9bits_timing_summary_routed.pb"/>
|
||||
<File Type="ROUTE-TIMING-RPX" Name="CPU9bits_timing_summary_routed.rpx"/>
|
||||
<File Type="ROUTE-SIMILARITY" Name="CPU9bits_incremental_reuse_routed.rpt"/>
|
||||
<File Type="ROUTE-CLK" Name="CPU9bits_clock_utilization_routed.rpt"/>
|
||||
<File Type="ROUTE-BUS-SKEW" Name="CPU9bits_bus_skew_routed.rpt"/>
|
||||
<File Type="ROUTE-BUS-SKEW-PB" Name="CPU9bits_bus_skew_routed.pb"/>
|
||||
<File Type="ROUTE-BUS-SKEW-RPX" Name="CPU9bits_bus_skew_routed.rpx"/>
|
||||
<File Type="POSTROUTE-PHYSOPT-DCP" Name="CPU9bits_postroute_physopt.dcp"/>
|
||||
<File Type="POSTROUTE-PHYSOPT-BLACKBOX-DCP" Name="CPU9bits_postroute_physopt_bb.dcp"/>
|
||||
<File Type="POSTROUTE-PHYSOPT-TIMING" Name="CPU9bits_timing_summary_postroute_physopted.rpt"/>
|
||||
<File Type="POSTROUTE-PHYSOPT-TIMING-PB" Name="CPU9bits_timing_summary_postroute_physopted.pb"/>
|
||||
<File Type="POSTROUTE-PHYSOPT-TIMING-RPX" Name="CPU9bits_timing_summary_postroute_physopted.rpx"/>
|
||||
<File Type="POSTROUTE-PHYSOPT-BUS-SKEW" Name="CPU9bits_bus_skew_postroute_physopted.rpt"/>
|
||||
<File Type="POSTROUTE-PHYSOPT-BUS-SKEW-PB" Name="CPU9bits_bus_skew_postroute_physopted.pb"/>
|
||||
<File Type="BG-BIT" Name="CPU9bits.bit"/>
|
||||
<File Type="POSTROUTE-PHYSOPT-BUS-SKEW-RPX" Name="CPU9bits_bus_skew_postroute_physopted.rpx"/>
|
||||
<File Type="BITSTR-RBT" Name="CPU9bits.rbt"/>
|
||||
<File Type="BITSTR-NKY" Name="CPU9bits.nky"/>
|
||||
<File Type="BG-DRC" Name="CPU9bits.drc"/>
|
||||
|
||||
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
@@ -2,8 +2,8 @@
|
||||
# Vivado v2018.3 (64-bit)
|
||||
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
||||
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
||||
# Start of session at: Thu Apr 11 18:41:54 2019
|
||||
# Process ID: 10352
|
||||
# Start of session at: Thu Apr 11 19:41:06 2019
|
||||
# Process ID: 12740
|
||||
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1
|
||||
# Command line: vivado.exe -log CPU9bits.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source CPU9bits.tcl -notrace
|
||||
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits.vdi
|
||||
|
||||
Binary file not shown.
Reference in New Issue
Block a user