Merge branch 'master' of https://git.williammiceli.systems/williammiceli-wmu/ece3570-lab2
# Conflicts: # lab2CA.cache/wt/webtalk_pa.xml # lab2CA.runs/impl_1/gen_run.xml # lab2CA.sim/sim_1/behav/xsim/xelab.pb # lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/xsim_webtalk.tcl # lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/xsim.mem # lab2CA.sim/sim_1/behav/xsim/xvlog.pb # lab2CA.srcs/sources_1/new/instructionMemory.v # lab2CA.xpr
This commit is contained in:
@@ -11,19 +11,18 @@
|
||||
</db_ref>
|
||||
</db_ref_list>
|
||||
<zoom_setting>
|
||||
<ZoomStartTime time="0fs"></ZoomStartTime>
|
||||
<ZoomEndTime time="18800001fs"></ZoomEndTime>
|
||||
<Cursor1Time time="34200000fs"></Cursor1Time>
|
||||
<ZoomStartTime time="65000000fs"></ZoomStartTime>
|
||||
<ZoomEndTime time="263400001fs"></ZoomEndTime>
|
||||
<Cursor1Time time="107600000fs"></Cursor1Time>
|
||||
</zoom_setting>
|
||||
<column_width_setting>
|
||||
<NameColumnWidth column_width="188"></NameColumnWidth>
|
||||
<ValueColumnWidth column_width="76"></ValueColumnWidth>
|
||||
<NameColumnWidth column_width="174"></NameColumnWidth>
|
||||
<ValueColumnWidth column_width="68"></ValueColumnWidth>
|
||||
</column_width_setting>
|
||||
<WVObjectSize size="5" />
|
||||
<WVObjectSize size="13" />
|
||||
<wvobject fp_name="group436" type="group">
|
||||
<obj_property name="label">Program Counter</obj_property>
|
||||
<obj_property name="DisplayName">label</obj_property>
|
||||
<obj_property name="isExpanded"></obj_property>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/FD/FetchU/PC/clk" type="logic">
|
||||
<obj_property name="ElementShortName">clk</obj_property>
|
||||
<obj_property name="ObjectShortName">clk</obj_property>
|
||||
@@ -51,14 +50,6 @@
|
||||
<obj_property name="label">Fetch Unit</obj_property>
|
||||
<obj_property name="DisplayName">label</obj_property>
|
||||
<obj_property name="isExpanded"></obj_property>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/FD/FetchU/clk" type="logic">
|
||||
<obj_property name="ElementShortName">clk</obj_property>
|
||||
<obj_property name="ObjectShortName">clk</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/FD/FetchU/reset" type="logic">
|
||||
<obj_property name="ElementShortName">reset</obj_property>
|
||||
<obj_property name="ObjectShortName">reset</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/FD/FetchU/op_idx" type="logic">
|
||||
<obj_property name="ElementShortName">op_idx</obj_property>
|
||||
<obj_property name="ObjectShortName">op_idx</obj_property>
|
||||
@@ -66,11 +57,12 @@
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/FD/FetchU/AddrIn" type="array">
|
||||
<obj_property name="ElementShortName">AddrIn[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">AddrIn[8:0]</obj_property>
|
||||
<obj_property name="isExpanded"></obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/FD/FetchU/AddrOut" type="array">
|
||||
<obj_property name="ElementShortName">AddrOut[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">AddrOut[8:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/FD/FetchU/progC_out" type="array">
|
||||
<obj_property name="ElementShortName">progC_out[8:0]</obj_property>
|
||||
@@ -88,7 +80,6 @@
|
||||
<wvobject fp_name="group182" type="group">
|
||||
<obj_property name="label">Control Unit</obj_property>
|
||||
<obj_property name="DisplayName">label</obj_property>
|
||||
<obj_property name="isExpanded"></obj_property>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/FD/CU/instIn" type="array">
|
||||
<obj_property name="ElementShortName">instIn[3:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">instIn[3:0]</obj_property>
|
||||
@@ -104,7 +95,6 @@
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/FD/CU/FU" type="array">
|
||||
<obj_property name="ElementShortName">FU[2:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">FU[2:0]</obj_property>
|
||||
<obj_property name="isExpanded"></obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/FD/CU/bank" type="array">
|
||||
<obj_property name="ElementShortName">bank[1:0]</obj_property>
|
||||
@@ -139,6 +129,125 @@
|
||||
<obj_property name="ObjectShortName">js</obj_property>
|
||||
</wvobject>
|
||||
</wvobject>
|
||||
<wvobject fp_name="group613" type="group">
|
||||
<obj_property name="label">Registers</obj_property>
|
||||
<obj_property name="DisplayName">label</obj_property>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/FD/RF/En" type="logic">
|
||||
<obj_property name="ElementShortName">En</obj_property>
|
||||
<obj_property name="ObjectShortName">En</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/FD/RF/write_index" type="array">
|
||||
<obj_property name="ElementShortName">write_index[1:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">write_index[1:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/FD/RF/op0_idx" type="array">
|
||||
<obj_property name="ElementShortName">op0_idx[1:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">op0_idx[1:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/FD/RF/op1_idx" type="array">
|
||||
<obj_property name="ElementShortName">op1_idx[1:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">op1_idx[1:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/FD/RF/write_data" type="array">
|
||||
<obj_property name="ElementShortName">write_data[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">write_data[8:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/FD/RF/op0" type="array">
|
||||
<obj_property name="ElementShortName">op0[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">op0[8:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/FD/RF/op1" type="array">
|
||||
<obj_property name="ElementShortName">op1[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">op1[8:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/FD/RF/decOut" type="array">
|
||||
<obj_property name="ElementShortName">decOut[3:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">decOut[3:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/FD/RF/r0_out" type="array">
|
||||
<obj_property name="ElementShortName">r0_out[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">r0_out[8:0]</obj_property>
|
||||
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/FD/RF/r1_out" type="array">
|
||||
<obj_property name="ElementShortName">r1_out[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">r1_out[8:0]</obj_property>
|
||||
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/FD/RF/r2_out" type="array">
|
||||
<obj_property name="ElementShortName">r2_out[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">r2_out[8:0]</obj_property>
|
||||
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/FD/RF/r3_out" type="array">
|
||||
<obj_property name="ElementShortName">r3_out[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">r3_out[8:0]</obj_property>
|
||||
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
</wvobject>
|
||||
<wvobject fp_name="group628" type="group">
|
||||
<obj_property name="label">Banks</obj_property>
|
||||
<obj_property name="DisplayName">label</obj_property>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/EM/Bank/En" type="logic">
|
||||
<obj_property name="ElementShortName">En</obj_property>
|
||||
<obj_property name="ObjectShortName">En</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/EM/Bank/write_index" type="array">
|
||||
<obj_property name="ElementShortName">write_index[1:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">write_index[1:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/EM/Bank/op0_idx" type="array">
|
||||
<obj_property name="ElementShortName">op0_idx[1:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">op0_idx[1:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/EM/Bank/op1_idx" type="array">
|
||||
<obj_property name="ElementShortName">op1_idx[1:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">op1_idx[1:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/EM/Bank/write_data" type="array">
|
||||
<obj_property name="ElementShortName">write_data[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">write_data[8:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/EM/Bank/op0" type="array">
|
||||
<obj_property name="ElementShortName">op0[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">op0[8:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/EM/Bank/op1" type="array">
|
||||
<obj_property name="ElementShortName">op1[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">op1[8:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/EM/Bank/decOut" type="array">
|
||||
<obj_property name="ElementShortName">decOut[3:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">decOut[3:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/EM/Bank/r0_out" type="array">
|
||||
<obj_property name="ElementShortName">r0_out[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">r0_out[8:0]</obj_property>
|
||||
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/EM/Bank/r1_out" type="array">
|
||||
<obj_property name="ElementShortName">r1_out[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">r1_out[8:0]</obj_property>
|
||||
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/EM/Bank/r2_out" type="array">
|
||||
<obj_property name="ElementShortName">r2_out[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">r2_out[8:0]</obj_property>
|
||||
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/EM/Bank/r3_out" type="array">
|
||||
<obj_property name="ElementShortName">r3_out[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">r3_out[8:0]</obj_property>
|
||||
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
</wvobject>
|
||||
<wvobject type="divider" fp_name="divider431">
|
||||
<obj_property name="label">Divider</obj_property>
|
||||
<obj_property name="DisplayName">label</obj_property>
|
||||
@@ -146,7 +255,6 @@
|
||||
<wvobject fp_name="group162" type="group">
|
||||
<obj_property name="label">Instruction Memory</obj_property>
|
||||
<obj_property name="DisplayName">label</obj_property>
|
||||
<obj_property name="isExpanded"></obj_property>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/FD/iM/address" type="array">
|
||||
<obj_property name="ElementShortName">address[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">address[8:0]</obj_property>
|
||||
@@ -208,12 +316,135 @@
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/pipe1/Din" type="array">
|
||||
<obj_property name="ElementShortName">Din[50:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">Din[50:0]</obj_property>
|
||||
<obj_property name="isExpanded"></obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/pipe1/Dout" type="array">
|
||||
<obj_property name="ElementShortName">Dout[50:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">Dout[50:0]</obj_property>
|
||||
<obj_property name="isExpanded"></obj_property>
|
||||
</wvobject>
|
||||
</wvobject>
|
||||
<wvobject fp_name="group598" type="group">
|
||||
<obj_property name="label">Data Memory</obj_property>
|
||||
<obj_property name="DisplayName">label</obj_property>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/EM/dM/clk" type="logic">
|
||||
<obj_property name="ElementShortName">clk</obj_property>
|
||||
<obj_property name="ObjectShortName">clk</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/EM/dM/writeEnable" type="logic">
|
||||
<obj_property name="ElementShortName">writeEnable</obj_property>
|
||||
<obj_property name="ObjectShortName">writeEnable</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/EM/dM/address" type="array">
|
||||
<obj_property name="ElementShortName">address[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">address[8:0]</obj_property>
|
||||
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/EM/dM/writeData" type="array">
|
||||
<obj_property name="ElementShortName">writeData[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">writeData[8:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/EM/dM/readData" type="array">
|
||||
<obj_property name="ElementShortName">readData[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">readData[8:0]</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/EM/dM/memory" type="array">
|
||||
<obj_property name="ElementShortName">memory[100:0][8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">memory[100:0][8:0]</obj_property>
|
||||
</wvobject>
|
||||
</wvobject>
|
||||
<wvobject type="divider" fp_name="divider136">
|
||||
<obj_property name="label">Divider</obj_property>
|
||||
<obj_property name="DisplayName">label</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="group120" type="group">
|
||||
<obj_property name="label">Mux 3</obj_property>
|
||||
<obj_property name="DisplayName">label</obj_property>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/W/mux3/switch" type="logic">
|
||||
<obj_property name="ElementShortName">switch</obj_property>
|
||||
<obj_property name="ObjectShortName">switch</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/W/mux3/A" type="array">
|
||||
<obj_property name="ElementShortName">A[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">A[8:0]</obj_property>
|
||||
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/W/mux3/B" type="array">
|
||||
<obj_property name="ElementShortName">B[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">B[8:0]</obj_property>
|
||||
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/W/mux3/out" type="array">
|
||||
<obj_property name="ElementShortName">out[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">out[8:0]</obj_property>
|
||||
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
</wvobject>
|
||||
<wvobject fp_name="group125" type="group">
|
||||
<obj_property name="label">Mux 4</obj_property>
|
||||
<obj_property name="DisplayName">label</obj_property>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/W/mux4/switch" type="logic">
|
||||
<obj_property name="ElementShortName">switch</obj_property>
|
||||
<obj_property name="ObjectShortName">switch</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/W/mux4/A" type="array">
|
||||
<obj_property name="ElementShortName">A[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">A[8:0]</obj_property>
|
||||
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/W/mux4/B" type="array">
|
||||
<obj_property name="ElementShortName">B[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">B[8:0]</obj_property>
|
||||
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/W/mux4/out" type="array">
|
||||
<obj_property name="ElementShortName">out[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">out[8:0]</obj_property>
|
||||
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
</wvobject>
|
||||
<wvobject fp_name="group130" type="group">
|
||||
<obj_property name="label">Mux 5</obj_property>
|
||||
<obj_property name="DisplayName">label</obj_property>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/W/mux5/switch" type="logic">
|
||||
<obj_property name="ElementShortName">switch</obj_property>
|
||||
<obj_property name="ObjectShortName">switch</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/W/mux5/A" type="array">
|
||||
<obj_property name="ElementShortName">A[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">A[8:0]</obj_property>
|
||||
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/W/mux5/B" type="array">
|
||||
<obj_property name="ElementShortName">B[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">B[8:0]</obj_property>
|
||||
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/W/mux5/out" type="array">
|
||||
<obj_property name="ElementShortName">out[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">out[8:0]</obj_property>
|
||||
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
</wvobject>
|
||||
<wvobject fp_name="group135" type="group">
|
||||
<obj_property name="label">Mux 6</obj_property>
|
||||
<obj_property name="DisplayName">label</obj_property>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/W/mux6/switch" type="logic">
|
||||
<obj_property name="ElementShortName">switch</obj_property>
|
||||
<obj_property name="ObjectShortName">switch</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/W/mux6/A" type="array">
|
||||
<obj_property name="ElementShortName">A[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">A[8:0]</obj_property>
|
||||
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/W/mux6/B" type="array">
|
||||
<obj_property name="ElementShortName">B[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">B[8:0]</obj_property>
|
||||
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
<wvobject fp_name="/CPU9bits_tb/CPU9bits0/W/mux6/out" type="array">
|
||||
<obj_property name="ElementShortName">out[8:0]</obj_property>
|
||||
<obj_property name="ObjectShortName">out[8:0]</obj_property>
|
||||
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
|
||||
</wvobject>
|
||||
</wvobject>
|
||||
</wave_config>
|
||||
|
||||
@@ -3,10 +3,10 @@
|
||||
<!--The data in this file is primarily intended for consumption by Xilinx tools.
|
||||
The structure and the elements are likely to change over the next few releases.
|
||||
This means code written to parse this file will need to be revisited each subsequent release.-->
|
||||
<application name="pa" timeStamp="Thu Apr 11 21:53:40 2019">
|
||||
<application name="pa" timeStamp="Thu Apr 11 21:13:26 2019">
|
||||
<section name="Project Information" visible="false">
|
||||
<property name="ProjectID" value="3e90d71c8f614f9191c5fa413d1d835d" type="ProjectID"/>
|
||||
<property name="ProjectIteration" value="3" type="ProjectIteration"/>
|
||||
<property name="ProjectIteration" value="2" type="ProjectIteration"/>
|
||||
</section>
|
||||
<section name="PlanAhead Usage" visible="true">
|
||||
<item name="Project Data">
|
||||
@@ -18,12 +18,11 @@ This means code written to parse this file will need to be revisited each subseq
|
||||
</item>
|
||||
<item name="Java Command Handlers">
|
||||
<property name="CloseProject" value="3" type="JavaHandler"/>
|
||||
<property name="OpenProject" value="2" type="JavaHandler"/>
|
||||
<property name="RunImplementation" value="2" type="JavaHandler"/>
|
||||
<property name="OpenProject" value="1" type="JavaHandler"/>
|
||||
<property name="RunImplementation" value="1" type="JavaHandler"/>
|
||||
<property name="RunSchematic" value="1" type="JavaHandler"/>
|
||||
<property name="SimulationRelaunch" value="8" type="JavaHandler"/>
|
||||
<property name="SimulationRun" value="3" type="JavaHandler"/>
|
||||
<property name="ToolsSettings" value="1" type="JavaHandler"/>
|
||||
<property name="SimulationRelaunch" value="10" type="JavaHandler"/>
|
||||
<property name="SimulationRun" value="2" type="JavaHandler"/>
|
||||
<property name="ViewTaskImplementation" value="1" type="JavaHandler"/>
|
||||
<property name="ViewTaskRTLAnalysis" value="1" type="JavaHandler"/>
|
||||
<property name="ZoomIn" value="2" type="JavaHandler"/>
|
||||
@@ -31,38 +30,37 @@ This means code written to parse this file will need to be revisited each subseq
|
||||
</item>
|
||||
<item name="Gui Handlers">
|
||||
<property name="BaseDialog_OK" value="5" type="GuiHandlerData"/>
|
||||
<property name="BaseDialog_YES" value="2" type="GuiHandlerData"/>
|
||||
<property name="BaseDialog_YES" value="1" type="GuiHandlerData"/>
|
||||
<property name="ClosePlanner_YES" value="1" type="GuiHandlerData"/>
|
||||
<property name="FileSetPanel_FILE_SET_PANEL_TREE" value="18" type="GuiHandlerData"/>
|
||||
<property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="10" type="GuiHandlerData"/>
|
||||
<property name="GettingStartedView_OPEN_PROJECT" value="2" type="GuiHandlerData"/>
|
||||
<property name="GraphicalView_ZOOM_FIT" value="4" type="GuiHandlerData"/>
|
||||
<property name="GraphicalView_ZOOM_IN" value="1" type="GuiHandlerData"/>
|
||||
<property name="MainMenuMgr_FILE" value="8" type="GuiHandlerData"/>
|
||||
<property name="MainMenuMgr_PROJECT" value="6" type="GuiHandlerData"/>
|
||||
<property name="MainMenuMgr_TOOLS" value="2" type="GuiHandlerData"/>
|
||||
<property name="CodeView_TOGGLE_COLUMN_SELECTION_MODE" value="2" type="GuiHandlerData"/>
|
||||
<property name="FileSetPanel_FILE_SET_PANEL_TREE" value="36" type="GuiHandlerData"/>
|
||||
<property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="8" type="GuiHandlerData"/>
|
||||
<property name="GettingStartedView_OPEN_PROJECT" value="1" type="GuiHandlerData"/>
|
||||
<property name="GraphicalView_ZOOM_FIT" value="5" type="GuiHandlerData"/>
|
||||
<property name="GraphicalView_ZOOM_IN" value="5" type="GuiHandlerData"/>
|
||||
<property name="HCodeEditor_SEARCH_TEXT_COMBO_BOX" value="5" type="GuiHandlerData"/>
|
||||
<property name="MainMenuMgr_FILE" value="6" type="GuiHandlerData"/>
|
||||
<property name="MainMenuMgr_PROJECT" value="3" type="GuiHandlerData"/>
|
||||
<property name="PACommandNames_CLOSE_PROJECT" value="3" type="GuiHandlerData"/>
|
||||
<property name="PACommandNames_SIMULATION_RELAUNCH" value="8" type="GuiHandlerData"/>
|
||||
<property name="PACommandNames_SIMULATION_RUN_BEHAVIORAL" value="3" type="GuiHandlerData"/>
|
||||
<property name="PACommandNames_SIMULATION_RELAUNCH" value="11" type="GuiHandlerData"/>
|
||||
<property name="PACommandNames_SIMULATION_RUN_BEHAVIORAL" value="2" type="GuiHandlerData"/>
|
||||
<property name="PACommandNames_ZOOM_IN" value="2" type="GuiHandlerData"/>
|
||||
<property name="PACommandNames_ZOOM_OUT" value="1" type="GuiHandlerData"/>
|
||||
<property name="PAViews_DEVICE" value="2" type="GuiHandlerData"/>
|
||||
<property name="PAViews_PROJECT_SUMMARY" value="4" type="GuiHandlerData"/>
|
||||
<property name="PAViews_PROJECT_SUMMARY" value="3" type="GuiHandlerData"/>
|
||||
<property name="PAViews_SCHEMATIC" value="1" type="GuiHandlerData"/>
|
||||
<property name="ProgressDialog_BACKGROUND" value="1" type="GuiHandlerData"/>
|
||||
<property name="RDICommands_CUSTOM_COMMANDS" value="1" type="GuiHandlerData"/>
|
||||
<property name="RDICommands_SAVE_FILE" value="9" type="GuiHandlerData"/>
|
||||
<property name="RDICommands_SETTINGS" value="1" type="GuiHandlerData"/>
|
||||
<property name="RDIViews_WAVEFORM_VIEWER" value="26" type="GuiHandlerData"/>
|
||||
<property name="SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE" value="6" type="GuiHandlerData"/>
|
||||
<property name="SimulationScopesPanel_SIMULATE_SCOPE_TABLE" value="23" type="GuiHandlerData"/>
|
||||
<property name="RDICommands_SAVE_FILE" value="7" type="GuiHandlerData"/>
|
||||
<property name="RDIViews_WAVEFORM_VIEWER" value="83" type="GuiHandlerData"/>
|
||||
<property name="SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE" value="5" type="GuiHandlerData"/>
|
||||
<property name="SimulationScopesPanel_SIMULATE_SCOPE_TABLE" value="30" type="GuiHandlerData"/>
|
||||
<property name="SyntheticaGettingStartedView_RECENT_PROJECTS" value="2" type="GuiHandlerData"/>
|
||||
<property name="WaveformNameTree_WAVEFORM_NAME_TREE" value="96" type="GuiHandlerData"/>
|
||||
<property name="WaveformNameTree_WAVEFORM_NAME_TREE" value="123" type="GuiHandlerData"/>
|
||||
</item>
|
||||
<item name="Other">
|
||||
<property name="GuiMode" value="21" type="GuiMode"/>
|
||||
<property name="GuiMode" value="49" type="GuiMode"/>
|
||||
<property name="BatchMode" value="0" type="BatchMode"/>
|
||||
<property name="TclMode" value="18" type="TclMode"/>
|
||||
<property name="TclMode" value="41" type="TclMode"/>
|
||||
</item>
|
||||
</section>
|
||||
</application>
|
||||
|
||||
@@ -1,5 +1,5 @@
|
||||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<GenRun Id="impl_1" LaunchPart="xc7k160tifbg484-2L" LaunchTime="1555026029">
|
||||
<GenRun Id="impl_1" LaunchPart="xc7k160tifbg484-2L" LaunchTime="1555022469">
|
||||
<File Type="ROUTE-PWR" Name="CPU9bits_power_routed.rpt"/>
|
||||
<File Type="PA-TCL" Name="CPU9bits.tcl"/>
|
||||
<File Type="BITSTR-BMM" Name="CPU9bits_bd.bmm"/>
|
||||
|
||||
Binary file not shown.
@@ -1,10 +1,10 @@
|
||||
webtalk_init -webtalk_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/
|
||||
webtalk_init -webtalk_dir C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/
|
||||
webtalk_register_client -client project
|
||||
webtalk_add_data -client project -key date_generated -value "Thu Apr 11 21:53:39 2019" -context "software_version_and_target_device"
|
||||
webtalk_add_data -client project -key date_generated -value "Thu Apr 11 21:13:26 2019" -context "software_version_and_target_device"
|
||||
webtalk_add_data -client project -key product_version -value "XSIM v2018.3 (64-bit)" -context "software_version_and_target_device"
|
||||
webtalk_add_data -client project -key build_version -value "2405991" -context "software_version_and_target_device"
|
||||
webtalk_add_data -client project -key os_platform -value "WIN64" -context "software_version_and_target_device"
|
||||
webtalk_add_data -client project -key registration_id -value "174150793_174150794_210688225_140" -context "software_version_and_target_device"
|
||||
webtalk_add_data -client project -key registration_id -value "" -context "software_version_and_target_device"
|
||||
webtalk_add_data -client project -key tool_flow -value "xsim_vivado" -context "software_version_and_target_device"
|
||||
webtalk_add_data -client project -key beta -value "FALSE" -context "software_version_and_target_device"
|
||||
webtalk_add_data -client project -key route_design -value "FALSE" -context "software_version_and_target_device"
|
||||
@@ -12,21 +12,21 @@ webtalk_add_data -client project -key target_family -value "not_applicable" -con
|
||||
webtalk_add_data -client project -key target_device -value "not_applicable" -context "software_version_and_target_device"
|
||||
webtalk_add_data -client project -key target_package -value "not_applicable" -context "software_version_and_target_device"
|
||||
webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device"
|
||||
webtalk_add_data -client project -key random_id -value "4e917e26-7591-4435-9135-15bd446b0238" -context "software_version_and_target_device"
|
||||
webtalk_add_data -client project -key random_id -value "17336daf-0d92-4f07-b4a4-ff1c52043edb" -context "software_version_and_target_device"
|
||||
webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device"
|
||||
webtalk_add_data -client project -key project_iteration -value "176" -context "software_version_and_target_device"
|
||||
webtalk_add_data -client project -key project_iteration -value "153" -context "software_version_and_target_device"
|
||||
webtalk_add_data -client project -key os_name -value "Microsoft Windows 8 or later , 64-bit" -context "user_environment"
|
||||
webtalk_add_data -client project -key os_release -value "major release (build 9200)" -context "user_environment"
|
||||
webtalk_add_data -client project -key cpu_name -value "Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" -context "user_environment"
|
||||
webtalk_add_data -client project -key cpu_speed -value "3492 MHz" -context "user_environment"
|
||||
webtalk_add_data -client project -key cpu_name -value "Intel(R) Core(TM) i5-3230M CPU @ 2.60GHz" -context "user_environment"
|
||||
webtalk_add_data -client project -key cpu_speed -value "2594 MHz" -context "user_environment"
|
||||
webtalk_add_data -client project -key total_processors -value "1" -context "user_environment"
|
||||
webtalk_add_data -client project -key system_ram -value "34.000 GB" -context "user_environment"
|
||||
webtalk_add_data -client project -key system_ram -value "8.000 GB" -context "user_environment"
|
||||
webtalk_register_client -client xsim
|
||||
webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options"
|
||||
webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage"
|
||||
webtalk_add_data -client xsim -key runtime -value "115 ns" -context "xsim\\usage"
|
||||
webtalk_add_data -client xsim -key runtime -value "315 ns" -context "xsim\\usage"
|
||||
webtalk_add_data -client xsim -key iteration -value "0" -context "xsim\\usage"
|
||||
webtalk_add_data -client xsim -key Simulation_Time -value "0.12_sec" -context "xsim\\usage"
|
||||
webtalk_add_data -client xsim -key Simulation_Memory -value "6588_KB" -context "xsim\\usage"
|
||||
webtalk_transmit -clientid 428585050 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "<H3>XSIM Usage Report</H3><BR>"
|
||||
webtalk_add_data -client xsim -key Simulation_Time -value "0.06_sec" -context "xsim\\usage"
|
||||
webtalk_add_data -client xsim -key Simulation_Memory -value "5980_KB" -context "xsim\\usage"
|
||||
webtalk_transmit -clientid 4273905684 -regid "" -xml C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/JoseIgnacio/CA Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "<H3>XSIM Usage Report</H3><BR>"
|
||||
webtalk_terminate
|
||||
|
||||
Binary file not shown.
Binary file not shown.
@@ -7,7 +7,7 @@ module ControlUnit(
|
||||
output reg [2:0] FU,
|
||||
output reg [1:0] bank,
|
||||
output reg addi, mem, dataMemEn, RegEn, halt, link, js, compare0, compare1
|
||||
);
|
||||
);
|
||||
|
||||
always @(instIn, functBit)
|
||||
begin
|
||||
|
||||
@@ -5,18 +5,20 @@ module instructionMemory(
|
||||
output reg [8:0] readData
|
||||
);
|
||||
|
||||
reg [8:0] memory [6:0]; // Maximum of 512 memory locations
|
||||
reg [8:0] memory [8:0]; // Maximum of 512 memory locations
|
||||
// Vivado will give warnings of unconnected ports on the "address" bus if they are unused
|
||||
|
||||
initial begin
|
||||
//Equation Solver
|
||||
memory[0] <= 9'b000000000;
|
||||
memory[1] <= 9'b000100000; //load
|
||||
memory[2] <= 9'b000101000; //load
|
||||
memory[3] <= 9'b010100010; //add
|
||||
memory[4] <= 9'b111100000; //shift left
|
||||
memory[5] <= 9'b000000001; //NOP
|
||||
memory[6] <= 9'b111100000; //shift left
|
||||
memory[0] <= 9'b000000000; //Stall
|
||||
memory[1] <= 9'b000000000; //Stall
|
||||
memory[2] <= 9'b011000000; //addi
|
||||
memory[3] <= 9'b011001001; //addi
|
||||
memory[4] <= 9'b000100000; //load
|
||||
memory[5] <= 9'b000101010; //load
|
||||
memory[6] <= 9'b010100010; //add
|
||||
memory[7] <= 9'b111100000; //shift left
|
||||
memory[8] <= 9'b111100000; //shift left
|
||||
|
||||
// //Testing all instructions
|
||||
// memory[6] <= 9'b010100011; //sub
|
||||
|
||||
@@ -3,7 +3,7 @@
|
||||
<!-- -->
|
||||
<!-- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -->
|
||||
|
||||
<Project Version="7" Minor="39" Path="C:/Users/ecelab/ECE3570-Lab/lab2CA.xpr">
|
||||
<Project Version="7" Minor="39" Path="C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.xpr">
|
||||
<DefaultLaunch Dir="$PRUNDIR"/>
|
||||
<Configuration>
|
||||
<Option Name="Id" Val="0a5803efda44405bb28bbf43ba22e808"/>
|
||||
@@ -31,7 +31,7 @@
|
||||
<Option Name="EnableBDX" Val="FALSE"/>
|
||||
<Option Name="DSAVendor" Val="xilinx"/>
|
||||
<Option Name="DSANumComputeUnits" Val="60"/>
|
||||
<Option Name="WTXSimLaunchSim" Val="411"/>
|
||||
<Option Name="WTXSimLaunchSim" Val="386"/>
|
||||
<Option Name="WTModelSimLaunchSim" Val="0"/>
|
||||
<Option Name="WTQuestaLaunchSim" Val="0"/>
|
||||
<Option Name="WTIesLaunchSim" Val="0"/>
|
||||
|
||||
Reference in New Issue
Block a user