Merge resolve?

This commit is contained in:
jose.rodriguezlabra
2019-02-15 11:53:29 -05:00
parent 1691adf1b5
commit 3378e5bfd8
2 changed files with 43 additions and 4 deletions

View File

@@ -59,8 +59,8 @@ endmodule
module register(input wire clk, reset,
input wire [1:0] En,
input wire [7:0] Din,
output reg [7:0] Dout);
input wire [8:0] Din,
output reg [8:0] Dout);
endmodule