Collaborative - Fixes and Testbenches for Basic Modules so far
This commit is contained in:
@@ -3,7 +3,7 @@
|
|||||||
<!--The data in this file is primarily intended for consumption by Xilinx tools.
|
<!--The data in this file is primarily intended for consumption by Xilinx tools.
|
||||||
The structure and the elements are likely to change over the next few releases.
|
The structure and the elements are likely to change over the next few releases.
|
||||||
This means code written to parse this file will need to be revisited each subsequent release.-->
|
This means code written to parse this file will need to be revisited each subsequent release.-->
|
||||||
<application name="pa" timeStamp="Sat Feb 16 13:03:32 2019">
|
<application name="pa" timeStamp="Sat Feb 16 13:26:18 2019">
|
||||||
<section name="Project Information" visible="false">
|
<section name="Project Information" visible="false">
|
||||||
<property name="ProjectID" value="88e779ed22f94d2db93b335d17c75f15" type="ProjectID"/>
|
<property name="ProjectID" value="88e779ed22f94d2db93b335d17c75f15" type="ProjectID"/>
|
||||||
<property name="ProjectIteration" value="1" type="ProjectIteration"/>
|
<property name="ProjectIteration" value="1" type="ProjectIteration"/>
|
||||||
@@ -17,26 +17,49 @@ This means code written to parse this file will need to be revisited each subseq
|
|||||||
<property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/>
|
<property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/>
|
||||||
</item>
|
</item>
|
||||||
<item name="Java Command Handlers">
|
<item name="Java Command Handlers">
|
||||||
<property name="RunSynthesis" value="12" type="JavaHandler"/>
|
<property name="OpenDesign" value="1" type="JavaHandler"/>
|
||||||
<property name="ShowView" value="6" type="JavaHandler"/>
|
<property name="ReloadDesign" value="1" type="JavaHandler"/>
|
||||||
|
<property name="RunSchematic" value="5" type="JavaHandler"/>
|
||||||
|
<property name="RunSynthesis" value="16" type="JavaHandler"/>
|
||||||
|
<property name="SetTopNode" value="2" type="JavaHandler"/>
|
||||||
|
<property name="ShowView" value="8" type="JavaHandler"/>
|
||||||
|
<property name="ToggleSelectAreaMode" value="2" type="JavaHandler"/>
|
||||||
|
<property name="ViewTaskRTLAnalysis" value="1" type="JavaHandler"/>
|
||||||
|
<property name="ZoomFit" value="6" type="JavaHandler"/>
|
||||||
</item>
|
</item>
|
||||||
<item name="Gui Handlers">
|
<item name="Gui Handlers">
|
||||||
<property name="BaseDialog_CANCEL" value="4" type="GuiHandlerData"/>
|
<property name="BaseDialog_CANCEL" value="6" type="GuiHandlerData"/>
|
||||||
<property name="BaseDialog_OK" value="17" type="GuiHandlerData"/>
|
<property name="BaseDialog_OK" value="23" type="GuiHandlerData"/>
|
||||||
<property name="FileSetPanel_FILE_SET_PANEL_TREE" value="12" type="GuiHandlerData"/>
|
<property name="CmdMsgDialog_OK" value="1" type="GuiHandlerData"/>
|
||||||
<property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="13" type="GuiHandlerData"/>
|
<property name="FileSetPanel_FILE_SET_PANEL_TREE" value="30" type="GuiHandlerData"/>
|
||||||
|
<property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="34" type="GuiHandlerData"/>
|
||||||
<property name="HCodeEditor_CLOSE" value="2" type="GuiHandlerData"/>
|
<property name="HCodeEditor_CLOSE" value="2" type="GuiHandlerData"/>
|
||||||
<property name="HCodeEditor_SEARCH_TEXT_COMBO_BOX" value="4" type="GuiHandlerData"/>
|
<property name="HCodeEditor_SEARCH_TEXT_COMBO_BOX" value="4" type="GuiHandlerData"/>
|
||||||
<property name="HInputHandler_TOGGLE_LINE_COMMENTS" value="2" type="GuiHandlerData"/>
|
<property name="HInputHandler_TOGGLE_LINE_COMMENTS" value="2" type="GuiHandlerData"/>
|
||||||
<property name="MsgTreePanel_MESSAGE_VIEW_TREE" value="10" type="GuiHandlerData"/>
|
<property name="MsgTreePanel_MESSAGE_VIEW_TREE" value="18" type="GuiHandlerData"/>
|
||||||
|
<property name="OpenFileAction_OK" value="1" type="GuiHandlerData"/>
|
||||||
|
<property name="PACommandNames_AUTO_UPDATE_HIER" value="5" type="GuiHandlerData"/>
|
||||||
|
<property name="PACommandNames_OPEN_RTL_DESIGN" value="1" type="GuiHandlerData"/>
|
||||||
|
<property name="PACommandNames_RELOAD_RTL_DESIGN" value="1" type="GuiHandlerData"/>
|
||||||
|
<property name="PACommandNames_SELECT_AREA" value="2" type="GuiHandlerData"/>
|
||||||
|
<property name="PACommandNames_SET_AS_TOP" value="3" type="GuiHandlerData"/>
|
||||||
|
<property name="PACommandNames_ZOOM_FIT" value="6" type="GuiHandlerData"/>
|
||||||
<property name="PAViews_CODE" value="1" type="GuiHandlerData"/>
|
<property name="PAViews_CODE" value="1" type="GuiHandlerData"/>
|
||||||
|
<property name="PAViews_SCHEMATIC" value="4" type="GuiHandlerData"/>
|
||||||
|
<property name="ProgressDialog_CANCEL" value="1" type="GuiHandlerData"/>
|
||||||
|
<property name="ProjectTab_RELOAD" value="5" type="GuiHandlerData"/>
|
||||||
|
<property name="RDICommands_SAVE_FILE" value="5" type="GuiHandlerData"/>
|
||||||
|
<property name="RunGadget_SHOW_ERROR" value="1" type="GuiHandlerData"/>
|
||||||
<property name="SaveProjectUtils_CANCEL" value="1" type="GuiHandlerData"/>
|
<property name="SaveProjectUtils_CANCEL" value="1" type="GuiHandlerData"/>
|
||||||
<property name="SaveProjectUtils_SAVE" value="2" type="GuiHandlerData"/>
|
<property name="SaveProjectUtils_SAVE" value="2" type="GuiHandlerData"/>
|
||||||
|
<property name="SrcMenu_IP_HIERARCHY" value="4" type="GuiHandlerData"/>
|
||||||
|
<property name="StaleMoreAction_OUT_OF_DATE_DETAILS" value="1" type="GuiHandlerData"/>
|
||||||
|
<property name="SyntheticaGettingStartedView_RECENT_PROJECTS" value="1" type="GuiHandlerData"/>
|
||||||
</item>
|
</item>
|
||||||
<item name="Other">
|
<item name="Other">
|
||||||
<property name="GuiMode" value="34" type="GuiMode"/>
|
<property name="GuiMode" value="5" type="GuiMode"/>
|
||||||
<property name="BatchMode" value="0" type="BatchMode"/>
|
<property name="BatchMode" value="0" type="BatchMode"/>
|
||||||
<property name="TclMode" value="29" type="TclMode"/>
|
<property name="TclMode" value="4" type="TclMode"/>
|
||||||
</item>
|
</item>
|
||||||
</section>
|
</section>
|
||||||
</application>
|
</application>
|
||||||
|
|||||||
8
lab2CA.runs/.jobs/vrs_config_14.xml
Normal file
8
lab2CA.runs/.jobs/vrs_config_14.xml
Normal file
@@ -0,0 +1,8 @@
|
|||||||
|
<?xml version="1.0"?>
|
||||||
|
<Runs Version="1" Minor="0">
|
||||||
|
<Run Id="synth_1" LaunchDir="C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
|
||||||
|
<Parameters>
|
||||||
|
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
|
||||||
|
</Parameters>
|
||||||
|
</Runs>
|
||||||
|
|
||||||
8
lab2CA.runs/.jobs/vrs_config_15.xml
Normal file
8
lab2CA.runs/.jobs/vrs_config_15.xml
Normal file
@@ -0,0 +1,8 @@
|
|||||||
|
<?xml version="1.0"?>
|
||||||
|
<Runs Version="1" Minor="0">
|
||||||
|
<Run Id="synth_1" LaunchDir="C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
|
||||||
|
<Parameters>
|
||||||
|
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
|
||||||
|
</Parameters>
|
||||||
|
</Runs>
|
||||||
|
|
||||||
8
lab2CA.runs/.jobs/vrs_config_16.xml
Normal file
8
lab2CA.runs/.jobs/vrs_config_16.xml
Normal file
@@ -0,0 +1,8 @@
|
|||||||
|
<?xml version="1.0"?>
|
||||||
|
<Runs Version="1" Minor="0">
|
||||||
|
<Run Id="synth_1" LaunchDir="C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
|
||||||
|
<Parameters>
|
||||||
|
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
|
||||||
|
</Parameters>
|
||||||
|
</Runs>
|
||||||
|
|
||||||
@@ -1,64 +0,0 @@
|
|||||||
#-----------------------------------------------------------
|
|
||||||
# Vivado v2018.3 (64-bit)
|
|
||||||
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
|
||||||
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
|
||||||
# Start of session at: Sat Feb 16 13:03:34 2019
|
|
||||||
# Process ID: 11092
|
|
||||||
# Current directory: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1
|
|
||||||
# Command line: vivado.exe -log ALU.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source ALU.tcl
|
|
||||||
# Log file: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1/ALU.vds
|
|
||||||
# Journal file: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1\vivado.jou
|
|
||||||
#-----------------------------------------------------------
|
|
||||||
source ALU.tcl -notrace
|
|
||||||
Command: synth_design -top ALU -part xc7k160tifbg484-2L
|
|
||||||
Starting synth_design
|
|
||||||
Attempting to get a license for feature 'Synthesis' and/or device 'xc7k160ti'
|
|
||||||
INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7k160ti'
|
|
||||||
INFO: Launching helper process for spawning children vivado processes
|
|
||||||
INFO: Helper process launched with PID 18316
|
|
||||||
---------------------------------------------------------------------------------
|
|
||||||
Starting Synthesize : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 363.020 ; gain = 100.695
|
|
||||||
---------------------------------------------------------------------------------
|
|
||||||
INFO: [Synth 8-6157] synthesizing module 'ALU' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:3]
|
|
||||||
INFO: [Synth 8-6157] synthesizing module 'add_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:15]
|
|
||||||
INFO: [Synth 8-6157] synthesizing module 'add_1bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3]
|
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'add_1bit' (1#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3]
|
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'add_9bit' (2#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:15]
|
|
||||||
WARNING: [Synth 8-350] instance 'add0' of module 'add_9bit' requires 5 connections, but only 4 given [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:14]
|
|
||||||
INFO: [Synth 8-6157] synthesizing module 'sub_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:480]
|
|
||||||
INFO: [Synth 8-6157] synthesizing module 'twos_compliment_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:499]
|
|
||||||
INFO: [Synth 8-6157] synthesizing module 'not_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:316]
|
|
||||||
INFO: [Synth 8-6157] synthesizing module 'not_1bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:308]
|
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'not_1bit' (3#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:308]
|
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'not_9bit' (4#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:316]
|
|
||||||
WARNING: [Synth 8-350] instance 'add0' of module 'add_9bit' requires 5 connections, but only 4 given [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:509]
|
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'twos_compliment_9bit' (5#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:499]
|
|
||||||
WARNING: [Synth 8-350] instance 'add0' of module 'add_9bit' requires 5 connections, but only 4 given [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:491]
|
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'sub_9bit' (6#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:480]
|
|
||||||
INFO: [Synth 8-6157] synthesizing module 'or_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:367]
|
|
||||||
INFO: [Synth 8-6157] synthesizing module 'or_1bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:358]
|
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'or_1bit' (7#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:358]
|
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'or_9bit' (8#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:367]
|
|
||||||
INFO: [Synth 8-6157] synthesizing module 'nor_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:256]
|
|
||||||
INFO: [Synth 8-6157] synthesizing module 'nor_1bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:247]
|
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'nor_1bit' (9#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:247]
|
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'nor_9bit' (10#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:256]
|
|
||||||
WARNING: [Synth 8-4446] all outputs are unconnected for this instance and logic may be removed [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:35]
|
|
||||||
INFO: [Synth 8-6157] synthesizing module 'and_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:105]
|
|
||||||
INFO: [Synth 8-6157] synthesizing module 'and_1bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:96]
|
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'and_1bit' (11#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:96]
|
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'and_9bit' (12#1) [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:105]
|
|
||||||
ERROR: [Synth 8-448] named port connection 'Cin' does not exist for instance 'and0' of module 'and_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:38]
|
|
||||||
ERROR: [Synth 8-448] named port connection 'Sum' does not exist for instance 'and0' of module 'and_9bit' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:39]
|
|
||||||
INFO: [Synth 8-6157] synthesizing module 'shift_logical_left' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:462]
|
|
||||||
ERROR: [Synth 8-6156] failed synthesizing module 'shift_logical_left' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v:462]
|
|
||||||
ERROR: [Synth 8-6156] failed synthesizing module 'ALU' [C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v:3]
|
|
||||||
---------------------------------------------------------------------------------
|
|
||||||
Finished Synthesize : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 419.512 ; gain = 157.188
|
|
||||||
---------------------------------------------------------------------------------
|
|
||||||
synthesize failed
|
|
||||||
INFO: [Common 17-83] Releasing license: Synthesis
|
|
||||||
28 Infos, 4 Warnings, 0 Critical Warnings and 5 Errors encountered.
|
|
||||||
synth_design failed
|
|
||||||
ERROR: [Common 17-69] Command failed: Vivado Synthesis failed
|
|
||||||
INFO: [Common 17-206] Exiting Vivado at Sat Feb 16 13:03:44 2019...
|
|
||||||
BIN
lab2CA.runs/synth_1/FetchUnit.dcp
Normal file
BIN
lab2CA.runs/synth_1/FetchUnit.dcp
Normal file
Binary file not shown.
@@ -17,20 +17,23 @@ proc create_report { reportName command } {
|
|||||||
send_msg_id runtcl-5 warning "$msg"
|
send_msg_id runtcl-5 warning "$msg"
|
||||||
}
|
}
|
||||||
}
|
}
|
||||||
|
set_param synth.incrementalSynthesisCache C:/Users/ecelab/AppData/Roaming/Xilinx/Vivado/.Xil/Vivado-2460-DESKTOP-8QFGS52/incrSyn
|
||||||
|
set_msg_config -id {Synth 8-256} -limit 10000
|
||||||
|
set_msg_config -id {Synth 8-638} -limit 10000
|
||||||
create_project -in_memory -part xc7k160tifbg484-2L
|
create_project -in_memory -part xc7k160tifbg484-2L
|
||||||
|
|
||||||
set_param project.singleFileAddWarning.threshold 0
|
set_param project.singleFileAddWarning.threshold 0
|
||||||
set_param project.compositeFile.enableAutoGeneration 0
|
set_param project.compositeFile.enableAutoGeneration 0
|
||||||
set_param synth.vivado.isSynthRun true
|
set_param synth.vivado.isSynthRun true
|
||||||
set_property webtalk.parent_dir {C:/Users/JoseIgnacio/CA Lab/lab2CA.cache/wt} [current_project]
|
set_property webtalk.parent_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.cache/wt [current_project]
|
||||||
set_property parent.project_path {C:/Users/JoseIgnacio/CA Lab/lab2CA.xpr} [current_project]
|
set_property parent.project_path C:/Users/ecelab/ECE3570-Lab/lab2CA.xpr [current_project]
|
||||||
set_property default_lib xil_defaultlib [current_project]
|
set_property default_lib xil_defaultlib [current_project]
|
||||||
set_property target_language Verilog [current_project]
|
set_property target_language Verilog [current_project]
|
||||||
set_property ip_output_repo {c:/Users/JoseIgnacio/CA Lab/lab2CA.cache/ip} [current_project]
|
set_property ip_output_repo c:/Users/ecelab/ECE3570-Lab/lab2CA.cache/ip [current_project]
|
||||||
set_property ip_cache_permissions {read write} [current_project]
|
set_property ip_cache_permissions {read write} [current_project]
|
||||||
read_verilog -library xil_defaultlib {
|
read_verilog -library xil_defaultlib {
|
||||||
{C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/BasicModules.v}
|
C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v
|
||||||
{C:/Users/JoseIgnacio/CA Lab/lab2CA.srcs/sources_1/new/ALU.v}
|
C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v
|
||||||
}
|
}
|
||||||
# Mark all dcp files as not used in implementation to prevent them from being
|
# Mark all dcp files as not used in implementation to prevent them from being
|
||||||
# stitched into the results of this synthesis run. Any black boxes in the
|
# stitched into the results of this synthesis run. Any black boxes in the
|
||||||
@@ -43,12 +46,12 @@ foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] {
|
|||||||
set_param ips.enableIPCacheLiteLoad 1
|
set_param ips.enableIPCacheLiteLoad 1
|
||||||
close [open __synthesis_is_running__ w]
|
close [open __synthesis_is_running__ w]
|
||||||
|
|
||||||
synth_design -top ALU -part xc7k160tifbg484-2L
|
synth_design -top FetchUnit -part xc7k160tifbg484-2L
|
||||||
|
|
||||||
|
|
||||||
# disable binary constraint mode for synth run checkpoints
|
# disable binary constraint mode for synth run checkpoints
|
||||||
set_param constraints.enableBinaryConstraints false
|
set_param constraints.enableBinaryConstraints false
|
||||||
write_checkpoint -force -noxdef ALU.dcp
|
write_checkpoint -force -noxdef FetchUnit.dcp
|
||||||
create_report "synth_1_synth_report_utilization_0" "report_utilization -file ALU_utilization_synth.rpt -pb ALU_utilization_synth.pb"
|
create_report "synth_1_synth_report_utilization_0" "report_utilization -file FetchUnit_utilization_synth.rpt -pb FetchUnit_utilization_synth.pb"
|
||||||
file delete __synthesis_is_running__
|
file delete __synthesis_is_running__
|
||||||
close [open __synthesis_is_complete__ w]
|
close [open __synthesis_is_complete__ w]
|
||||||
260
lab2CA.runs/synth_1/FetchUnit.vds
Normal file
260
lab2CA.runs/synth_1/FetchUnit.vds
Normal file
@@ -0,0 +1,260 @@
|
|||||||
|
#-----------------------------------------------------------
|
||||||
|
# Vivado v2018.3 (64-bit)
|
||||||
|
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
||||||
|
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
||||||
|
# Start of session at: Sat Feb 16 13:26:21 2019
|
||||||
|
# Process ID: 8540
|
||||||
|
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1
|
||||||
|
# Command line: vivado.exe -log FetchUnit.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source FetchUnit.tcl
|
||||||
|
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1/FetchUnit.vds
|
||||||
|
# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1\vivado.jou
|
||||||
|
#-----------------------------------------------------------
|
||||||
|
source FetchUnit.tcl -notrace
|
||||||
|
Command: synth_design -top FetchUnit -part xc7k160tifbg484-2L
|
||||||
|
Starting synth_design
|
||||||
|
Attempting to get a license for feature 'Synthesis' and/or device 'xc7k160ti'
|
||||||
|
INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7k160ti'
|
||||||
|
INFO: Launching helper process for spawning children vivado processes
|
||||||
|
INFO: Helper process launched with PID 11484
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Starting Synthesize : Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 360.121 ; gain = 102.227
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
INFO: [Synth 8-6157] synthesizing module 'FetchUnit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3]
|
||||||
|
INFO: [Synth 8-6157] synthesizing module 'register' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:419]
|
||||||
|
INFO: [Synth 8-6155] done synthesizing module 'register' (1#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:419]
|
||||||
|
INFO: [Synth 8-6157] synthesizing module 'add_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:15]
|
||||||
|
INFO: [Synth 8-6157] synthesizing module 'add_1bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3]
|
||||||
|
INFO: [Synth 8-6155] done synthesizing module 'add_1bit' (2#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3]
|
||||||
|
INFO: [Synth 8-6155] done synthesizing module 'add_9bit' (3#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:15]
|
||||||
|
WARNING: [Synth 8-350] instance 'PCAdder' of module 'add_9bit' requires 5 connections, but only 4 given [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:18]
|
||||||
|
INFO: [Synth 8-6157] synthesizing module 'mux_2_1' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:167]
|
||||||
|
INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:172]
|
||||||
|
INFO: [Synth 8-6155] done synthesizing module 'mux_2_1' (4#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:167]
|
||||||
|
INFO: [Synth 8-6155] done synthesizing module 'FetchUnit' (5#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3]
|
||||||
|
WARNING: [Synth 8-3331] design FetchUnit has unconnected port write_index[1]
|
||||||
|
WARNING: [Synth 8-3331] design FetchUnit has unconnected port write_index[0]
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Finished Synthesize : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 416.043 ; gain = 158.148
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Finished Constraint Validation : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 416.043 ; gain = 158.148
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Start Loading Part and Timing Information
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Loading part: xc7k160tifbg484-2L
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Finished Loading Part and Timing Information : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 416.043 ; gain = 158.148
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
INFO: [Device 21-403] Loading part xc7k160tifbg484-2L
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 416.043 ; gain = 158.148
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
|
||||||
|
Report RTL Partitions:
|
||||||
|
+-+--------------+------------+----------+
|
||||||
|
| |RTL Partition |Replication |Instances |
|
||||||
|
+-+--------------+------------+----------+
|
||||||
|
+-+--------------+------------+----------+
|
||||||
|
No constraint files found.
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Start RTL Component Statistics
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Detailed RTL Component Info :
|
||||||
|
+---XORs :
|
||||||
|
2 Input 1 Bit XORs := 18
|
||||||
|
+---Registers :
|
||||||
|
9 Bit Registers := 1
|
||||||
|
+---Muxes :
|
||||||
|
2 Input 9 Bit Muxes := 2
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Finished RTL Component Statistics
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Start RTL Hierarchical Component Statistics
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Hierarchical RTL Component report
|
||||||
|
Module register
|
||||||
|
Detailed RTL Component Info :
|
||||||
|
+---Registers :
|
||||||
|
9 Bit Registers := 1
|
||||||
|
+---Muxes :
|
||||||
|
2 Input 9 Bit Muxes := 1
|
||||||
|
Module add_1bit
|
||||||
|
Detailed RTL Component Info :
|
||||||
|
+---XORs :
|
||||||
|
2 Input 1 Bit XORs := 2
|
||||||
|
Module mux_2_1
|
||||||
|
Detailed RTL Component Info :
|
||||||
|
+---Muxes :
|
||||||
|
2 Input 9 Bit Muxes := 1
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Finished RTL Hierarchical Component Statistics
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Start Part Resource Summary
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Part Resources:
|
||||||
|
DSPs: 600 (col length:100)
|
||||||
|
BRAMs: 650 (col length: RAMB18 100 RAMB36 50)
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Finished Part Resource Summary
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
No constraint files found.
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Start Cross Boundary and Area Optimization
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Warning: Parallel synthesis criteria is not met
|
||||||
|
WARNING: [Synth 8-3331] design FetchUnit has unconnected port write_index[1]
|
||||||
|
WARNING: [Synth 8-3331] design FetchUnit has unconnected port write_index[0]
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 579.223 ; gain = 321.328
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
|
||||||
|
Report RTL Partitions:
|
||||||
|
+-+--------------+------------+----------+
|
||||||
|
| |RTL Partition |Replication |Instances |
|
||||||
|
+-+--------------+------------+----------+
|
||||||
|
+-+--------------+------------+----------+
|
||||||
|
No constraint files found.
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Start Timing Optimization
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Finished Timing Optimization : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 579.223 ; gain = 321.328
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
|
||||||
|
Report RTL Partitions:
|
||||||
|
+-+--------------+------------+----------+
|
||||||
|
| |RTL Partition |Replication |Instances |
|
||||||
|
+-+--------------+------------+----------+
|
||||||
|
+-+--------------+------------+----------+
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Start Technology Mapping
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Finished Technology Mapping : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 579.223 ; gain = 321.328
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
|
||||||
|
Report RTL Partitions:
|
||||||
|
+-+--------------+------------+----------+
|
||||||
|
| |RTL Partition |Replication |Instances |
|
||||||
|
+-+--------------+------------+----------+
|
||||||
|
+-+--------------+------------+----------+
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Start IO Insertion
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Start Flattening Before IO Insertion
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Finished Flattening Before IO Insertion
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Start Final Netlist Cleanup
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Finished Final Netlist Cleanup
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Finished IO Insertion : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 579.223 ; gain = 321.328
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
|
||||||
|
Report Check Netlist:
|
||||||
|
+------+------------------+-------+---------+-------+------------------+
|
||||||
|
| |Item |Errors |Warnings |Status |Description |
|
||||||
|
+------+------------------+-------+---------+-------+------------------+
|
||||||
|
|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets |
|
||||||
|
+------+------------------+-------+---------+-------+------------------+
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Start Renaming Generated Instances
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Finished Renaming Generated Instances : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 579.223 ; gain = 321.328
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
|
||||||
|
Report RTL Partitions:
|
||||||
|
+-+--------------+------------+----------+
|
||||||
|
| |RTL Partition |Replication |Instances |
|
||||||
|
+-+--------------+------------+----------+
|
||||||
|
+-+--------------+------------+----------+
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Start Rebuilding User Hierarchy
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 579.223 ; gain = 321.328
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Start Renaming Generated Ports
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Finished Renaming Generated Ports : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 579.223 ; gain = 321.328
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Start Handling Custom Attributes
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Finished Handling Custom Attributes : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 579.223 ; gain = 321.328
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Start Renaming Generated Nets
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Finished Renaming Generated Nets : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 579.223 ; gain = 321.328
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Start Writing Synthesis Report
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
|
||||||
|
Report BlackBoxes:
|
||||||
|
+-+--------------+----------+
|
||||||
|
| |BlackBox name |Instances |
|
||||||
|
+-+--------------+----------+
|
||||||
|
+-+--------------+----------+
|
||||||
|
|
||||||
|
Report Cell Usage:
|
||||||
|
+------+-----+------+
|
||||||
|
| |Cell |Count |
|
||||||
|
+------+-----+------+
|
||||||
|
|1 |BUFG | 1|
|
||||||
|
|2 |LUT1 | 1|
|
||||||
|
|3 |LUT2 | 1|
|
||||||
|
|4 |LUT3 | 2|
|
||||||
|
|5 |LUT4 | 5|
|
||||||
|
|6 |LUT5 | 5|
|
||||||
|
|7 |LUT6 | 6|
|
||||||
|
|8 |FDRE | 9|
|
||||||
|
|9 |IBUF | 12|
|
||||||
|
|10 |OBUF | 9|
|
||||||
|
+------+-----+------+
|
||||||
|
|
||||||
|
Report Instance Areas:
|
||||||
|
+------+---------+---------+------+
|
||||||
|
| |Instance |Module |Cells |
|
||||||
|
+------+---------+---------+------+
|
||||||
|
|1 |top | | 51|
|
||||||
|
|2 | PC |register | 29|
|
||||||
|
+------+---------+---------+------+
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Finished Writing Synthesis Report : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 579.223 ; gain = 321.328
|
||||||
|
---------------------------------------------------------------------------------
|
||||||
|
Synthesis finished with 0 errors, 0 critical warnings and 5 warnings.
|
||||||
|
Synthesis Optimization Runtime : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 579.223 ; gain = 321.328
|
||||||
|
Synthesis Optimization Complete : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 579.223 ; gain = 321.328
|
||||||
|
INFO: [Project 1-571] Translating synthesized netlist
|
||||||
|
INFO: [Project 1-570] Preparing netlist for logic optimization
|
||||||
|
INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
|
||||||
|
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 677.328 ; gain = 0.000
|
||||||
|
INFO: [Project 1-111] Unisim Transformation Summary:
|
||||||
|
No Unisim elements were transformed.
|
||||||
|
|
||||||
|
INFO: [Common 17-83] Releasing license: Synthesis
|
||||||
|
18 Infos, 5 Warnings, 0 Critical Warnings and 0 Errors encountered.
|
||||||
|
synth_design completed successfully
|
||||||
|
synth_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:22 . Memory (MB): peak = 677.328 ; gain = 419.434
|
||||||
|
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 677.328 ; gain = 0.000
|
||||||
|
WARNING: [Constraints 18-5210] No constraints selected for write.
|
||||||
|
Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened.
|
||||||
|
INFO: [Common 17-1381] The checkpoint 'C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1/FetchUnit.dcp' has been generated.
|
||||||
|
INFO: [runtcl-4] Executing : report_utilization -file FetchUnit_utilization_synth.rpt -pb FetchUnit_utilization_synth.pb
|
||||||
|
INFO: [Common 17-206] Exiting Vivado at Sat Feb 16 13:26:46 2019...
|
||||||
BIN
lab2CA.runs/synth_1/FetchUnit_utilization_synth.pb
Normal file
BIN
lab2CA.runs/synth_1/FetchUnit_utilization_synth.pb
Normal file
Binary file not shown.
182
lab2CA.runs/synth_1/FetchUnit_utilization_synth.rpt
Normal file
182
lab2CA.runs/synth_1/FetchUnit_utilization_synth.rpt
Normal file
@@ -0,0 +1,182 @@
|
|||||||
|
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
||||||
|
-------------------------------------------------------------------------------------------------------------
|
||||||
|
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
||||||
|
| Date : Sat Feb 16 13:26:46 2019
|
||||||
|
| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200)
|
||||||
|
| Command : report_utilization -file FetchUnit_utilization_synth.rpt -pb FetchUnit_utilization_synth.pb
|
||||||
|
| Design : FetchUnit
|
||||||
|
| Device : 7k160tifbg484-2L
|
||||||
|
| Design State : Synthesized
|
||||||
|
-------------------------------------------------------------------------------------------------------------
|
||||||
|
|
||||||
|
Utilization Design Information
|
||||||
|
|
||||||
|
Table of Contents
|
||||||
|
-----------------
|
||||||
|
1. Slice Logic
|
||||||
|
1.1 Summary of Registers by Type
|
||||||
|
2. Memory
|
||||||
|
3. DSP
|
||||||
|
4. IO and GT Specific
|
||||||
|
5. Clocking
|
||||||
|
6. Specific Feature
|
||||||
|
7. Primitives
|
||||||
|
8. Black Boxes
|
||||||
|
9. Instantiated Netlists
|
||||||
|
|
||||||
|
1. Slice Logic
|
||||||
|
--------------
|
||||||
|
|
||||||
|
+-------------------------+------+-------+-----------+-------+
|
||||||
|
| Site Type | Used | Fixed | Available | Util% |
|
||||||
|
+-------------------------+------+-------+-----------+-------+
|
||||||
|
| Slice LUTs* | 15 | 0 | 101400 | 0.01 |
|
||||||
|
| LUT as Logic | 15 | 0 | 101400 | 0.01 |
|
||||||
|
| LUT as Memory | 0 | 0 | 35000 | 0.00 |
|
||||||
|
| Slice Registers | 9 | 0 | 202800 | <0.01 |
|
||||||
|
| Register as Flip Flop | 9 | 0 | 202800 | <0.01 |
|
||||||
|
| Register as Latch | 0 | 0 | 202800 | 0.00 |
|
||||||
|
| F7 Muxes | 0 | 0 | 50700 | 0.00 |
|
||||||
|
| F8 Muxes | 0 | 0 | 25350 | 0.00 |
|
||||||
|
+-------------------------+------+-------+-----------+-------+
|
||||||
|
* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count.
|
||||||
|
|
||||||
|
|
||||||
|
1.1 Summary of Registers by Type
|
||||||
|
--------------------------------
|
||||||
|
|
||||||
|
+-------+--------------+-------------+--------------+
|
||||||
|
| Total | Clock Enable | Synchronous | Asynchronous |
|
||||||
|
+-------+--------------+-------------+--------------+
|
||||||
|
| 0 | _ | - | - |
|
||||||
|
| 0 | _ | - | Set |
|
||||||
|
| 0 | _ | - | Reset |
|
||||||
|
| 0 | _ | Set | - |
|
||||||
|
| 0 | _ | Reset | - |
|
||||||
|
| 0 | Yes | - | - |
|
||||||
|
| 0 | Yes | - | Set |
|
||||||
|
| 0 | Yes | - | Reset |
|
||||||
|
| 0 | Yes | Set | - |
|
||||||
|
| 9 | Yes | Reset | - |
|
||||||
|
+-------+--------------+-------------+--------------+
|
||||||
|
|
||||||
|
|
||||||
|
2. Memory
|
||||||
|
---------
|
||||||
|
|
||||||
|
+----------------+------+-------+-----------+-------+
|
||||||
|
| Site Type | Used | Fixed | Available | Util% |
|
||||||
|
+----------------+------+-------+-----------+-------+
|
||||||
|
| Block RAM Tile | 0 | 0 | 325 | 0.00 |
|
||||||
|
| RAMB36/FIFO* | 0 | 0 | 325 | 0.00 |
|
||||||
|
| RAMB18 | 0 | 0 | 650 | 0.00 |
|
||||||
|
+----------------+------+-------+-----------+-------+
|
||||||
|
* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
|
||||||
|
|
||||||
|
|
||||||
|
3. DSP
|
||||||
|
------
|
||||||
|
|
||||||
|
+-----------+------+-------+-----------+-------+
|
||||||
|
| Site Type | Used | Fixed | Available | Util% |
|
||||||
|
+-----------+------+-------+-----------+-------+
|
||||||
|
| DSPs | 0 | 0 | 600 | 0.00 |
|
||||||
|
+-----------+------+-------+-----------+-------+
|
||||||
|
|
||||||
|
|
||||||
|
4. IO and GT Specific
|
||||||
|
---------------------
|
||||||
|
|
||||||
|
+-----------------------------+------+-------+-----------+-------+
|
||||||
|
| Site Type | Used | Fixed | Available | Util% |
|
||||||
|
+-----------------------------+------+-------+-----------+-------+
|
||||||
|
| Bonded IOB | 21 | 0 | 285 | 7.37 |
|
||||||
|
| Bonded IPADs | 0 | 0 | 14 | 0.00 |
|
||||||
|
| Bonded OPADs | 0 | 0 | 8 | 0.00 |
|
||||||
|
| PHY_CONTROL | 0 | 0 | 8 | 0.00 |
|
||||||
|
| PHASER_REF | 0 | 0 | 8 | 0.00 |
|
||||||
|
| OUT_FIFO | 0 | 0 | 32 | 0.00 |
|
||||||
|
| IN_FIFO | 0 | 0 | 32 | 0.00 |
|
||||||
|
| IDELAYCTRL | 0 | 0 | 8 | 0.00 |
|
||||||
|
| IBUFDS | 0 | 0 | 275 | 0.00 |
|
||||||
|
| GTXE2_COMMON | 0 | 0 | 1 | 0.00 |
|
||||||
|
| GTXE2_CHANNEL | 0 | 0 | 4 | 0.00 |
|
||||||
|
| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 32 | 0.00 |
|
||||||
|
| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 32 | 0.00 |
|
||||||
|
| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 400 | 0.00 |
|
||||||
|
| ODELAYE2/ODELAYE2_FINEDELAY | 0 | 0 | 150 | 0.00 |
|
||||||
|
| IBUFDS_GTE2 | 0 | 0 | 2 | 0.00 |
|
||||||
|
| ILOGIC | 0 | 0 | 285 | 0.00 |
|
||||||
|
| OLOGIC | 0 | 0 | 285 | 0.00 |
|
||||||
|
+-----------------------------+------+-------+-----------+-------+
|
||||||
|
|
||||||
|
|
||||||
|
5. Clocking
|
||||||
|
-----------
|
||||||
|
|
||||||
|
+------------+------+-------+-----------+-------+
|
||||||
|
| Site Type | Used | Fixed | Available | Util% |
|
||||||
|
+------------+------+-------+-----------+-------+
|
||||||
|
| BUFGCTRL | 1 | 0 | 32 | 3.13 |
|
||||||
|
| BUFIO | 0 | 0 | 32 | 0.00 |
|
||||||
|
| MMCME2_ADV | 0 | 0 | 8 | 0.00 |
|
||||||
|
| PLLE2_ADV | 0 | 0 | 8 | 0.00 |
|
||||||
|
| BUFMRCE | 0 | 0 | 16 | 0.00 |
|
||||||
|
| BUFHCE | 0 | 0 | 120 | 0.00 |
|
||||||
|
| BUFR | 0 | 0 | 32 | 0.00 |
|
||||||
|
+------------+------+-------+-----------+-------+
|
||||||
|
|
||||||
|
|
||||||
|
6. Specific Feature
|
||||||
|
-------------------
|
||||||
|
|
||||||
|
+-------------+------+-------+-----------+-------+
|
||||||
|
| Site Type | Used | Fixed | Available | Util% |
|
||||||
|
+-------------+------+-------+-----------+-------+
|
||||||
|
| BSCANE2 | 0 | 0 | 4 | 0.00 |
|
||||||
|
| CAPTUREE2 | 0 | 0 | 1 | 0.00 |
|
||||||
|
| DNA_PORT | 0 | 0 | 1 | 0.00 |
|
||||||
|
| EFUSE_USR | 0 | 0 | 1 | 0.00 |
|
||||||
|
| FRAME_ECCE2 | 0 | 0 | 1 | 0.00 |
|
||||||
|
| ICAPE2 | 0 | 0 | 2 | 0.00 |
|
||||||
|
| PCIE_2_1 | 0 | 0 | 1 | 0.00 |
|
||||||
|
| STARTUPE2 | 0 | 0 | 1 | 0.00 |
|
||||||
|
| XADC | 0 | 0 | 1 | 0.00 |
|
||||||
|
+-------------+------+-------+-----------+-------+
|
||||||
|
|
||||||
|
|
||||||
|
7. Primitives
|
||||||
|
-------------
|
||||||
|
|
||||||
|
+----------+------+---------------------+
|
||||||
|
| Ref Name | Used | Functional Category |
|
||||||
|
+----------+------+---------------------+
|
||||||
|
| IBUF | 12 | IO |
|
||||||
|
| OBUF | 9 | IO |
|
||||||
|
| FDRE | 9 | Flop & Latch |
|
||||||
|
| LUT6 | 6 | LUT |
|
||||||
|
| LUT5 | 5 | LUT |
|
||||||
|
| LUT4 | 5 | LUT |
|
||||||
|
| LUT3 | 2 | LUT |
|
||||||
|
| LUT2 | 1 | LUT |
|
||||||
|
| LUT1 | 1 | LUT |
|
||||||
|
| BUFG | 1 | Clock |
|
||||||
|
+----------+------+---------------------+
|
||||||
|
|
||||||
|
|
||||||
|
8. Black Boxes
|
||||||
|
--------------
|
||||||
|
|
||||||
|
+----------+------+
|
||||||
|
| Ref Name | Used |
|
||||||
|
+----------+------+
|
||||||
|
|
||||||
|
|
||||||
|
9. Instantiated Netlists
|
||||||
|
------------------------
|
||||||
|
|
||||||
|
+----------+------+
|
||||||
|
| Ref Name | Used |
|
||||||
|
+----------+------+
|
||||||
|
|
||||||
|
|
||||||
@@ -1,14 +1,14 @@
|
|||||||
<?xml version="1.0" encoding="UTF-8"?>
|
<?xml version="1.0" encoding="UTF-8"?>
|
||||||
<GenRun Id="synth_1" LaunchPart="xc7k160tifbg484-2L" LaunchTime="1550340212">
|
<GenRun Id="synth_1" LaunchPart="xc7k160tifbg484-2L" LaunchTime="1550341578">
|
||||||
<File Type="PA-TCL" Name="ALU.tcl"/>
|
<File Type="PA-TCL" Name="FetchUnit.tcl"/>
|
||||||
<File Type="RDS-PROPCONSTRS" Name="ALU_drc_synth.rpt"/>
|
<File Type="RDS-PROPCONSTRS" Name="FetchUnit_drc_synth.rpt"/>
|
||||||
<File Type="REPORTS-TCL" Name="ALU_reports.tcl"/>
|
<File Type="REPORTS-TCL" Name="FetchUnit_reports.tcl"/>
|
||||||
<File Type="RDS-RDS" Name="ALU.vds"/>
|
<File Type="RDS-RDS" Name="FetchUnit.vds"/>
|
||||||
<File Type="RDS-UTIL" Name="ALU_utilization_synth.rpt"/>
|
<File Type="RDS-UTIL" Name="FetchUnit_utilization_synth.rpt"/>
|
||||||
<File Type="RDS-UTIL-PB" Name="ALU_utilization_synth.pb"/>
|
<File Type="RDS-UTIL-PB" Name="FetchUnit_utilization_synth.pb"/>
|
||||||
<File Type="RDS-DCP" Name="ALU.dcp"/>
|
<File Type="RDS-DCP" Name="FetchUnit.dcp"/>
|
||||||
<File Type="VDS-TIMINGSUMMARY" Name="ALU_timing_summary_synth.rpt"/>
|
<File Type="VDS-TIMINGSUMMARY" Name="FetchUnit_timing_summary_synth.rpt"/>
|
||||||
<File Type="VDS-TIMING-PB" Name="ALU_timing_summary_synth.pb"/>
|
<File Type="VDS-TIMING-PB" Name="FetchUnit_timing_summary_synth.pb"/>
|
||||||
<FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
|
<FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
|
||||||
<Filter Type="Srcs"/>
|
<Filter Type="Srcs"/>
|
||||||
<File Path="$PSRCDIR/sources_1/new/BasicModules.v">
|
<File Path="$PSRCDIR/sources_1/new/BasicModules.v">
|
||||||
@@ -18,7 +18,7 @@
|
|||||||
<Attr Name="UsedIn" Val="simulation"/>
|
<Attr Name="UsedIn" Val="simulation"/>
|
||||||
</FileInfo>
|
</FileInfo>
|
||||||
</File>
|
</File>
|
||||||
<File Path="$PSRCDIR/sources_1/new/ALU.v">
|
<File Path="$PSRCDIR/sources_1/new/FetchUnit.v">
|
||||||
<FileInfo>
|
<FileInfo>
|
||||||
<Attr Name="UsedIn" Val="synthesis"/>
|
<Attr Name="UsedIn" Val="synthesis"/>
|
||||||
<Attr Name="UsedIn" Val="implementation"/>
|
<Attr Name="UsedIn" Val="implementation"/>
|
||||||
@@ -33,7 +33,7 @@
|
|||||||
<Attr Name="UsedIn" Val="simulation"/>
|
<Attr Name="UsedIn" Val="simulation"/>
|
||||||
</FileInfo>
|
</FileInfo>
|
||||||
</File>
|
</File>
|
||||||
<File Path="$PSRCDIR/sources_1/new/FetchUnit.v">
|
<File Path="$PSRCDIR/sources_1/new/ALU.v">
|
||||||
<FileInfo>
|
<FileInfo>
|
||||||
<Attr Name="AutoDisabled" Val="1"/>
|
<Attr Name="AutoDisabled" Val="1"/>
|
||||||
<Attr Name="UsedIn" Val="synthesis"/>
|
<Attr Name="UsedIn" Val="synthesis"/>
|
||||||
@@ -43,7 +43,7 @@
|
|||||||
</File>
|
</File>
|
||||||
<Config>
|
<Config>
|
||||||
<Option Name="DesignMode" Val="RTL"/>
|
<Option Name="DesignMode" Val="RTL"/>
|
||||||
<Option Name="TopModule" Val="ALU"/>
|
<Option Name="TopModule" Val="FetchUnit"/>
|
||||||
</Config>
|
</Config>
|
||||||
</FileSet>
|
</FileSet>
|
||||||
<FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
|
<FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
|
||||||
|
|||||||
@@ -6,4 +6,4 @@ REM to be invoked for Vivado to track run status.
|
|||||||
REM Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
REM Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
||||||
REM
|
REM
|
||||||
|
|
||||||
vivado -log ALU.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source ALU.tcl
|
vivado -log FetchUnit.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source FetchUnit.tcl
|
||||||
|
|||||||
@@ -2,11 +2,11 @@
|
|||||||
# Vivado v2018.3 (64-bit)
|
# Vivado v2018.3 (64-bit)
|
||||||
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
||||||
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
||||||
# Start of session at: Sat Feb 16 13:03:34 2019
|
# Start of session at: Sat Feb 16 13:26:21 2019
|
||||||
# Process ID: 11092
|
# Process ID: 8540
|
||||||
# Current directory: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1
|
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1
|
||||||
# Command line: vivado.exe -log ALU.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source ALU.tcl
|
# Command line: vivado.exe -log FetchUnit.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source FetchUnit.tcl
|
||||||
# Log file: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1/ALU.vds
|
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1/FetchUnit.vds
|
||||||
# Journal file: C:/Users/JoseIgnacio/CA Lab/lab2CA.runs/synth_1\vivado.jou
|
# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1\vivado.jou
|
||||||
#-----------------------------------------------------------
|
#-----------------------------------------------------------
|
||||||
source ALU.tcl -notrace
|
source FetchUnit.tcl -notrace
|
||||||
|
|||||||
Binary file not shown.
11
lab2CA.sim/sim_1/behav/xsim/ALU.tcl
Normal file
11
lab2CA.sim/sim_1/behav/xsim/ALU.tcl
Normal file
@@ -0,0 +1,11 @@
|
|||||||
|
set curr_wave [current_wave_config]
|
||||||
|
if { [string length $curr_wave] == 0 } {
|
||||||
|
if { [llength [get_objects]] > 0} {
|
||||||
|
add_wave /
|
||||||
|
set_property needs_save false [current_wave_config]
|
||||||
|
} else {
|
||||||
|
send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
|
||||||
|
}
|
||||||
|
}
|
||||||
|
|
||||||
|
run 1000ns
|
||||||
10
lab2CA.sim/sim_1/behav/xsim/ALU_vlog.prj
Normal file
10
lab2CA.sim/sim_1/behav/xsim/ALU_vlog.prj
Normal file
@@ -0,0 +1,10 @@
|
|||||||
|
# compile verilog/system verilog design source files
|
||||||
|
verilog xil_defaultlib \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/ALU.v" \
|
||||||
|
|
||||||
|
# compile glbl module
|
||||||
|
verilog xil_defaultlib "glbl.v"
|
||||||
|
|
||||||
|
# Do not sort compile order
|
||||||
|
nosort
|
||||||
11
lab2CA.sim/sim_1/behav/xsim/add1bit_tb.tcl
Normal file
11
lab2CA.sim/sim_1/behav/xsim/add1bit_tb.tcl
Normal file
@@ -0,0 +1,11 @@
|
|||||||
|
set curr_wave [current_wave_config]
|
||||||
|
if { [string length $curr_wave] == 0 } {
|
||||||
|
if { [llength [get_objects]] > 0} {
|
||||||
|
add_wave /
|
||||||
|
set_property needs_save false [current_wave_config]
|
||||||
|
} else {
|
||||||
|
send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
|
||||||
|
}
|
||||||
|
}
|
||||||
|
|
||||||
|
run 1000ns
|
||||||
9
lab2CA.sim/sim_1/behav/xsim/add1bit_tb_vlog.prj
Normal file
9
lab2CA.sim/sim_1/behav/xsim/add1bit_tb_vlog.prj
Normal file
@@ -0,0 +1,9 @@
|
|||||||
|
# compile verilog/system verilog design source files
|
||||||
|
verilog xil_defaultlib \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \
|
||||||
|
|
||||||
|
# compile glbl module
|
||||||
|
verilog xil_defaultlib "glbl.v"
|
||||||
|
|
||||||
|
# Do not sort compile order
|
||||||
|
nosort
|
||||||
11
lab2CA.sim/sim_1/behav/xsim/add9bit_tb.tcl
Normal file
11
lab2CA.sim/sim_1/behav/xsim/add9bit_tb.tcl
Normal file
@@ -0,0 +1,11 @@
|
|||||||
|
set curr_wave [current_wave_config]
|
||||||
|
if { [string length $curr_wave] == 0 } {
|
||||||
|
if { [llength [get_objects]] > 0} {
|
||||||
|
add_wave /
|
||||||
|
set_property needs_save false [current_wave_config]
|
||||||
|
} else {
|
||||||
|
send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
|
||||||
|
}
|
||||||
|
}
|
||||||
|
|
||||||
|
run 1000ns
|
||||||
9
lab2CA.sim/sim_1/behav/xsim/add9bit_tb_vlog.prj
Normal file
9
lab2CA.sim/sim_1/behav/xsim/add9bit_tb_vlog.prj
Normal file
@@ -0,0 +1,9 @@
|
|||||||
|
# compile verilog/system verilog design source files
|
||||||
|
verilog xil_defaultlib \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \
|
||||||
|
|
||||||
|
# compile glbl module
|
||||||
|
verilog xil_defaultlib "glbl.v"
|
||||||
|
|
||||||
|
# Do not sort compile order
|
||||||
|
nosort
|
||||||
11
lab2CA.sim/sim_1/behav/xsim/and1bit_tb.tcl
Normal file
11
lab2CA.sim/sim_1/behav/xsim/and1bit_tb.tcl
Normal file
@@ -0,0 +1,11 @@
|
|||||||
|
set curr_wave [current_wave_config]
|
||||||
|
if { [string length $curr_wave] == 0 } {
|
||||||
|
if { [llength [get_objects]] > 0} {
|
||||||
|
add_wave /
|
||||||
|
set_property needs_save false [current_wave_config]
|
||||||
|
} else {
|
||||||
|
send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
|
||||||
|
}
|
||||||
|
}
|
||||||
|
|
||||||
|
run 1000ns
|
||||||
9
lab2CA.sim/sim_1/behav/xsim/and1bit_tb_vlog.prj
Normal file
9
lab2CA.sim/sim_1/behav/xsim/and1bit_tb_vlog.prj
Normal file
@@ -0,0 +1,9 @@
|
|||||||
|
# compile verilog/system verilog design source files
|
||||||
|
verilog xil_defaultlib \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \
|
||||||
|
|
||||||
|
# compile glbl module
|
||||||
|
verilog xil_defaultlib "glbl.v"
|
||||||
|
|
||||||
|
# Do not sort compile order
|
||||||
|
nosort
|
||||||
11
lab2CA.sim/sim_1/behav/xsim/and9bit_tb.tcl
Normal file
11
lab2CA.sim/sim_1/behav/xsim/and9bit_tb.tcl
Normal file
@@ -0,0 +1,11 @@
|
|||||||
|
set curr_wave [current_wave_config]
|
||||||
|
if { [string length $curr_wave] == 0 } {
|
||||||
|
if { [llength [get_objects]] > 0} {
|
||||||
|
add_wave /
|
||||||
|
set_property needs_save false [current_wave_config]
|
||||||
|
} else {
|
||||||
|
send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
|
||||||
|
}
|
||||||
|
}
|
||||||
|
|
||||||
|
run 1000ns
|
||||||
9
lab2CA.sim/sim_1/behav/xsim/and9bit_tb_vlog.prj
Normal file
9
lab2CA.sim/sim_1/behav/xsim/and9bit_tb_vlog.prj
Normal file
@@ -0,0 +1,9 @@
|
|||||||
|
# compile verilog/system verilog design source files
|
||||||
|
verilog xil_defaultlib \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \
|
||||||
|
|
||||||
|
# compile glbl module
|
||||||
|
verilog xil_defaultlib "glbl.v"
|
||||||
|
|
||||||
|
# Do not sort compile order
|
||||||
|
nosort
|
||||||
71
lab2CA.sim/sim_1/behav/xsim/glbl.v
Normal file
71
lab2CA.sim/sim_1/behav/xsim/glbl.v
Normal file
@@ -0,0 +1,71 @@
|
|||||||
|
// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
|
||||||
|
`ifndef GLBL
|
||||||
|
`define GLBL
|
||||||
|
`timescale 1 ps / 1 ps
|
||||||
|
|
||||||
|
module glbl ();
|
||||||
|
|
||||||
|
parameter ROC_WIDTH = 100000;
|
||||||
|
parameter TOC_WIDTH = 0;
|
||||||
|
|
||||||
|
//-------- STARTUP Globals --------------
|
||||||
|
wire GSR;
|
||||||
|
wire GTS;
|
||||||
|
wire GWE;
|
||||||
|
wire PRLD;
|
||||||
|
tri1 p_up_tmp;
|
||||||
|
tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
|
||||||
|
|
||||||
|
wire PROGB_GLBL;
|
||||||
|
wire CCLKO_GLBL;
|
||||||
|
wire FCSBO_GLBL;
|
||||||
|
wire [3:0] DO_GLBL;
|
||||||
|
wire [3:0] DI_GLBL;
|
||||||
|
|
||||||
|
reg GSR_int;
|
||||||
|
reg GTS_int;
|
||||||
|
reg PRLD_int;
|
||||||
|
|
||||||
|
//-------- JTAG Globals --------------
|
||||||
|
wire JTAG_TDO_GLBL;
|
||||||
|
wire JTAG_TCK_GLBL;
|
||||||
|
wire JTAG_TDI_GLBL;
|
||||||
|
wire JTAG_TMS_GLBL;
|
||||||
|
wire JTAG_TRST_GLBL;
|
||||||
|
|
||||||
|
reg JTAG_CAPTURE_GLBL;
|
||||||
|
reg JTAG_RESET_GLBL;
|
||||||
|
reg JTAG_SHIFT_GLBL;
|
||||||
|
reg JTAG_UPDATE_GLBL;
|
||||||
|
reg JTAG_RUNTEST_GLBL;
|
||||||
|
|
||||||
|
reg JTAG_SEL1_GLBL = 0;
|
||||||
|
reg JTAG_SEL2_GLBL = 0 ;
|
||||||
|
reg JTAG_SEL3_GLBL = 0;
|
||||||
|
reg JTAG_SEL4_GLBL = 0;
|
||||||
|
|
||||||
|
reg JTAG_USER_TDO1_GLBL = 1'bz;
|
||||||
|
reg JTAG_USER_TDO2_GLBL = 1'bz;
|
||||||
|
reg JTAG_USER_TDO3_GLBL = 1'bz;
|
||||||
|
reg JTAG_USER_TDO4_GLBL = 1'bz;
|
||||||
|
|
||||||
|
assign (strong1, weak0) GSR = GSR_int;
|
||||||
|
assign (strong1, weak0) GTS = GTS_int;
|
||||||
|
assign (weak1, weak0) PRLD = PRLD_int;
|
||||||
|
|
||||||
|
initial begin
|
||||||
|
GSR_int = 1'b1;
|
||||||
|
PRLD_int = 1'b1;
|
||||||
|
#(ROC_WIDTH)
|
||||||
|
GSR_int = 1'b0;
|
||||||
|
PRLD_int = 1'b0;
|
||||||
|
end
|
||||||
|
|
||||||
|
initial begin
|
||||||
|
GTS_int = 1'b1;
|
||||||
|
#(TOC_WIDTH)
|
||||||
|
GTS_int = 1'b0;
|
||||||
|
end
|
||||||
|
|
||||||
|
endmodule
|
||||||
|
`endif
|
||||||
11
lab2CA.sim/sim_1/behav/xsim/mux_2_1_tb.tcl
Normal file
11
lab2CA.sim/sim_1/behav/xsim/mux_2_1_tb.tcl
Normal file
@@ -0,0 +1,11 @@
|
|||||||
|
set curr_wave [current_wave_config]
|
||||||
|
if { [string length $curr_wave] == 0 } {
|
||||||
|
if { [llength [get_objects]] > 0} {
|
||||||
|
add_wave /
|
||||||
|
set_property needs_save false [current_wave_config]
|
||||||
|
} else {
|
||||||
|
send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
|
||||||
|
}
|
||||||
|
}
|
||||||
|
|
||||||
|
run 1000ns
|
||||||
9
lab2CA.sim/sim_1/behav/xsim/mux_2_1_tb_vlog.prj
Normal file
9
lab2CA.sim/sim_1/behav/xsim/mux_2_1_tb_vlog.prj
Normal file
@@ -0,0 +1,9 @@
|
|||||||
|
# compile verilog/system verilog design source files
|
||||||
|
verilog xil_defaultlib \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \
|
||||||
|
|
||||||
|
# compile glbl module
|
||||||
|
verilog xil_defaultlib "glbl.v"
|
||||||
|
|
||||||
|
# Do not sort compile order
|
||||||
|
nosort
|
||||||
11
lab2CA.sim/sim_1/behav/xsim/mux_4_1_tb.tcl
Normal file
11
lab2CA.sim/sim_1/behav/xsim/mux_4_1_tb.tcl
Normal file
@@ -0,0 +1,11 @@
|
|||||||
|
set curr_wave [current_wave_config]
|
||||||
|
if { [string length $curr_wave] == 0 } {
|
||||||
|
if { [llength [get_objects]] > 0} {
|
||||||
|
add_wave /
|
||||||
|
set_property needs_save false [current_wave_config]
|
||||||
|
} else {
|
||||||
|
send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
|
||||||
|
}
|
||||||
|
}
|
||||||
|
|
||||||
|
run 1000ns
|
||||||
9
lab2CA.sim/sim_1/behav/xsim/mux_4_1_tb_vlog.prj
Normal file
9
lab2CA.sim/sim_1/behav/xsim/mux_4_1_tb_vlog.prj
Normal file
@@ -0,0 +1,9 @@
|
|||||||
|
# compile verilog/system verilog design source files
|
||||||
|
verilog xil_defaultlib \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \
|
||||||
|
|
||||||
|
# compile glbl module
|
||||||
|
verilog xil_defaultlib "glbl.v"
|
||||||
|
|
||||||
|
# Do not sort compile order
|
||||||
|
nosort
|
||||||
11
lab2CA.sim/sim_1/behav/xsim/mux_8_1_tb.tcl
Normal file
11
lab2CA.sim/sim_1/behav/xsim/mux_8_1_tb.tcl
Normal file
@@ -0,0 +1,11 @@
|
|||||||
|
set curr_wave [current_wave_config]
|
||||||
|
if { [string length $curr_wave] == 0 } {
|
||||||
|
if { [llength [get_objects]] > 0} {
|
||||||
|
add_wave /
|
||||||
|
set_property needs_save false [current_wave_config]
|
||||||
|
} else {
|
||||||
|
send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
|
||||||
|
}
|
||||||
|
}
|
||||||
|
|
||||||
|
run 1000ns
|
||||||
9
lab2CA.sim/sim_1/behav/xsim/mux_8_1_tb_vlog.prj
Normal file
9
lab2CA.sim/sim_1/behav/xsim/mux_8_1_tb_vlog.prj
Normal file
@@ -0,0 +1,9 @@
|
|||||||
|
# compile verilog/system verilog design source files
|
||||||
|
verilog xil_defaultlib \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \
|
||||||
|
|
||||||
|
# compile glbl module
|
||||||
|
verilog xil_defaultlib "glbl.v"
|
||||||
|
|
||||||
|
# Do not sort compile order
|
||||||
|
nosort
|
||||||
11
lab2CA.sim/sim_1/behav/xsim/nor_1bit_tb.tcl
Normal file
11
lab2CA.sim/sim_1/behav/xsim/nor_1bit_tb.tcl
Normal file
@@ -0,0 +1,11 @@
|
|||||||
|
set curr_wave [current_wave_config]
|
||||||
|
if { [string length $curr_wave] == 0 } {
|
||||||
|
if { [llength [get_objects]] > 0} {
|
||||||
|
add_wave /
|
||||||
|
set_property needs_save false [current_wave_config]
|
||||||
|
} else {
|
||||||
|
send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
|
||||||
|
}
|
||||||
|
}
|
||||||
|
|
||||||
|
run 1000ns
|
||||||
9
lab2CA.sim/sim_1/behav/xsim/nor_1bit_tb_vlog.prj
Normal file
9
lab2CA.sim/sim_1/behav/xsim/nor_1bit_tb_vlog.prj
Normal file
@@ -0,0 +1,9 @@
|
|||||||
|
# compile verilog/system verilog design source files
|
||||||
|
verilog xil_defaultlib \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \
|
||||||
|
|
||||||
|
# compile glbl module
|
||||||
|
verilog xil_defaultlib "glbl.v"
|
||||||
|
|
||||||
|
# Do not sort compile order
|
||||||
|
nosort
|
||||||
11
lab2CA.sim/sim_1/behav/xsim/nor_9bit_tb.tcl
Normal file
11
lab2CA.sim/sim_1/behav/xsim/nor_9bit_tb.tcl
Normal file
@@ -0,0 +1,11 @@
|
|||||||
|
set curr_wave [current_wave_config]
|
||||||
|
if { [string length $curr_wave] == 0 } {
|
||||||
|
if { [llength [get_objects]] > 0} {
|
||||||
|
add_wave /
|
||||||
|
set_property needs_save false [current_wave_config]
|
||||||
|
} else {
|
||||||
|
send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
|
||||||
|
}
|
||||||
|
}
|
||||||
|
|
||||||
|
run 1000ns
|
||||||
9
lab2CA.sim/sim_1/behav/xsim/nor_9bit_tb_vlog.prj
Normal file
9
lab2CA.sim/sim_1/behav/xsim/nor_9bit_tb_vlog.prj
Normal file
@@ -0,0 +1,9 @@
|
|||||||
|
# compile verilog/system verilog design source files
|
||||||
|
verilog xil_defaultlib \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \
|
||||||
|
|
||||||
|
# compile glbl module
|
||||||
|
verilog xil_defaultlib "glbl.v"
|
||||||
|
|
||||||
|
# Do not sort compile order
|
||||||
|
nosort
|
||||||
11
lab2CA.sim/sim_1/behav/xsim/not_1bit_tb.tcl
Normal file
11
lab2CA.sim/sim_1/behav/xsim/not_1bit_tb.tcl
Normal file
@@ -0,0 +1,11 @@
|
|||||||
|
set curr_wave [current_wave_config]
|
||||||
|
if { [string length $curr_wave] == 0 } {
|
||||||
|
if { [llength [get_objects]] > 0} {
|
||||||
|
add_wave /
|
||||||
|
set_property needs_save false [current_wave_config]
|
||||||
|
} else {
|
||||||
|
send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
|
||||||
|
}
|
||||||
|
}
|
||||||
|
|
||||||
|
run 1000ns
|
||||||
9
lab2CA.sim/sim_1/behav/xsim/not_1bit_tb_vlog.prj
Normal file
9
lab2CA.sim/sim_1/behav/xsim/not_1bit_tb_vlog.prj
Normal file
@@ -0,0 +1,9 @@
|
|||||||
|
# compile verilog/system verilog design source files
|
||||||
|
verilog xil_defaultlib \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \
|
||||||
|
|
||||||
|
# compile glbl module
|
||||||
|
verilog xil_defaultlib "glbl.v"
|
||||||
|
|
||||||
|
# Do not sort compile order
|
||||||
|
nosort
|
||||||
11
lab2CA.sim/sim_1/behav/xsim/not_9bit_tb.tcl
Normal file
11
lab2CA.sim/sim_1/behav/xsim/not_9bit_tb.tcl
Normal file
@@ -0,0 +1,11 @@
|
|||||||
|
set curr_wave [current_wave_config]
|
||||||
|
if { [string length $curr_wave] == 0 } {
|
||||||
|
if { [llength [get_objects]] > 0} {
|
||||||
|
add_wave /
|
||||||
|
set_property needs_save false [current_wave_config]
|
||||||
|
} else {
|
||||||
|
send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
|
||||||
|
}
|
||||||
|
}
|
||||||
|
|
||||||
|
run 1000ns
|
||||||
9
lab2CA.sim/sim_1/behav/xsim/not_9bit_tb_vlog.prj
Normal file
9
lab2CA.sim/sim_1/behav/xsim/not_9bit_tb_vlog.prj
Normal file
@@ -0,0 +1,9 @@
|
|||||||
|
# compile verilog/system verilog design source files
|
||||||
|
verilog xil_defaultlib \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \
|
||||||
|
|
||||||
|
# compile glbl module
|
||||||
|
verilog xil_defaultlib "glbl.v"
|
||||||
|
|
||||||
|
# Do not sort compile order
|
||||||
|
nosort
|
||||||
11
lab2CA.sim/sim_1/behav/xsim/or_1bit_tb.tcl
Normal file
11
lab2CA.sim/sim_1/behav/xsim/or_1bit_tb.tcl
Normal file
@@ -0,0 +1,11 @@
|
|||||||
|
set curr_wave [current_wave_config]
|
||||||
|
if { [string length $curr_wave] == 0 } {
|
||||||
|
if { [llength [get_objects]] > 0} {
|
||||||
|
add_wave /
|
||||||
|
set_property needs_save false [current_wave_config]
|
||||||
|
} else {
|
||||||
|
send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
|
||||||
|
}
|
||||||
|
}
|
||||||
|
|
||||||
|
run 1000ns
|
||||||
9
lab2CA.sim/sim_1/behav/xsim/or_1bit_tb_vlog.prj
Normal file
9
lab2CA.sim/sim_1/behav/xsim/or_1bit_tb_vlog.prj
Normal file
@@ -0,0 +1,9 @@
|
|||||||
|
# compile verilog/system verilog design source files
|
||||||
|
verilog xil_defaultlib \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \
|
||||||
|
|
||||||
|
# compile glbl module
|
||||||
|
verilog xil_defaultlib "glbl.v"
|
||||||
|
|
||||||
|
# Do not sort compile order
|
||||||
|
nosort
|
||||||
11
lab2CA.sim/sim_1/behav/xsim/or_9bit_tb.tcl
Normal file
11
lab2CA.sim/sim_1/behav/xsim/or_9bit_tb.tcl
Normal file
@@ -0,0 +1,11 @@
|
|||||||
|
set curr_wave [current_wave_config]
|
||||||
|
if { [string length $curr_wave] == 0 } {
|
||||||
|
if { [llength [get_objects]] > 0} {
|
||||||
|
add_wave /
|
||||||
|
set_property needs_save false [current_wave_config]
|
||||||
|
} else {
|
||||||
|
send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
|
||||||
|
}
|
||||||
|
}
|
||||||
|
|
||||||
|
run 1000ns
|
||||||
9
lab2CA.sim/sim_1/behav/xsim/or_9bit_tb_vlog.prj
Normal file
9
lab2CA.sim/sim_1/behav/xsim/or_9bit_tb_vlog.prj
Normal file
@@ -0,0 +1,9 @@
|
|||||||
|
# compile verilog/system verilog design source files
|
||||||
|
verilog xil_defaultlib \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \
|
||||||
|
|
||||||
|
# compile glbl module
|
||||||
|
verilog xil_defaultlib "glbl.v"
|
||||||
|
|
||||||
|
# Do not sort compile order
|
||||||
|
nosort
|
||||||
11
lab2CA.sim/sim_1/behav/xsim/register_tb.tcl
Normal file
11
lab2CA.sim/sim_1/behav/xsim/register_tb.tcl
Normal file
@@ -0,0 +1,11 @@
|
|||||||
|
set curr_wave [current_wave_config]
|
||||||
|
if { [string length $curr_wave] == 0 } {
|
||||||
|
if { [llength [get_objects]] > 0} {
|
||||||
|
add_wave /
|
||||||
|
set_property needs_save false [current_wave_config]
|
||||||
|
} else {
|
||||||
|
send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
|
||||||
|
}
|
||||||
|
}
|
||||||
|
|
||||||
|
run 1000ns
|
||||||
9
lab2CA.sim/sim_1/behav/xsim/register_tb_vlog.prj
Normal file
9
lab2CA.sim/sim_1/behav/xsim/register_tb_vlog.prj
Normal file
@@ -0,0 +1,9 @@
|
|||||||
|
# compile verilog/system verilog design source files
|
||||||
|
verilog xil_defaultlib \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \
|
||||||
|
|
||||||
|
# compile glbl module
|
||||||
|
verilog xil_defaultlib "glbl.v"
|
||||||
|
|
||||||
|
# Do not sort compile order
|
||||||
|
nosort
|
||||||
11
lab2CA.sim/sim_1/behav/xsim/shift_logical_left_tb.tcl
Normal file
11
lab2CA.sim/sim_1/behav/xsim/shift_logical_left_tb.tcl
Normal file
@@ -0,0 +1,11 @@
|
|||||||
|
set curr_wave [current_wave_config]
|
||||||
|
if { [string length $curr_wave] == 0 } {
|
||||||
|
if { [llength [get_objects]] > 0} {
|
||||||
|
add_wave /
|
||||||
|
set_property needs_save false [current_wave_config]
|
||||||
|
} else {
|
||||||
|
send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
|
||||||
|
}
|
||||||
|
}
|
||||||
|
|
||||||
|
run 1000ns
|
||||||
@@ -0,0 +1,9 @@
|
|||||||
|
# compile verilog/system verilog design source files
|
||||||
|
verilog xil_defaultlib \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \
|
||||||
|
|
||||||
|
# compile glbl module
|
||||||
|
verilog xil_defaultlib "glbl.v"
|
||||||
|
|
||||||
|
# Do not sort compile order
|
||||||
|
nosort
|
||||||
11
lab2CA.sim/sim_1/behav/xsim/shift_logical_right_tb.tcl
Normal file
11
lab2CA.sim/sim_1/behav/xsim/shift_logical_right_tb.tcl
Normal file
@@ -0,0 +1,11 @@
|
|||||||
|
set curr_wave [current_wave_config]
|
||||||
|
if { [string length $curr_wave] == 0 } {
|
||||||
|
if { [llength [get_objects]] > 0} {
|
||||||
|
add_wave /
|
||||||
|
set_property needs_save false [current_wave_config]
|
||||||
|
} else {
|
||||||
|
send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
|
||||||
|
}
|
||||||
|
}
|
||||||
|
|
||||||
|
run 1000ns
|
||||||
@@ -0,0 +1,9 @@
|
|||||||
|
# compile verilog/system verilog design source files
|
||||||
|
verilog xil_defaultlib \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \
|
||||||
|
|
||||||
|
# compile glbl module
|
||||||
|
verilog xil_defaultlib "glbl.v"
|
||||||
|
|
||||||
|
# Do not sort compile order
|
||||||
|
nosort
|
||||||
11
lab2CA.sim/sim_1/behav/xsim/sub_9bit_tb.tcl
Normal file
11
lab2CA.sim/sim_1/behav/xsim/sub_9bit_tb.tcl
Normal file
@@ -0,0 +1,11 @@
|
|||||||
|
set curr_wave [current_wave_config]
|
||||||
|
if { [string length $curr_wave] == 0 } {
|
||||||
|
if { [llength [get_objects]] > 0} {
|
||||||
|
add_wave /
|
||||||
|
set_property needs_save false [current_wave_config]
|
||||||
|
} else {
|
||||||
|
send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
|
||||||
|
}
|
||||||
|
}
|
||||||
|
|
||||||
|
run 1000ns
|
||||||
9
lab2CA.sim/sim_1/behav/xsim/sub_9bit_tb_vlog.prj
Normal file
9
lab2CA.sim/sim_1/behav/xsim/sub_9bit_tb_vlog.prj
Normal file
@@ -0,0 +1,9 @@
|
|||||||
|
# compile verilog/system verilog design source files
|
||||||
|
verilog xil_defaultlib \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \
|
||||||
|
|
||||||
|
# compile glbl module
|
||||||
|
verilog xil_defaultlib "glbl.v"
|
||||||
|
|
||||||
|
# Do not sort compile order
|
||||||
|
nosort
|
||||||
11
lab2CA.sim/sim_1/behav/xsim/twos_compliment_tb.tcl
Normal file
11
lab2CA.sim/sim_1/behav/xsim/twos_compliment_tb.tcl
Normal file
@@ -0,0 +1,11 @@
|
|||||||
|
set curr_wave [current_wave_config]
|
||||||
|
if { [string length $curr_wave] == 0 } {
|
||||||
|
if { [llength [get_objects]] > 0} {
|
||||||
|
add_wave /
|
||||||
|
set_property needs_save false [current_wave_config]
|
||||||
|
} else {
|
||||||
|
send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
|
||||||
|
}
|
||||||
|
}
|
||||||
|
|
||||||
|
run 1000ns
|
||||||
9
lab2CA.sim/sim_1/behav/xsim/twos_compliment_tb_vlog.prj
Normal file
9
lab2CA.sim/sim_1/behav/xsim/twos_compliment_tb_vlog.prj
Normal file
@@ -0,0 +1,9 @@
|
|||||||
|
# compile verilog/system verilog design source files
|
||||||
|
verilog xil_defaultlib \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \
|
||||||
|
|
||||||
|
# compile glbl module
|
||||||
|
verilog xil_defaultlib "glbl.v"
|
||||||
|
|
||||||
|
# Do not sort compile order
|
||||||
|
nosort
|
||||||
12
lab2CA.sim/sim_1/behav/xsim/webtalk.jou
Normal file
12
lab2CA.sim/sim_1/behav/xsim/webtalk.jou
Normal file
@@ -0,0 +1,12 @@
|
|||||||
|
#-----------------------------------------------------------
|
||||||
|
# Webtalk v2018.3 (64-bit)
|
||||||
|
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
||||||
|
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
||||||
|
# Start of session at: Sat Feb 16 16:27:58 2019
|
||||||
|
# Process ID: 12116
|
||||||
|
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim
|
||||||
|
# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/twos_compliment_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
||||||
|
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log
|
||||||
|
# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou
|
||||||
|
#-----------------------------------------------------------
|
||||||
|
source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/twos_compliment_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
||||||
12
lab2CA.sim/sim_1/behav/xsim/webtalk_1120.backup.jou
Normal file
12
lab2CA.sim/sim_1/behav/xsim/webtalk_1120.backup.jou
Normal file
@@ -0,0 +1,12 @@
|
|||||||
|
#-----------------------------------------------------------
|
||||||
|
# Webtalk v2018.3 (64-bit)
|
||||||
|
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
||||||
|
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
||||||
|
# Start of session at: Sat Feb 16 16:08:33 2019
|
||||||
|
# Process ID: 1120
|
||||||
|
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim
|
||||||
|
# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
||||||
|
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log
|
||||||
|
# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou
|
||||||
|
#-----------------------------------------------------------
|
||||||
|
source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
||||||
12
lab2CA.sim/sim_1/behav/xsim/webtalk_11256.backup.jou
Normal file
12
lab2CA.sim/sim_1/behav/xsim/webtalk_11256.backup.jou
Normal file
@@ -0,0 +1,12 @@
|
|||||||
|
#-----------------------------------------------------------
|
||||||
|
# Webtalk v2018.3 (64-bit)
|
||||||
|
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
||||||
|
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
||||||
|
# Start of session at: Sat Feb 16 16:26:27 2019
|
||||||
|
# Process ID: 11256
|
||||||
|
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim
|
||||||
|
# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/twos_compliment_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
||||||
|
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log
|
||||||
|
# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou
|
||||||
|
#-----------------------------------------------------------
|
||||||
|
source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/twos_compliment_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
||||||
12
lab2CA.sim/sim_1/behav/xsim/webtalk_1276.backup.jou
Normal file
12
lab2CA.sim/sim_1/behav/xsim/webtalk_1276.backup.jou
Normal file
@@ -0,0 +1,12 @@
|
|||||||
|
#-----------------------------------------------------------
|
||||||
|
# Webtalk v2018.3 (64-bit)
|
||||||
|
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
||||||
|
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
||||||
|
# Start of session at: Sat Feb 16 16:09:25 2019
|
||||||
|
# Process ID: 1276
|
||||||
|
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim
|
||||||
|
# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
||||||
|
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log
|
||||||
|
# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou
|
||||||
|
#-----------------------------------------------------------
|
||||||
|
source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/shift_logical_right_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
||||||
12
lab2CA.sim/sim_1/behav/xsim/webtalk_13392.backup.jou
Normal file
12
lab2CA.sim/sim_1/behav/xsim/webtalk_13392.backup.jou
Normal file
@@ -0,0 +1,12 @@
|
|||||||
|
#-----------------------------------------------------------
|
||||||
|
# Webtalk v2018.3 (64-bit)
|
||||||
|
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
||||||
|
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
||||||
|
# Start of session at: Sat Feb 16 16:22:35 2019
|
||||||
|
# Process ID: 13392
|
||||||
|
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim
|
||||||
|
# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
||||||
|
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log
|
||||||
|
# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou
|
||||||
|
#-----------------------------------------------------------
|
||||||
|
source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
||||||
12
lab2CA.sim/sim_1/behav/xsim/webtalk_6756.backup.jou
Normal file
12
lab2CA.sim/sim_1/behav/xsim/webtalk_6756.backup.jou
Normal file
@@ -0,0 +1,12 @@
|
|||||||
|
#-----------------------------------------------------------
|
||||||
|
# Webtalk v2018.3 (64-bit)
|
||||||
|
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
||||||
|
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
||||||
|
# Start of session at: Sat Feb 16 16:24:44 2019
|
||||||
|
# Process ID: 6756
|
||||||
|
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim
|
||||||
|
# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
||||||
|
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log
|
||||||
|
# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou
|
||||||
|
#-----------------------------------------------------------
|
||||||
|
source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/sub_9bit_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
||||||
BIN
lab2CA.sim/sim_1/behav/xsim/xelab.pb
Normal file
BIN
lab2CA.sim/sim_1/behav/xsim/xelab.pb
Normal file
Binary file not shown.
@@ -0,0 +1 @@
|
|||||||
|
-wto "0a5803efda44405bb28bbf43ba22e808" --incr --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" --snapshot "ALU_behav" "xil_defaultlib.ALU" "xil_defaultlib.glbl" -log "elaborate.log"
|
||||||
@@ -0,0 +1 @@
|
|||||||
|
Breakpoint File Version 1.0
|
||||||
115
lab2CA.sim/sim_1/behav/xsim/xsim.dir/ALU_behav/obj/xsim_1.c
Normal file
115
lab2CA.sim/sim_1/behav/xsim/xsim.dir/ALU_behav/obj/xsim_1.c
Normal file
@@ -0,0 +1,115 @@
|
|||||||
|
/**********************************************************************/
|
||||||
|
/* ____ ____ */
|
||||||
|
/* / /\/ / */
|
||||||
|
/* /___/ \ / */
|
||||||
|
/* \ \ \/ */
|
||||||
|
/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */
|
||||||
|
/* / / All Right Reserved. */
|
||||||
|
/* /---/ /\ */
|
||||||
|
/* \ \ / \ */
|
||||||
|
/* \___\/\___\ */
|
||||||
|
/**********************************************************************/
|
||||||
|
|
||||||
|
|
||||||
|
#include "iki.h"
|
||||||
|
#include <string.h>
|
||||||
|
#include <math.h>
|
||||||
|
#ifdef __GNUC__
|
||||||
|
#include <stdlib.h>
|
||||||
|
#else
|
||||||
|
#include <malloc.h>
|
||||||
|
#define alloca _alloca
|
||||||
|
#endif
|
||||||
|
/**********************************************************************/
|
||||||
|
/* ____ ____ */
|
||||||
|
/* / /\/ / */
|
||||||
|
/* /___/ \ / */
|
||||||
|
/* \ \ \/ */
|
||||||
|
/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */
|
||||||
|
/* / / All Right Reserved. */
|
||||||
|
/* /---/ /\ */
|
||||||
|
/* \ \ / \ */
|
||||||
|
/* \___\/\___\ */
|
||||||
|
/**********************************************************************/
|
||||||
|
|
||||||
|
|
||||||
|
#include "iki.h"
|
||||||
|
#include <string.h>
|
||||||
|
#include <math.h>
|
||||||
|
#ifdef __GNUC__
|
||||||
|
#include <stdlib.h>
|
||||||
|
#else
|
||||||
|
#include <malloc.h>
|
||||||
|
#define alloca _alloca
|
||||||
|
#endif
|
||||||
|
typedef void (*funcp)(char *, char *);
|
||||||
|
extern int main(int, char**);
|
||||||
|
extern void vlog_const_rhs_process_execute_0_fast_no_reg_no_agg(char*, char*, char*);
|
||||||
|
extern void execute_178(char*, char *);
|
||||||
|
extern void execute_82(char*, char *);
|
||||||
|
extern void execute_83(char*, char *);
|
||||||
|
extern void execute_127(char*, char *);
|
||||||
|
extern void execute_100(char*, char *);
|
||||||
|
extern void execute_148(char*, char *);
|
||||||
|
extern void execute_157(char*, char *);
|
||||||
|
extern void execute_166(char*, char *);
|
||||||
|
extern void execute_175(char*, char *);
|
||||||
|
extern void execute_176(char*, char *);
|
||||||
|
extern void execute_77(char*, char *);
|
||||||
|
extern void execute_79(char*, char *);
|
||||||
|
extern void execute_80(char*, char *);
|
||||||
|
extern void execute_81(char*, char *);
|
||||||
|
extern void execute_179(char*, char *);
|
||||||
|
extern void execute_180(char*, char *);
|
||||||
|
extern void execute_181(char*, char *);
|
||||||
|
extern void execute_182(char*, char *);
|
||||||
|
extern void execute_183(char*, char *);
|
||||||
|
extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
|
||||||
|
funcp funcTab[21] = {(funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_178, (funcp)execute_82, (funcp)execute_83, (funcp)execute_127, (funcp)execute_100, (funcp)execute_148, (funcp)execute_157, (funcp)execute_166, (funcp)execute_175, (funcp)execute_176, (funcp)execute_77, (funcp)execute_79, (funcp)execute_80, (funcp)execute_81, (funcp)execute_179, (funcp)execute_180, (funcp)execute_181, (funcp)execute_182, (funcp)execute_183, (funcp)vlog_transfunc_eventcallback};
|
||||||
|
const int NumRelocateId= 21;
|
||||||
|
|
||||||
|
void relocate(char *dp)
|
||||||
|
{
|
||||||
|
iki_relocate(dp, "xsim.dir/ALU_behav/xsim.reloc", (void **)funcTab, 21);
|
||||||
|
|
||||||
|
/*Populate the transaction function pointer field in the whole net structure */
|
||||||
|
}
|
||||||
|
|
||||||
|
void sensitize(char *dp)
|
||||||
|
{
|
||||||
|
iki_sensitize(dp, "xsim.dir/ALU_behav/xsim.reloc");
|
||||||
|
}
|
||||||
|
|
||||||
|
void simulate(char *dp)
|
||||||
|
{
|
||||||
|
iki_schedule_processes_at_time_zero(dp, "xsim.dir/ALU_behav/xsim.reloc");
|
||||||
|
// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
|
||||||
|
iki_execute_processes();
|
||||||
|
|
||||||
|
// Schedule resolution functions for the multiply driven Verilog nets that have strength
|
||||||
|
// Schedule transaction functions for the singly driven Verilog nets that have strength
|
||||||
|
|
||||||
|
}
|
||||||
|
#include "iki_bridge.h"
|
||||||
|
void relocate(char *);
|
||||||
|
|
||||||
|
void sensitize(char *);
|
||||||
|
|
||||||
|
void simulate(char *);
|
||||||
|
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*);
|
||||||
|
extern void implicit_HDL_SCinstatiate();
|
||||||
|
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ;
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ;
|
||||||
|
|
||||||
|
int main(int argc, char **argv)
|
||||||
|
{
|
||||||
|
iki_heap_initialize("ms", "isimmm", 0, 2147483648) ;
|
||||||
|
iki_set_sv_type_file_path_name("xsim.dir/ALU_behav/xsim.svtype");
|
||||||
|
iki_set_crvs_dump_file_path_name("xsim.dir/ALU_behav/xsim.crvsdump");
|
||||||
|
void* design_handle = iki_create_design("xsim.dir/ALU_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv);
|
||||||
|
iki_set_rc_trial_count(100);
|
||||||
|
(void) design_handle;
|
||||||
|
return iki_simulate_design();
|
||||||
|
}
|
||||||
@@ -0,0 +1,44 @@
|
|||||||
|
<?xml version="1.0" encoding="UTF-8" ?>
|
||||||
|
<webTalkData fileName='usage_statistics_ext_xsim.xml' majorVersion='1' minorVersion='0' timeStamp='Sat Feb 16 14:04:30 2019'>
|
||||||
|
<section name="__ROOT__" level="0" order="1" description="">
|
||||||
|
<section name="software_version_and_target_device" level="1" order="1" description="">
|
||||||
|
<keyValuePair key="beta" value="FALSE" description="" />
|
||||||
|
<keyValuePair key="build_version" value="2405991" description="" />
|
||||||
|
<keyValuePair key="date_generated" value="Sat Feb 16 14:04:29 2019" description="" />
|
||||||
|
<keyValuePair key="os_platform" value="WIN64" description="" />
|
||||||
|
<keyValuePair key="product_version" value="XSIM v2018.3 (64-bit)" description="" />
|
||||||
|
<keyValuePair key="project_id" value="0a5803efda44405bb28bbf43ba22e808" description="" />
|
||||||
|
<keyValuePair key="project_iteration" value="2" description="" />
|
||||||
|
<keyValuePair key="random_id" value="4e917e26-7591-4435-9135-15bd446b0238" description="" />
|
||||||
|
<keyValuePair key="registration_id" value="174150793_174150794_210688225_140" description="" />
|
||||||
|
<keyValuePair key="route_design" value="FALSE" description="" />
|
||||||
|
<keyValuePair key="target_device" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_family" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_package" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_speed" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="tool_flow" value="xsim_vivado" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="user_environment" level="1" order="2" description="">
|
||||||
|
<keyValuePair key="cpu_name" value="Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" description="" />
|
||||||
|
<keyValuePair key="cpu_speed" value="3492 MHz" description="" />
|
||||||
|
<keyValuePair key="os_name" value="Microsoft Windows 8 or later , 64-bit" description="" />
|
||||||
|
<keyValuePair key="os_release" value="major release (build 9200)" description="" />
|
||||||
|
<keyValuePair key="system_ram" value="34.000 GB" description="" />
|
||||||
|
<keyValuePair key="total_processors" value="1" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="vivado_usage" level="1" order="3" description="">
|
||||||
|
</section>
|
||||||
|
<section name="xsim" level="1" order="4" description="">
|
||||||
|
<section name="command_line_options" level="2" order="1" description="">
|
||||||
|
<keyValuePair key="command" value="xsim" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="usage" level="2" order="2" description="">
|
||||||
|
<keyValuePair key="iteration" value="0" description="" />
|
||||||
|
<keyValuePair key="runtime" value="1 us" description="" />
|
||||||
|
<keyValuePair key="simulation_memory" value="6700_KB" description="" />
|
||||||
|
<keyValuePair key="simulation_time" value="0.11_sec" description="" />
|
||||||
|
<keyValuePair key="trace_waveform" value="true" description="" />
|
||||||
|
</section>
|
||||||
|
</section>
|
||||||
|
</section>
|
||||||
|
</webTalkData>
|
||||||
BIN
lab2CA.sim/sim_1/behav/xsim/xsim.dir/ALU_behav/xsim.mem
Normal file
BIN
lab2CA.sim/sim_1/behav/xsim/xsim.dir/ALU_behav/xsim.mem
Normal file
Binary file not shown.
@@ -0,0 +1 @@
|
|||||||
|
-wto "0a5803efda44405bb28bbf43ba22e808" --incr --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" --snapshot "add1bit_tb_behav" "xil_defaultlib.add1bit_tb" "xil_defaultlib.glbl" -log "elaborate.log"
|
||||||
@@ -0,0 +1 @@
|
|||||||
|
Breakpoint File Version 1.0
|
||||||
@@ -0,0 +1,109 @@
|
|||||||
|
/**********************************************************************/
|
||||||
|
/* ____ ____ */
|
||||||
|
/* / /\/ / */
|
||||||
|
/* /___/ \ / */
|
||||||
|
/* \ \ \/ */
|
||||||
|
/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */
|
||||||
|
/* / / All Right Reserved. */
|
||||||
|
/* /---/ /\ */
|
||||||
|
/* \ \ / \ */
|
||||||
|
/* \___\/\___\ */
|
||||||
|
/**********************************************************************/
|
||||||
|
|
||||||
|
|
||||||
|
#include "iki.h"
|
||||||
|
#include <string.h>
|
||||||
|
#include <math.h>
|
||||||
|
#ifdef __GNUC__
|
||||||
|
#include <stdlib.h>
|
||||||
|
#else
|
||||||
|
#include <malloc.h>
|
||||||
|
#define alloca _alloca
|
||||||
|
#endif
|
||||||
|
/**********************************************************************/
|
||||||
|
/* ____ ____ */
|
||||||
|
/* / /\/ / */
|
||||||
|
/* /___/ \ / */
|
||||||
|
/* \ \ \/ */
|
||||||
|
/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */
|
||||||
|
/* / / All Right Reserved. */
|
||||||
|
/* /---/ /\ */
|
||||||
|
/* \ \ / \ */
|
||||||
|
/* \___\/\___\ */
|
||||||
|
/**********************************************************************/
|
||||||
|
|
||||||
|
|
||||||
|
#include "iki.h"
|
||||||
|
#include <string.h>
|
||||||
|
#include <math.h>
|
||||||
|
#ifdef __GNUC__
|
||||||
|
#include <stdlib.h>
|
||||||
|
#else
|
||||||
|
#include <malloc.h>
|
||||||
|
#define alloca _alloca
|
||||||
|
#endif
|
||||||
|
typedef void (*funcp)(char *, char *);
|
||||||
|
extern int main(int, char**);
|
||||||
|
extern void execute_3(char*, char *);
|
||||||
|
extern void execute_10(char*, char *);
|
||||||
|
extern void execute_11(char*, char *);
|
||||||
|
extern void execute_12(char*, char *);
|
||||||
|
extern void execute_8(char*, char *);
|
||||||
|
extern void execute_9(char*, char *);
|
||||||
|
extern void execute_5(char*, char *);
|
||||||
|
extern void execute_6(char*, char *);
|
||||||
|
extern void execute_7(char*, char *);
|
||||||
|
extern void execute_13(char*, char *);
|
||||||
|
extern void execute_14(char*, char *);
|
||||||
|
extern void execute_15(char*, char *);
|
||||||
|
extern void execute_16(char*, char *);
|
||||||
|
extern void execute_17(char*, char *);
|
||||||
|
extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
|
||||||
|
funcp funcTab[15] = {(funcp)execute_3, (funcp)execute_10, (funcp)execute_11, (funcp)execute_12, (funcp)execute_8, (funcp)execute_9, (funcp)execute_5, (funcp)execute_6, (funcp)execute_7, (funcp)execute_13, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_17, (funcp)vlog_transfunc_eventcallback};
|
||||||
|
const int NumRelocateId= 15;
|
||||||
|
|
||||||
|
void relocate(char *dp)
|
||||||
|
{
|
||||||
|
iki_relocate(dp, "xsim.dir/add1bit_tb_behav/xsim.reloc", (void **)funcTab, 15);
|
||||||
|
|
||||||
|
/*Populate the transaction function pointer field in the whole net structure */
|
||||||
|
}
|
||||||
|
|
||||||
|
void sensitize(char *dp)
|
||||||
|
{
|
||||||
|
iki_sensitize(dp, "xsim.dir/add1bit_tb_behav/xsim.reloc");
|
||||||
|
}
|
||||||
|
|
||||||
|
void simulate(char *dp)
|
||||||
|
{
|
||||||
|
iki_schedule_processes_at_time_zero(dp, "xsim.dir/add1bit_tb_behav/xsim.reloc");
|
||||||
|
// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
|
||||||
|
iki_execute_processes();
|
||||||
|
|
||||||
|
// Schedule resolution functions for the multiply driven Verilog nets that have strength
|
||||||
|
// Schedule transaction functions for the singly driven Verilog nets that have strength
|
||||||
|
|
||||||
|
}
|
||||||
|
#include "iki_bridge.h"
|
||||||
|
void relocate(char *);
|
||||||
|
|
||||||
|
void sensitize(char *);
|
||||||
|
|
||||||
|
void simulate(char *);
|
||||||
|
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*);
|
||||||
|
extern void implicit_HDL_SCinstatiate();
|
||||||
|
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ;
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ;
|
||||||
|
|
||||||
|
int main(int argc, char **argv)
|
||||||
|
{
|
||||||
|
iki_heap_initialize("ms", "isimmm", 0, 2147483648) ;
|
||||||
|
iki_set_sv_type_file_path_name("xsim.dir/add1bit_tb_behav/xsim.svtype");
|
||||||
|
iki_set_crvs_dump_file_path_name("xsim.dir/add1bit_tb_behav/xsim.crvsdump");
|
||||||
|
void* design_handle = iki_create_design("xsim.dir/add1bit_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv);
|
||||||
|
iki_set_rc_trial_count(100);
|
||||||
|
(void) design_handle;
|
||||||
|
return iki_simulate_design();
|
||||||
|
}
|
||||||
@@ -0,0 +1,44 @@
|
|||||||
|
<?xml version="1.0" encoding="UTF-8" ?>
|
||||||
|
<webTalkData fileName='usage_statistics_ext_xsim.xml' majorVersion='1' minorVersion='0' timeStamp='Sat Feb 16 14:04:20 2019'>
|
||||||
|
<section name="__ROOT__" level="0" order="1" description="">
|
||||||
|
<section name="software_version_and_target_device" level="1" order="1" description="">
|
||||||
|
<keyValuePair key="beta" value="FALSE" description="" />
|
||||||
|
<keyValuePair key="build_version" value="2405991" description="" />
|
||||||
|
<keyValuePair key="date_generated" value="Sat Feb 16 14:04:18 2019" description="" />
|
||||||
|
<keyValuePair key="os_platform" value="WIN64" description="" />
|
||||||
|
<keyValuePair key="product_version" value="XSIM v2018.3 (64-bit)" description="" />
|
||||||
|
<keyValuePair key="project_id" value="0a5803efda44405bb28bbf43ba22e808" description="" />
|
||||||
|
<keyValuePair key="project_iteration" value="2" description="" />
|
||||||
|
<keyValuePair key="random_id" value="4e917e26-7591-4435-9135-15bd446b0238" description="" />
|
||||||
|
<keyValuePair key="registration_id" value="174150793_174150794_210688225_140" description="" />
|
||||||
|
<keyValuePair key="route_design" value="FALSE" description="" />
|
||||||
|
<keyValuePair key="target_device" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_family" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_package" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_speed" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="tool_flow" value="xsim_vivado" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="user_environment" level="1" order="2" description="">
|
||||||
|
<keyValuePair key="cpu_name" value="Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" description="" />
|
||||||
|
<keyValuePair key="cpu_speed" value="3492 MHz" description="" />
|
||||||
|
<keyValuePair key="os_name" value="Microsoft Windows 8 or later , 64-bit" description="" />
|
||||||
|
<keyValuePair key="os_release" value="major release (build 9200)" description="" />
|
||||||
|
<keyValuePair key="system_ram" value="34.000 GB" description="" />
|
||||||
|
<keyValuePair key="total_processors" value="1" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="vivado_usage" level="1" order="3" description="">
|
||||||
|
</section>
|
||||||
|
<section name="xsim" level="1" order="4" description="">
|
||||||
|
<section name="command_line_options" level="2" order="1" description="">
|
||||||
|
<keyValuePair key="command" value="xsim" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="usage" level="2" order="2" description="">
|
||||||
|
<keyValuePair key="iteration" value="0" description="" />
|
||||||
|
<keyValuePair key="runtime" value="1 us" description="" />
|
||||||
|
<keyValuePair key="simulation_memory" value="6624_KB" description="" />
|
||||||
|
<keyValuePair key="simulation_time" value="0.23_sec" description="" />
|
||||||
|
<keyValuePair key="trace_waveform" value="true" description="" />
|
||||||
|
</section>
|
||||||
|
</section>
|
||||||
|
</section>
|
||||||
|
</webTalkData>
|
||||||
BIN
lab2CA.sim/sim_1/behav/xsim/xsim.dir/add1bit_tb_behav/xsim.mem
Normal file
BIN
lab2CA.sim/sim_1/behav/xsim/xsim.dir/add1bit_tb_behav/xsim.mem
Normal file
Binary file not shown.
@@ -0,0 +1 @@
|
|||||||
|
-wto "0a5803efda44405bb28bbf43ba22e808" --incr --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" --snapshot "add9bit_tb_behav" "xil_defaultlib.add9bit_tb" "xil_defaultlib.glbl" -log "elaborate.log"
|
||||||
@@ -0,0 +1 @@
|
|||||||
|
Breakpoint File Version 1.0
|
||||||
@@ -0,0 +1,109 @@
|
|||||||
|
/**********************************************************************/
|
||||||
|
/* ____ ____ */
|
||||||
|
/* / /\/ / */
|
||||||
|
/* /___/ \ / */
|
||||||
|
/* \ \ \/ */
|
||||||
|
/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */
|
||||||
|
/* / / All Right Reserved. */
|
||||||
|
/* /---/ /\ */
|
||||||
|
/* \ \ / \ */
|
||||||
|
/* \___\/\___\ */
|
||||||
|
/**********************************************************************/
|
||||||
|
|
||||||
|
|
||||||
|
#include "iki.h"
|
||||||
|
#include <string.h>
|
||||||
|
#include <math.h>
|
||||||
|
#ifdef __GNUC__
|
||||||
|
#include <stdlib.h>
|
||||||
|
#else
|
||||||
|
#include <malloc.h>
|
||||||
|
#define alloca _alloca
|
||||||
|
#endif
|
||||||
|
/**********************************************************************/
|
||||||
|
/* ____ ____ */
|
||||||
|
/* / /\/ / */
|
||||||
|
/* /___/ \ / */
|
||||||
|
/* \ \ \/ */
|
||||||
|
/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */
|
||||||
|
/* / / All Right Reserved. */
|
||||||
|
/* /---/ /\ */
|
||||||
|
/* \ \ / \ */
|
||||||
|
/* \___\/\___\ */
|
||||||
|
/**********************************************************************/
|
||||||
|
|
||||||
|
|
||||||
|
#include "iki.h"
|
||||||
|
#include <string.h>
|
||||||
|
#include <math.h>
|
||||||
|
#ifdef __GNUC__
|
||||||
|
#include <stdlib.h>
|
||||||
|
#else
|
||||||
|
#include <malloc.h>
|
||||||
|
#define alloca _alloca
|
||||||
|
#endif
|
||||||
|
typedef void (*funcp)(char *, char *);
|
||||||
|
extern int main(int, char**);
|
||||||
|
extern void execute_12(char*, char *);
|
||||||
|
extern void execute_35(char*, char *);
|
||||||
|
extern void execute_36(char*, char *);
|
||||||
|
extern void execute_37(char*, char *);
|
||||||
|
extern void execute_17(char*, char *);
|
||||||
|
extern void execute_18(char*, char *);
|
||||||
|
extern void execute_14(char*, char *);
|
||||||
|
extern void execute_15(char*, char *);
|
||||||
|
extern void execute_16(char*, char *);
|
||||||
|
extern void execute_38(char*, char *);
|
||||||
|
extern void execute_39(char*, char *);
|
||||||
|
extern void execute_40(char*, char *);
|
||||||
|
extern void execute_41(char*, char *);
|
||||||
|
extern void execute_42(char*, char *);
|
||||||
|
extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
|
||||||
|
funcp funcTab[15] = {(funcp)execute_12, (funcp)execute_35, (funcp)execute_36, (funcp)execute_37, (funcp)execute_17, (funcp)execute_18, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_41, (funcp)execute_42, (funcp)vlog_transfunc_eventcallback};
|
||||||
|
const int NumRelocateId= 15;
|
||||||
|
|
||||||
|
void relocate(char *dp)
|
||||||
|
{
|
||||||
|
iki_relocate(dp, "xsim.dir/add9bit_tb_behav/xsim.reloc", (void **)funcTab, 15);
|
||||||
|
|
||||||
|
/*Populate the transaction function pointer field in the whole net structure */
|
||||||
|
}
|
||||||
|
|
||||||
|
void sensitize(char *dp)
|
||||||
|
{
|
||||||
|
iki_sensitize(dp, "xsim.dir/add9bit_tb_behav/xsim.reloc");
|
||||||
|
}
|
||||||
|
|
||||||
|
void simulate(char *dp)
|
||||||
|
{
|
||||||
|
iki_schedule_processes_at_time_zero(dp, "xsim.dir/add9bit_tb_behav/xsim.reloc");
|
||||||
|
// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
|
||||||
|
iki_execute_processes();
|
||||||
|
|
||||||
|
// Schedule resolution functions for the multiply driven Verilog nets that have strength
|
||||||
|
// Schedule transaction functions for the singly driven Verilog nets that have strength
|
||||||
|
|
||||||
|
}
|
||||||
|
#include "iki_bridge.h"
|
||||||
|
void relocate(char *);
|
||||||
|
|
||||||
|
void sensitize(char *);
|
||||||
|
|
||||||
|
void simulate(char *);
|
||||||
|
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*);
|
||||||
|
extern void implicit_HDL_SCinstatiate();
|
||||||
|
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ;
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ;
|
||||||
|
|
||||||
|
int main(int argc, char **argv)
|
||||||
|
{
|
||||||
|
iki_heap_initialize("ms", "isimmm", 0, 2147483648) ;
|
||||||
|
iki_set_sv_type_file_path_name("xsim.dir/add9bit_tb_behav/xsim.svtype");
|
||||||
|
iki_set_crvs_dump_file_path_name("xsim.dir/add9bit_tb_behav/xsim.crvsdump");
|
||||||
|
void* design_handle = iki_create_design("xsim.dir/add9bit_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv);
|
||||||
|
iki_set_rc_trial_count(100);
|
||||||
|
(void) design_handle;
|
||||||
|
return iki_simulate_design();
|
||||||
|
}
|
||||||
@@ -0,0 +1,44 @@
|
|||||||
|
<?xml version="1.0" encoding="UTF-8" ?>
|
||||||
|
<webTalkData fileName='usage_statistics_ext_xsim.xml' majorVersion='1' minorVersion='0' timeStamp='Sat Feb 16 14:04:46 2019'>
|
||||||
|
<section name="__ROOT__" level="0" order="1" description="">
|
||||||
|
<section name="software_version_and_target_device" level="1" order="1" description="">
|
||||||
|
<keyValuePair key="beta" value="FALSE" description="" />
|
||||||
|
<keyValuePair key="build_version" value="2405991" description="" />
|
||||||
|
<keyValuePair key="date_generated" value="Sat Feb 16 14:04:45 2019" description="" />
|
||||||
|
<keyValuePair key="os_platform" value="WIN64" description="" />
|
||||||
|
<keyValuePair key="product_version" value="XSIM v2018.3 (64-bit)" description="" />
|
||||||
|
<keyValuePair key="project_id" value="0a5803efda44405bb28bbf43ba22e808" description="" />
|
||||||
|
<keyValuePair key="project_iteration" value="2" description="" />
|
||||||
|
<keyValuePair key="random_id" value="4e917e26-7591-4435-9135-15bd446b0238" description="" />
|
||||||
|
<keyValuePair key="registration_id" value="174150793_174150794_210688225_140" description="" />
|
||||||
|
<keyValuePair key="route_design" value="FALSE" description="" />
|
||||||
|
<keyValuePair key="target_device" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_family" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_package" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_speed" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="tool_flow" value="xsim_vivado" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="user_environment" level="1" order="2" description="">
|
||||||
|
<keyValuePair key="cpu_name" value="Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" description="" />
|
||||||
|
<keyValuePair key="cpu_speed" value="3492 MHz" description="" />
|
||||||
|
<keyValuePair key="os_name" value="Microsoft Windows 8 or later , 64-bit" description="" />
|
||||||
|
<keyValuePair key="os_release" value="major release (build 9200)" description="" />
|
||||||
|
<keyValuePair key="system_ram" value="34.000 GB" description="" />
|
||||||
|
<keyValuePair key="total_processors" value="1" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="vivado_usage" level="1" order="3" description="">
|
||||||
|
</section>
|
||||||
|
<section name="xsim" level="1" order="4" description="">
|
||||||
|
<section name="command_line_options" level="2" order="1" description="">
|
||||||
|
<keyValuePair key="command" value="xsim" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="usage" level="2" order="2" description="">
|
||||||
|
<keyValuePair key="iteration" value="0" description="" />
|
||||||
|
<keyValuePair key="runtime" value="1 us" description="" />
|
||||||
|
<keyValuePair key="simulation_memory" value="6616_KB" description="" />
|
||||||
|
<keyValuePair key="simulation_time" value="0.08_sec" description="" />
|
||||||
|
<keyValuePair key="trace_waveform" value="true" description="" />
|
||||||
|
</section>
|
||||||
|
</section>
|
||||||
|
</section>
|
||||||
|
</webTalkData>
|
||||||
BIN
lab2CA.sim/sim_1/behav/xsim/xsim.dir/add9bit_tb_behav/xsim.mem
Normal file
BIN
lab2CA.sim/sim_1/behav/xsim/xsim.dir/add9bit_tb_behav/xsim.mem
Normal file
Binary file not shown.
@@ -0,0 +1 @@
|
|||||||
|
-wto "0a5803efda44405bb28bbf43ba22e808" --incr --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" --snapshot "and1bit_tb_behav" "xil_defaultlib.and1bit_tb" "xil_defaultlib.glbl" -log "elaborate.log"
|
||||||
@@ -0,0 +1 @@
|
|||||||
|
Breakpoint File Version 1.0
|
||||||
@@ -0,0 +1,107 @@
|
|||||||
|
/**********************************************************************/
|
||||||
|
/* ____ ____ */
|
||||||
|
/* / /\/ / */
|
||||||
|
/* /___/ \ / */
|
||||||
|
/* \ \ \/ */
|
||||||
|
/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */
|
||||||
|
/* / / All Right Reserved. */
|
||||||
|
/* /---/ /\ */
|
||||||
|
/* \ \ / \ */
|
||||||
|
/* \___\/\___\ */
|
||||||
|
/**********************************************************************/
|
||||||
|
|
||||||
|
|
||||||
|
#include "iki.h"
|
||||||
|
#include <string.h>
|
||||||
|
#include <math.h>
|
||||||
|
#ifdef __GNUC__
|
||||||
|
#include <stdlib.h>
|
||||||
|
#else
|
||||||
|
#include <malloc.h>
|
||||||
|
#define alloca _alloca
|
||||||
|
#endif
|
||||||
|
/**********************************************************************/
|
||||||
|
/* ____ ____ */
|
||||||
|
/* / /\/ / */
|
||||||
|
/* /___/ \ / */
|
||||||
|
/* \ \ \/ */
|
||||||
|
/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */
|
||||||
|
/* / / All Right Reserved. */
|
||||||
|
/* /---/ /\ */
|
||||||
|
/* \ \ / \ */
|
||||||
|
/* \___\/\___\ */
|
||||||
|
/**********************************************************************/
|
||||||
|
|
||||||
|
|
||||||
|
#include "iki.h"
|
||||||
|
#include <string.h>
|
||||||
|
#include <math.h>
|
||||||
|
#ifdef __GNUC__
|
||||||
|
#include <stdlib.h>
|
||||||
|
#else
|
||||||
|
#include <malloc.h>
|
||||||
|
#define alloca _alloca
|
||||||
|
#endif
|
||||||
|
typedef void (*funcp)(char *, char *);
|
||||||
|
extern int main(int, char**);
|
||||||
|
extern void execute_3(char*, char *);
|
||||||
|
extern void execute_9(char*, char *);
|
||||||
|
extern void execute_10(char*, char *);
|
||||||
|
extern void execute_8(char*, char *);
|
||||||
|
extern void execute_5(char*, char *);
|
||||||
|
extern void execute_6(char*, char *);
|
||||||
|
extern void execute_7(char*, char *);
|
||||||
|
extern void execute_11(char*, char *);
|
||||||
|
extern void execute_12(char*, char *);
|
||||||
|
extern void execute_13(char*, char *);
|
||||||
|
extern void execute_14(char*, char *);
|
||||||
|
extern void execute_15(char*, char *);
|
||||||
|
extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
|
||||||
|
funcp funcTab[13] = {(funcp)execute_3, (funcp)execute_9, (funcp)execute_10, (funcp)execute_8, (funcp)execute_5, (funcp)execute_6, (funcp)execute_7, (funcp)execute_11, (funcp)execute_12, (funcp)execute_13, (funcp)execute_14, (funcp)execute_15, (funcp)vlog_transfunc_eventcallback};
|
||||||
|
const int NumRelocateId= 13;
|
||||||
|
|
||||||
|
void relocate(char *dp)
|
||||||
|
{
|
||||||
|
iki_relocate(dp, "xsim.dir/and1bit_tb_behav/xsim.reloc", (void **)funcTab, 13);
|
||||||
|
|
||||||
|
/*Populate the transaction function pointer field in the whole net structure */
|
||||||
|
}
|
||||||
|
|
||||||
|
void sensitize(char *dp)
|
||||||
|
{
|
||||||
|
iki_sensitize(dp, "xsim.dir/and1bit_tb_behav/xsim.reloc");
|
||||||
|
}
|
||||||
|
|
||||||
|
void simulate(char *dp)
|
||||||
|
{
|
||||||
|
iki_schedule_processes_at_time_zero(dp, "xsim.dir/and1bit_tb_behav/xsim.reloc");
|
||||||
|
// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
|
||||||
|
iki_execute_processes();
|
||||||
|
|
||||||
|
// Schedule resolution functions for the multiply driven Verilog nets that have strength
|
||||||
|
// Schedule transaction functions for the singly driven Verilog nets that have strength
|
||||||
|
|
||||||
|
}
|
||||||
|
#include "iki_bridge.h"
|
||||||
|
void relocate(char *);
|
||||||
|
|
||||||
|
void sensitize(char *);
|
||||||
|
|
||||||
|
void simulate(char *);
|
||||||
|
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*);
|
||||||
|
extern void implicit_HDL_SCinstatiate();
|
||||||
|
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ;
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ;
|
||||||
|
|
||||||
|
int main(int argc, char **argv)
|
||||||
|
{
|
||||||
|
iki_heap_initialize("ms", "isimmm", 0, 2147483648) ;
|
||||||
|
iki_set_sv_type_file_path_name("xsim.dir/and1bit_tb_behav/xsim.svtype");
|
||||||
|
iki_set_crvs_dump_file_path_name("xsim.dir/and1bit_tb_behav/xsim.crvsdump");
|
||||||
|
void* design_handle = iki_create_design("xsim.dir/and1bit_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv);
|
||||||
|
iki_set_rc_trial_count(100);
|
||||||
|
(void) design_handle;
|
||||||
|
return iki_simulate_design();
|
||||||
|
}
|
||||||
@@ -0,0 +1,44 @@
|
|||||||
|
<?xml version="1.0" encoding="UTF-8" ?>
|
||||||
|
<webTalkData fileName='usage_statistics_ext_xsim.xml' majorVersion='1' minorVersion='0' timeStamp='Sat Feb 16 14:05:06 2019'>
|
||||||
|
<section name="__ROOT__" level="0" order="1" description="">
|
||||||
|
<section name="software_version_and_target_device" level="1" order="1" description="">
|
||||||
|
<keyValuePair key="beta" value="FALSE" description="" />
|
||||||
|
<keyValuePair key="build_version" value="2405991" description="" />
|
||||||
|
<keyValuePair key="date_generated" value="Sat Feb 16 14:05:05 2019" description="" />
|
||||||
|
<keyValuePair key="os_platform" value="WIN64" description="" />
|
||||||
|
<keyValuePair key="product_version" value="XSIM v2018.3 (64-bit)" description="" />
|
||||||
|
<keyValuePair key="project_id" value="0a5803efda44405bb28bbf43ba22e808" description="" />
|
||||||
|
<keyValuePair key="project_iteration" value="2" description="" />
|
||||||
|
<keyValuePair key="random_id" value="4e917e26-7591-4435-9135-15bd446b0238" description="" />
|
||||||
|
<keyValuePair key="registration_id" value="174150793_174150794_210688225_140" description="" />
|
||||||
|
<keyValuePair key="route_design" value="FALSE" description="" />
|
||||||
|
<keyValuePair key="target_device" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_family" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_package" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_speed" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="tool_flow" value="xsim_vivado" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="user_environment" level="1" order="2" description="">
|
||||||
|
<keyValuePair key="cpu_name" value="Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" description="" />
|
||||||
|
<keyValuePair key="cpu_speed" value="3492 MHz" description="" />
|
||||||
|
<keyValuePair key="os_name" value="Microsoft Windows 8 or later , 64-bit" description="" />
|
||||||
|
<keyValuePair key="os_release" value="major release (build 9200)" description="" />
|
||||||
|
<keyValuePair key="system_ram" value="34.000 GB" description="" />
|
||||||
|
<keyValuePair key="total_processors" value="1" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="vivado_usage" level="1" order="3" description="">
|
||||||
|
</section>
|
||||||
|
<section name="xsim" level="1" order="4" description="">
|
||||||
|
<section name="command_line_options" level="2" order="1" description="">
|
||||||
|
<keyValuePair key="command" value="xsim" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="usage" level="2" order="2" description="">
|
||||||
|
<keyValuePair key="iteration" value="0" description="" />
|
||||||
|
<keyValuePair key="runtime" value="20 ns" description="" />
|
||||||
|
<keyValuePair key="simulation_memory" value="6616_KB" description="" />
|
||||||
|
<keyValuePair key="simulation_time" value="0.23_sec" description="" />
|
||||||
|
<keyValuePair key="trace_waveform" value="true" description="" />
|
||||||
|
</section>
|
||||||
|
</section>
|
||||||
|
</section>
|
||||||
|
</webTalkData>
|
||||||
BIN
lab2CA.sim/sim_1/behav/xsim/xsim.dir/and1bit_tb_behav/xsim.mem
Normal file
BIN
lab2CA.sim/sim_1/behav/xsim/xsim.dir/and1bit_tb_behav/xsim.mem
Normal file
Binary file not shown.
@@ -0,0 +1 @@
|
|||||||
|
-wto "0a5803efda44405bb28bbf43ba22e808" --incr --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" --snapshot "and9bit_tb_behav" "xil_defaultlib.and9bit_tb" "xil_defaultlib.glbl" -log "elaborate.log"
|
||||||
@@ -0,0 +1 @@
|
|||||||
|
Breakpoint File Version 1.0
|
||||||
@@ -0,0 +1,107 @@
|
|||||||
|
/**********************************************************************/
|
||||||
|
/* ____ ____ */
|
||||||
|
/* / /\/ / */
|
||||||
|
/* /___/ \ / */
|
||||||
|
/* \ \ \/ */
|
||||||
|
/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */
|
||||||
|
/* / / All Right Reserved. */
|
||||||
|
/* /---/ /\ */
|
||||||
|
/* \ \ / \ */
|
||||||
|
/* \___\/\___\ */
|
||||||
|
/**********************************************************************/
|
||||||
|
|
||||||
|
|
||||||
|
#include "iki.h"
|
||||||
|
#include <string.h>
|
||||||
|
#include <math.h>
|
||||||
|
#ifdef __GNUC__
|
||||||
|
#include <stdlib.h>
|
||||||
|
#else
|
||||||
|
#include <malloc.h>
|
||||||
|
#define alloca _alloca
|
||||||
|
#endif
|
||||||
|
/**********************************************************************/
|
||||||
|
/* ____ ____ */
|
||||||
|
/* / /\/ / */
|
||||||
|
/* /___/ \ / */
|
||||||
|
/* \ \ \/ */
|
||||||
|
/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */
|
||||||
|
/* / / All Right Reserved. */
|
||||||
|
/* /---/ /\ */
|
||||||
|
/* \ \ / \ */
|
||||||
|
/* \___\/\___\ */
|
||||||
|
/**********************************************************************/
|
||||||
|
|
||||||
|
|
||||||
|
#include "iki.h"
|
||||||
|
#include <string.h>
|
||||||
|
#include <math.h>
|
||||||
|
#ifdef __GNUC__
|
||||||
|
#include <stdlib.h>
|
||||||
|
#else
|
||||||
|
#include <malloc.h>
|
||||||
|
#define alloca _alloca
|
||||||
|
#endif
|
||||||
|
typedef void (*funcp)(char *, char *);
|
||||||
|
extern int main(int, char**);
|
||||||
|
extern void execute_12(char*, char *);
|
||||||
|
extern void execute_26(char*, char *);
|
||||||
|
extern void execute_27(char*, char *);
|
||||||
|
extern void execute_17(char*, char *);
|
||||||
|
extern void execute_14(char*, char *);
|
||||||
|
extern void execute_15(char*, char *);
|
||||||
|
extern void execute_16(char*, char *);
|
||||||
|
extern void execute_28(char*, char *);
|
||||||
|
extern void execute_29(char*, char *);
|
||||||
|
extern void execute_30(char*, char *);
|
||||||
|
extern void execute_31(char*, char *);
|
||||||
|
extern void execute_32(char*, char *);
|
||||||
|
extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
|
||||||
|
funcp funcTab[13] = {(funcp)execute_12, (funcp)execute_26, (funcp)execute_27, (funcp)execute_17, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_28, (funcp)execute_29, (funcp)execute_30, (funcp)execute_31, (funcp)execute_32, (funcp)vlog_transfunc_eventcallback};
|
||||||
|
const int NumRelocateId= 13;
|
||||||
|
|
||||||
|
void relocate(char *dp)
|
||||||
|
{
|
||||||
|
iki_relocate(dp, "xsim.dir/and9bit_tb_behav/xsim.reloc", (void **)funcTab, 13);
|
||||||
|
|
||||||
|
/*Populate the transaction function pointer field in the whole net structure */
|
||||||
|
}
|
||||||
|
|
||||||
|
void sensitize(char *dp)
|
||||||
|
{
|
||||||
|
iki_sensitize(dp, "xsim.dir/and9bit_tb_behav/xsim.reloc");
|
||||||
|
}
|
||||||
|
|
||||||
|
void simulate(char *dp)
|
||||||
|
{
|
||||||
|
iki_schedule_processes_at_time_zero(dp, "xsim.dir/and9bit_tb_behav/xsim.reloc");
|
||||||
|
// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
|
||||||
|
iki_execute_processes();
|
||||||
|
|
||||||
|
// Schedule resolution functions for the multiply driven Verilog nets that have strength
|
||||||
|
// Schedule transaction functions for the singly driven Verilog nets that have strength
|
||||||
|
|
||||||
|
}
|
||||||
|
#include "iki_bridge.h"
|
||||||
|
void relocate(char *);
|
||||||
|
|
||||||
|
void sensitize(char *);
|
||||||
|
|
||||||
|
void simulate(char *);
|
||||||
|
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*);
|
||||||
|
extern void implicit_HDL_SCinstatiate();
|
||||||
|
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ;
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ;
|
||||||
|
|
||||||
|
int main(int argc, char **argv)
|
||||||
|
{
|
||||||
|
iki_heap_initialize("ms", "isimmm", 0, 2147483648) ;
|
||||||
|
iki_set_sv_type_file_path_name("xsim.dir/and9bit_tb_behav/xsim.svtype");
|
||||||
|
iki_set_crvs_dump_file_path_name("xsim.dir/and9bit_tb_behav/xsim.crvsdump");
|
||||||
|
void* design_handle = iki_create_design("xsim.dir/and9bit_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv);
|
||||||
|
iki_set_rc_trial_count(100);
|
||||||
|
(void) design_handle;
|
||||||
|
return iki_simulate_design();
|
||||||
|
}
|
||||||
@@ -0,0 +1,44 @@
|
|||||||
|
<?xml version="1.0" encoding="UTF-8" ?>
|
||||||
|
<webTalkData fileName='usage_statistics_ext_xsim.xml' majorVersion='1' minorVersion='0' timeStamp='Sat Feb 16 14:08:10 2019'>
|
||||||
|
<section name="__ROOT__" level="0" order="1" description="">
|
||||||
|
<section name="software_version_and_target_device" level="1" order="1" description="">
|
||||||
|
<keyValuePair key="beta" value="FALSE" description="" />
|
||||||
|
<keyValuePair key="build_version" value="2405991" description="" />
|
||||||
|
<keyValuePair key="date_generated" value="Sat Feb 16 14:08:09 2019" description="" />
|
||||||
|
<keyValuePair key="os_platform" value="WIN64" description="" />
|
||||||
|
<keyValuePair key="product_version" value="XSIM v2018.3 (64-bit)" description="" />
|
||||||
|
<keyValuePair key="project_id" value="0a5803efda44405bb28bbf43ba22e808" description="" />
|
||||||
|
<keyValuePair key="project_iteration" value="2" description="" />
|
||||||
|
<keyValuePair key="random_id" value="4e917e26-7591-4435-9135-15bd446b0238" description="" />
|
||||||
|
<keyValuePair key="registration_id" value="174150793_174150794_210688225_140" description="" />
|
||||||
|
<keyValuePair key="route_design" value="FALSE" description="" />
|
||||||
|
<keyValuePair key="target_device" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_family" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_package" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_speed" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="tool_flow" value="xsim_vivado" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="user_environment" level="1" order="2" description="">
|
||||||
|
<keyValuePair key="cpu_name" value="Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" description="" />
|
||||||
|
<keyValuePair key="cpu_speed" value="3492 MHz" description="" />
|
||||||
|
<keyValuePair key="os_name" value="Microsoft Windows 8 or later , 64-bit" description="" />
|
||||||
|
<keyValuePair key="os_release" value="major release (build 9200)" description="" />
|
||||||
|
<keyValuePair key="system_ram" value="34.000 GB" description="" />
|
||||||
|
<keyValuePair key="total_processors" value="1" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="vivado_usage" level="1" order="3" description="">
|
||||||
|
</section>
|
||||||
|
<section name="xsim" level="1" order="4" description="">
|
||||||
|
<section name="command_line_options" level="2" order="1" description="">
|
||||||
|
<keyValuePair key="command" value="xsim" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="usage" level="2" order="2" description="">
|
||||||
|
<keyValuePair key="iteration" value="0" description="" />
|
||||||
|
<keyValuePair key="runtime" value="30 ns" description="" />
|
||||||
|
<keyValuePair key="simulation_memory" value="6636_KB" description="" />
|
||||||
|
<keyValuePair key="simulation_time" value="0.09_sec" description="" />
|
||||||
|
<keyValuePair key="trace_waveform" value="true" description="" />
|
||||||
|
</section>
|
||||||
|
</section>
|
||||||
|
</section>
|
||||||
|
</webTalkData>
|
||||||
BIN
lab2CA.sim/sim_1/behav/xsim/xsim.dir/and9bit_tb_behav/xsim.mem
Normal file
BIN
lab2CA.sim/sim_1/behav/xsim/xsim.dir/and9bit_tb_behav/xsim.mem
Normal file
Binary file not shown.
@@ -0,0 +1 @@
|
|||||||
|
-wto "0a5803efda44405bb28bbf43ba22e808" --incr --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" --snapshot "mux_2_1_tb_behav" "xil_defaultlib.mux_2_1_tb" "xil_defaultlib.glbl" -log "elaborate.log"
|
||||||
@@ -0,0 +1 @@
|
|||||||
|
Breakpoint File Version 1.0
|
||||||
@@ -0,0 +1,109 @@
|
|||||||
|
/**********************************************************************/
|
||||||
|
/* ____ ____ */
|
||||||
|
/* / /\/ / */
|
||||||
|
/* /___/ \ / */
|
||||||
|
/* \ \ \/ */
|
||||||
|
/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */
|
||||||
|
/* / / All Right Reserved. */
|
||||||
|
/* /---/ /\ */
|
||||||
|
/* \ \ / \ */
|
||||||
|
/* \___\/\___\ */
|
||||||
|
/**********************************************************************/
|
||||||
|
|
||||||
|
|
||||||
|
#include "iki.h"
|
||||||
|
#include <string.h>
|
||||||
|
#include <math.h>
|
||||||
|
#ifdef __GNUC__
|
||||||
|
#include <stdlib.h>
|
||||||
|
#else
|
||||||
|
#include <malloc.h>
|
||||||
|
#define alloca _alloca
|
||||||
|
#endif
|
||||||
|
/**********************************************************************/
|
||||||
|
/* ____ ____ */
|
||||||
|
/* / /\/ / */
|
||||||
|
/* /___/ \ / */
|
||||||
|
/* \ \ \/ */
|
||||||
|
/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */
|
||||||
|
/* / / All Right Reserved. */
|
||||||
|
/* /---/ /\ */
|
||||||
|
/* \ \ / \ */
|
||||||
|
/* \___\/\___\ */
|
||||||
|
/**********************************************************************/
|
||||||
|
|
||||||
|
|
||||||
|
#include "iki.h"
|
||||||
|
#include <string.h>
|
||||||
|
#include <math.h>
|
||||||
|
#ifdef __GNUC__
|
||||||
|
#include <stdlib.h>
|
||||||
|
#else
|
||||||
|
#include <malloc.h>
|
||||||
|
#define alloca _alloca
|
||||||
|
#endif
|
||||||
|
typedef void (*funcp)(char *, char *);
|
||||||
|
extern int main(int, char**);
|
||||||
|
extern void execute_4(char*, char *);
|
||||||
|
extern void execute_9(char*, char *);
|
||||||
|
extern void execute_10(char*, char *);
|
||||||
|
extern void execute_11(char*, char *);
|
||||||
|
extern void execute_12(char*, char *);
|
||||||
|
extern void execute_3(char*, char *);
|
||||||
|
extern void execute_6(char*, char *);
|
||||||
|
extern void execute_7(char*, char *);
|
||||||
|
extern void execute_8(char*, char *);
|
||||||
|
extern void execute_13(char*, char *);
|
||||||
|
extern void execute_14(char*, char *);
|
||||||
|
extern void execute_15(char*, char *);
|
||||||
|
extern void execute_16(char*, char *);
|
||||||
|
extern void execute_17(char*, char *);
|
||||||
|
extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
|
||||||
|
funcp funcTab[15] = {(funcp)execute_4, (funcp)execute_9, (funcp)execute_10, (funcp)execute_11, (funcp)execute_12, (funcp)execute_3, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)execute_13, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_17, (funcp)vlog_transfunc_eventcallback};
|
||||||
|
const int NumRelocateId= 15;
|
||||||
|
|
||||||
|
void relocate(char *dp)
|
||||||
|
{
|
||||||
|
iki_relocate(dp, "xsim.dir/mux_2_1_tb_behav/xsim.reloc", (void **)funcTab, 15);
|
||||||
|
|
||||||
|
/*Populate the transaction function pointer field in the whole net structure */
|
||||||
|
}
|
||||||
|
|
||||||
|
void sensitize(char *dp)
|
||||||
|
{
|
||||||
|
iki_sensitize(dp, "xsim.dir/mux_2_1_tb_behav/xsim.reloc");
|
||||||
|
}
|
||||||
|
|
||||||
|
void simulate(char *dp)
|
||||||
|
{
|
||||||
|
iki_schedule_processes_at_time_zero(dp, "xsim.dir/mux_2_1_tb_behav/xsim.reloc");
|
||||||
|
// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
|
||||||
|
iki_execute_processes();
|
||||||
|
|
||||||
|
// Schedule resolution functions for the multiply driven Verilog nets that have strength
|
||||||
|
// Schedule transaction functions for the singly driven Verilog nets that have strength
|
||||||
|
|
||||||
|
}
|
||||||
|
#include "iki_bridge.h"
|
||||||
|
void relocate(char *);
|
||||||
|
|
||||||
|
void sensitize(char *);
|
||||||
|
|
||||||
|
void simulate(char *);
|
||||||
|
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*);
|
||||||
|
extern void implicit_HDL_SCinstatiate();
|
||||||
|
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ;
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ;
|
||||||
|
|
||||||
|
int main(int argc, char **argv)
|
||||||
|
{
|
||||||
|
iki_heap_initialize("ms", "isimmm", 0, 2147483648) ;
|
||||||
|
iki_set_sv_type_file_path_name("xsim.dir/mux_2_1_tb_behav/xsim.svtype");
|
||||||
|
iki_set_crvs_dump_file_path_name("xsim.dir/mux_2_1_tb_behav/xsim.crvsdump");
|
||||||
|
void* design_handle = iki_create_design("xsim.dir/mux_2_1_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv);
|
||||||
|
iki_set_rc_trial_count(100);
|
||||||
|
(void) design_handle;
|
||||||
|
return iki_simulate_design();
|
||||||
|
}
|
||||||
@@ -0,0 +1,44 @@
|
|||||||
|
<?xml version="1.0" encoding="UTF-8" ?>
|
||||||
|
<webTalkData fileName='usage_statistics_ext_xsim.xml' majorVersion='1' minorVersion='0' timeStamp='Sat Feb 16 14:16:48 2019'>
|
||||||
|
<section name="__ROOT__" level="0" order="1" description="">
|
||||||
|
<section name="software_version_and_target_device" level="1" order="1" description="">
|
||||||
|
<keyValuePair key="beta" value="FALSE" description="" />
|
||||||
|
<keyValuePair key="build_version" value="2405991" description="" />
|
||||||
|
<keyValuePair key="date_generated" value="Sat Feb 16 14:16:47 2019" description="" />
|
||||||
|
<keyValuePair key="os_platform" value="WIN64" description="" />
|
||||||
|
<keyValuePair key="product_version" value="XSIM v2018.3 (64-bit)" description="" />
|
||||||
|
<keyValuePair key="project_id" value="0a5803efda44405bb28bbf43ba22e808" description="" />
|
||||||
|
<keyValuePair key="project_iteration" value="2" description="" />
|
||||||
|
<keyValuePair key="random_id" value="4e917e26-7591-4435-9135-15bd446b0238" description="" />
|
||||||
|
<keyValuePair key="registration_id" value="174150793_174150794_210688225_140" description="" />
|
||||||
|
<keyValuePair key="route_design" value="FALSE" description="" />
|
||||||
|
<keyValuePair key="target_device" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_family" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_package" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_speed" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="tool_flow" value="xsim_vivado" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="user_environment" level="1" order="2" description="">
|
||||||
|
<keyValuePair key="cpu_name" value="Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" description="" />
|
||||||
|
<keyValuePair key="cpu_speed" value="3492 MHz" description="" />
|
||||||
|
<keyValuePair key="os_name" value="Microsoft Windows 8 or later , 64-bit" description="" />
|
||||||
|
<keyValuePair key="os_release" value="major release (build 9200)" description="" />
|
||||||
|
<keyValuePair key="system_ram" value="34.000 GB" description="" />
|
||||||
|
<keyValuePair key="total_processors" value="1" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="vivado_usage" level="1" order="3" description="">
|
||||||
|
</section>
|
||||||
|
<section name="xsim" level="1" order="4" description="">
|
||||||
|
<section name="command_line_options" level="2" order="1" description="">
|
||||||
|
<keyValuePair key="command" value="xsim" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="usage" level="2" order="2" description="">
|
||||||
|
<keyValuePair key="iteration" value="0" description="" />
|
||||||
|
<keyValuePair key="runtime" value="30 ns" description="" />
|
||||||
|
<keyValuePair key="simulation_memory" value="6604_KB" description="" />
|
||||||
|
<keyValuePair key="simulation_time" value="0.11_sec" description="" />
|
||||||
|
<keyValuePair key="trace_waveform" value="true" description="" />
|
||||||
|
</section>
|
||||||
|
</section>
|
||||||
|
</section>
|
||||||
|
</webTalkData>
|
||||||
@@ -0,0 +1,32 @@
|
|||||||
|
webtalk_init -webtalk_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/webtalk/
|
||||||
|
webtalk_register_client -client project
|
||||||
|
webtalk_add_data -client project -key date_generated -value "Sat Feb 16 15:07:50 2019" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key product_version -value "XSIM v2018.3 (64-bit)" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key build_version -value "2405991" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key os_platform -value "WIN64" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key registration_id -value "174150793_174150794_210688225_140" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key tool_flow -value "xsim_vivado" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key beta -value "FALSE" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key route_design -value "FALSE" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key target_family -value "not_applicable" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key target_device -value "not_applicable" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key target_package -value "not_applicable" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key random_id -value "4e917e26-7591-4435-9135-15bd446b0238" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key project_iteration -value "6" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key os_name -value "Microsoft Windows 8 or later , 64-bit" -context "user_environment"
|
||||||
|
webtalk_add_data -client project -key os_release -value "major release (build 9200)" -context "user_environment"
|
||||||
|
webtalk_add_data -client project -key cpu_name -value "Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" -context "user_environment"
|
||||||
|
webtalk_add_data -client project -key cpu_speed -value "3492 MHz" -context "user_environment"
|
||||||
|
webtalk_add_data -client project -key total_processors -value "1" -context "user_environment"
|
||||||
|
webtalk_add_data -client project -key system_ram -value "34.000 GB" -context "user_environment"
|
||||||
|
webtalk_register_client -client xsim
|
||||||
|
webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options"
|
||||||
|
webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage"
|
||||||
|
webtalk_add_data -client xsim -key runtime -value "30 ns" -context "xsim\\usage"
|
||||||
|
webtalk_add_data -client xsim -key iteration -value "0" -context "xsim\\usage"
|
||||||
|
webtalk_add_data -client xsim -key Simulation_Time -value "0.19_sec" -context "xsim\\usage"
|
||||||
|
webtalk_add_data -client xsim -key Simulation_Memory -value "6076_KB" -context "xsim\\usage"
|
||||||
|
webtalk_transmit -clientid 1598164678 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "<H3>XSIM Usage Report</H3><BR>"
|
||||||
|
webtalk_terminate
|
||||||
BIN
lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/xsim.mem
Normal file
BIN
lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_2_1_tb_behav/xsim.mem
Normal file
Binary file not shown.
@@ -0,0 +1 @@
|
|||||||
|
-wto "0a5803efda44405bb28bbf43ba22e808" --incr --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" --snapshot "mux_4_1_tb_behav" "xil_defaultlib.mux_4_1_tb" "xil_defaultlib.glbl" -log "elaborate.log"
|
||||||
@@ -0,0 +1 @@
|
|||||||
|
Breakpoint File Version 1.0
|
||||||
@@ -0,0 +1,111 @@
|
|||||||
|
/**********************************************************************/
|
||||||
|
/* ____ ____ */
|
||||||
|
/* / /\/ / */
|
||||||
|
/* /___/ \ / */
|
||||||
|
/* \ \ \/ */
|
||||||
|
/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */
|
||||||
|
/* / / All Right Reserved. */
|
||||||
|
/* /---/ /\ */
|
||||||
|
/* \ \ / \ */
|
||||||
|
/* \___\/\___\ */
|
||||||
|
/**********************************************************************/
|
||||||
|
|
||||||
|
|
||||||
|
#include "iki.h"
|
||||||
|
#include <string.h>
|
||||||
|
#include <math.h>
|
||||||
|
#ifdef __GNUC__
|
||||||
|
#include <stdlib.h>
|
||||||
|
#else
|
||||||
|
#include <malloc.h>
|
||||||
|
#define alloca _alloca
|
||||||
|
#endif
|
||||||
|
/**********************************************************************/
|
||||||
|
/* ____ ____ */
|
||||||
|
/* / /\/ / */
|
||||||
|
/* /___/ \ / */
|
||||||
|
/* \ \ \/ */
|
||||||
|
/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */
|
||||||
|
/* / / All Right Reserved. */
|
||||||
|
/* /---/ /\ */
|
||||||
|
/* \ \ / \ */
|
||||||
|
/* \___\/\___\ */
|
||||||
|
/**********************************************************************/
|
||||||
|
|
||||||
|
|
||||||
|
#include "iki.h"
|
||||||
|
#include <string.h>
|
||||||
|
#include <math.h>
|
||||||
|
#ifdef __GNUC__
|
||||||
|
#include <stdlib.h>
|
||||||
|
#else
|
||||||
|
#include <malloc.h>
|
||||||
|
#define alloca _alloca
|
||||||
|
#endif
|
||||||
|
typedef void (*funcp)(char *, char *);
|
||||||
|
extern int main(int, char**);
|
||||||
|
extern void execute_4(char*, char *);
|
||||||
|
extern void execute_9(char*, char *);
|
||||||
|
extern void execute_10(char*, char *);
|
||||||
|
extern void execute_11(char*, char *);
|
||||||
|
extern void execute_12(char*, char *);
|
||||||
|
extern void execute_13(char*, char *);
|
||||||
|
extern void execute_14(char*, char *);
|
||||||
|
extern void execute_3(char*, char *);
|
||||||
|
extern void execute_6(char*, char *);
|
||||||
|
extern void execute_7(char*, char *);
|
||||||
|
extern void execute_8(char*, char *);
|
||||||
|
extern void execute_15(char*, char *);
|
||||||
|
extern void execute_16(char*, char *);
|
||||||
|
extern void execute_17(char*, char *);
|
||||||
|
extern void execute_18(char*, char *);
|
||||||
|
extern void execute_19(char*, char *);
|
||||||
|
extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
|
||||||
|
funcp funcTab[17] = {(funcp)execute_4, (funcp)execute_9, (funcp)execute_10, (funcp)execute_11, (funcp)execute_12, (funcp)execute_13, (funcp)execute_14, (funcp)execute_3, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)execute_15, (funcp)execute_16, (funcp)execute_17, (funcp)execute_18, (funcp)execute_19, (funcp)vlog_transfunc_eventcallback};
|
||||||
|
const int NumRelocateId= 17;
|
||||||
|
|
||||||
|
void relocate(char *dp)
|
||||||
|
{
|
||||||
|
iki_relocate(dp, "xsim.dir/mux_4_1_tb_behav/xsim.reloc", (void **)funcTab, 17);
|
||||||
|
|
||||||
|
/*Populate the transaction function pointer field in the whole net structure */
|
||||||
|
}
|
||||||
|
|
||||||
|
void sensitize(char *dp)
|
||||||
|
{
|
||||||
|
iki_sensitize(dp, "xsim.dir/mux_4_1_tb_behav/xsim.reloc");
|
||||||
|
}
|
||||||
|
|
||||||
|
void simulate(char *dp)
|
||||||
|
{
|
||||||
|
iki_schedule_processes_at_time_zero(dp, "xsim.dir/mux_4_1_tb_behav/xsim.reloc");
|
||||||
|
// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
|
||||||
|
iki_execute_processes();
|
||||||
|
|
||||||
|
// Schedule resolution functions for the multiply driven Verilog nets that have strength
|
||||||
|
// Schedule transaction functions for the singly driven Verilog nets that have strength
|
||||||
|
|
||||||
|
}
|
||||||
|
#include "iki_bridge.h"
|
||||||
|
void relocate(char *);
|
||||||
|
|
||||||
|
void sensitize(char *);
|
||||||
|
|
||||||
|
void simulate(char *);
|
||||||
|
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*);
|
||||||
|
extern void implicit_HDL_SCinstatiate();
|
||||||
|
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ;
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ;
|
||||||
|
|
||||||
|
int main(int argc, char **argv)
|
||||||
|
{
|
||||||
|
iki_heap_initialize("ms", "isimmm", 0, 2147483648) ;
|
||||||
|
iki_set_sv_type_file_path_name("xsim.dir/mux_4_1_tb_behav/xsim.svtype");
|
||||||
|
iki_set_crvs_dump_file_path_name("xsim.dir/mux_4_1_tb_behav/xsim.crvsdump");
|
||||||
|
void* design_handle = iki_create_design("xsim.dir/mux_4_1_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv);
|
||||||
|
iki_set_rc_trial_count(100);
|
||||||
|
(void) design_handle;
|
||||||
|
return iki_simulate_design();
|
||||||
|
}
|
||||||
@@ -0,0 +1,44 @@
|
|||||||
|
<?xml version="1.0" encoding="UTF-8" ?>
|
||||||
|
<webTalkData fileName='usage_statistics_ext_xsim.xml' majorVersion='1' minorVersion='0' timeStamp='Sat Feb 16 14:28:31 2019'>
|
||||||
|
<section name="__ROOT__" level="0" order="1" description="">
|
||||||
|
<section name="software_version_and_target_device" level="1" order="1" description="">
|
||||||
|
<keyValuePair key="beta" value="FALSE" description="" />
|
||||||
|
<keyValuePair key="build_version" value="2405991" description="" />
|
||||||
|
<keyValuePair key="date_generated" value="Sat Feb 16 14:28:30 2019" description="" />
|
||||||
|
<keyValuePair key="os_platform" value="WIN64" description="" />
|
||||||
|
<keyValuePair key="product_version" value="XSIM v2018.3 (64-bit)" description="" />
|
||||||
|
<keyValuePair key="project_id" value="0a5803efda44405bb28bbf43ba22e808" description="" />
|
||||||
|
<keyValuePair key="project_iteration" value="2" description="" />
|
||||||
|
<keyValuePair key="random_id" value="4e917e26-7591-4435-9135-15bd446b0238" description="" />
|
||||||
|
<keyValuePair key="registration_id" value="174150793_174150794_210688225_140" description="" />
|
||||||
|
<keyValuePair key="route_design" value="FALSE" description="" />
|
||||||
|
<keyValuePair key="target_device" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_family" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_package" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_speed" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="tool_flow" value="xsim_vivado" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="user_environment" level="1" order="2" description="">
|
||||||
|
<keyValuePair key="cpu_name" value="Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" description="" />
|
||||||
|
<keyValuePair key="cpu_speed" value="3492 MHz" description="" />
|
||||||
|
<keyValuePair key="os_name" value="Microsoft Windows 8 or later , 64-bit" description="" />
|
||||||
|
<keyValuePair key="os_release" value="major release (build 9200)" description="" />
|
||||||
|
<keyValuePair key="system_ram" value="34.000 GB" description="" />
|
||||||
|
<keyValuePair key="total_processors" value="1" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="vivado_usage" level="1" order="3" description="">
|
||||||
|
</section>
|
||||||
|
<section name="xsim" level="1" order="4" description="">
|
||||||
|
<section name="command_line_options" level="2" order="1" description="">
|
||||||
|
<keyValuePair key="command" value="xsim" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="usage" level="2" order="2" description="">
|
||||||
|
<keyValuePair key="iteration" value="0" description="" />
|
||||||
|
<keyValuePair key="runtime" value="20 ns" description="" />
|
||||||
|
<keyValuePair key="simulation_memory" value="6620_KB" description="" />
|
||||||
|
<keyValuePair key="simulation_time" value="0.08_sec" description="" />
|
||||||
|
<keyValuePair key="trace_waveform" value="true" description="" />
|
||||||
|
</section>
|
||||||
|
</section>
|
||||||
|
</section>
|
||||||
|
</webTalkData>
|
||||||
@@ -0,0 +1,32 @@
|
|||||||
|
webtalk_init -webtalk_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/webtalk/
|
||||||
|
webtalk_register_client -client project
|
||||||
|
webtalk_add_data -client project -key date_generated -value "Sat Feb 16 15:07:46 2019" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key product_version -value "XSIM v2018.3 (64-bit)" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key build_version -value "2405991" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key os_platform -value "WIN64" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key registration_id -value "174150793_174150794_210688225_140" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key tool_flow -value "xsim_vivado" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key beta -value "FALSE" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key route_design -value "FALSE" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key target_family -value "not_applicable" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key target_device -value "not_applicable" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key target_package -value "not_applicable" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key random_id -value "4e917e26-7591-4435-9135-15bd446b0238" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key project_iteration -value "4" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key os_name -value "Microsoft Windows 8 or later , 64-bit" -context "user_environment"
|
||||||
|
webtalk_add_data -client project -key os_release -value "major release (build 9200)" -context "user_environment"
|
||||||
|
webtalk_add_data -client project -key cpu_name -value "Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" -context "user_environment"
|
||||||
|
webtalk_add_data -client project -key cpu_speed -value "3492 MHz" -context "user_environment"
|
||||||
|
webtalk_add_data -client project -key total_processors -value "1" -context "user_environment"
|
||||||
|
webtalk_add_data -client project -key system_ram -value "34.000 GB" -context "user_environment"
|
||||||
|
webtalk_register_client -client xsim
|
||||||
|
webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options"
|
||||||
|
webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage"
|
||||||
|
webtalk_add_data -client xsim -key runtime -value "20 ns" -context "xsim\\usage"
|
||||||
|
webtalk_add_data -client xsim -key iteration -value "0" -context "xsim\\usage"
|
||||||
|
webtalk_add_data -client xsim -key Simulation_Time -value "0.23_sec" -context "xsim\\usage"
|
||||||
|
webtalk_add_data -client xsim -key Simulation_Memory -value "6108_KB" -context "xsim\\usage"
|
||||||
|
webtalk_transmit -clientid 2046978020 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "<H3>XSIM Usage Report</H3><BR>"
|
||||||
|
webtalk_terminate
|
||||||
BIN
lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/xsim.mem
Normal file
BIN
lab2CA.sim/sim_1/behav/xsim/xsim.dir/mux_4_1_tb_behav/xsim.mem
Normal file
Binary file not shown.
@@ -0,0 +1 @@
|
|||||||
|
-wto "0a5803efda44405bb28bbf43ba22e808" --incr --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" --snapshot "mux_8_1_tb_behav" "xil_defaultlib.mux_8_1_tb" "xil_defaultlib.glbl" -log "elaborate.log"
|
||||||
@@ -0,0 +1 @@
|
|||||||
|
Breakpoint File Version 1.0
|
||||||
@@ -0,0 +1,115 @@
|
|||||||
|
/**********************************************************************/
|
||||||
|
/* ____ ____ */
|
||||||
|
/* / /\/ / */
|
||||||
|
/* /___/ \ / */
|
||||||
|
/* \ \ \/ */
|
||||||
|
/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */
|
||||||
|
/* / / All Right Reserved. */
|
||||||
|
/* /---/ /\ */
|
||||||
|
/* \ \ / \ */
|
||||||
|
/* \___\/\___\ */
|
||||||
|
/**********************************************************************/
|
||||||
|
|
||||||
|
|
||||||
|
#include "iki.h"
|
||||||
|
#include <string.h>
|
||||||
|
#include <math.h>
|
||||||
|
#ifdef __GNUC__
|
||||||
|
#include <stdlib.h>
|
||||||
|
#else
|
||||||
|
#include <malloc.h>
|
||||||
|
#define alloca _alloca
|
||||||
|
#endif
|
||||||
|
/**********************************************************************/
|
||||||
|
/* ____ ____ */
|
||||||
|
/* / /\/ / */
|
||||||
|
/* /___/ \ / */
|
||||||
|
/* \ \ \/ */
|
||||||
|
/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */
|
||||||
|
/* / / All Right Reserved. */
|
||||||
|
/* /---/ /\ */
|
||||||
|
/* \ \ / \ */
|
||||||
|
/* \___\/\___\ */
|
||||||
|
/**********************************************************************/
|
||||||
|
|
||||||
|
|
||||||
|
#include "iki.h"
|
||||||
|
#include <string.h>
|
||||||
|
#include <math.h>
|
||||||
|
#ifdef __GNUC__
|
||||||
|
#include <stdlib.h>
|
||||||
|
#else
|
||||||
|
#include <malloc.h>
|
||||||
|
#define alloca _alloca
|
||||||
|
#endif
|
||||||
|
typedef void (*funcp)(char *, char *);
|
||||||
|
extern int main(int, char**);
|
||||||
|
extern void execute_4(char*, char *);
|
||||||
|
extern void execute_9(char*, char *);
|
||||||
|
extern void execute_10(char*, char *);
|
||||||
|
extern void execute_11(char*, char *);
|
||||||
|
extern void execute_12(char*, char *);
|
||||||
|
extern void execute_13(char*, char *);
|
||||||
|
extern void execute_14(char*, char *);
|
||||||
|
extern void execute_15(char*, char *);
|
||||||
|
extern void execute_16(char*, char *);
|
||||||
|
extern void execute_17(char*, char *);
|
||||||
|
extern void execute_18(char*, char *);
|
||||||
|
extern void execute_3(char*, char *);
|
||||||
|
extern void execute_6(char*, char *);
|
||||||
|
extern void execute_7(char*, char *);
|
||||||
|
extern void execute_8(char*, char *);
|
||||||
|
extern void execute_19(char*, char *);
|
||||||
|
extern void execute_20(char*, char *);
|
||||||
|
extern void execute_21(char*, char *);
|
||||||
|
extern void execute_22(char*, char *);
|
||||||
|
extern void execute_23(char*, char *);
|
||||||
|
extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
|
||||||
|
funcp funcTab[21] = {(funcp)execute_4, (funcp)execute_9, (funcp)execute_10, (funcp)execute_11, (funcp)execute_12, (funcp)execute_13, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_17, (funcp)execute_18, (funcp)execute_3, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)execute_19, (funcp)execute_20, (funcp)execute_21, (funcp)execute_22, (funcp)execute_23, (funcp)vlog_transfunc_eventcallback};
|
||||||
|
const int NumRelocateId= 21;
|
||||||
|
|
||||||
|
void relocate(char *dp)
|
||||||
|
{
|
||||||
|
iki_relocate(dp, "xsim.dir/mux_8_1_tb_behav/xsim.reloc", (void **)funcTab, 21);
|
||||||
|
|
||||||
|
/*Populate the transaction function pointer field in the whole net structure */
|
||||||
|
}
|
||||||
|
|
||||||
|
void sensitize(char *dp)
|
||||||
|
{
|
||||||
|
iki_sensitize(dp, "xsim.dir/mux_8_1_tb_behav/xsim.reloc");
|
||||||
|
}
|
||||||
|
|
||||||
|
void simulate(char *dp)
|
||||||
|
{
|
||||||
|
iki_schedule_processes_at_time_zero(dp, "xsim.dir/mux_8_1_tb_behav/xsim.reloc");
|
||||||
|
// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
|
||||||
|
iki_execute_processes();
|
||||||
|
|
||||||
|
// Schedule resolution functions for the multiply driven Verilog nets that have strength
|
||||||
|
// Schedule transaction functions for the singly driven Verilog nets that have strength
|
||||||
|
|
||||||
|
}
|
||||||
|
#include "iki_bridge.h"
|
||||||
|
void relocate(char *);
|
||||||
|
|
||||||
|
void sensitize(char *);
|
||||||
|
|
||||||
|
void simulate(char *);
|
||||||
|
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*);
|
||||||
|
extern void implicit_HDL_SCinstatiate();
|
||||||
|
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ;
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ;
|
||||||
|
|
||||||
|
int main(int argc, char **argv)
|
||||||
|
{
|
||||||
|
iki_heap_initialize("ms", "isimmm", 0, 2147483648) ;
|
||||||
|
iki_set_sv_type_file_path_name("xsim.dir/mux_8_1_tb_behav/xsim.svtype");
|
||||||
|
iki_set_crvs_dump_file_path_name("xsim.dir/mux_8_1_tb_behav/xsim.crvsdump");
|
||||||
|
void* design_handle = iki_create_design("xsim.dir/mux_8_1_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv);
|
||||||
|
iki_set_rc_trial_count(100);
|
||||||
|
(void) design_handle;
|
||||||
|
return iki_simulate_design();
|
||||||
|
}
|
||||||
Some files were not shown because too many files have changed in this diff Show More
Reference in New Issue
Block a user