Added Pipeline
This commit is contained in:
@@ -3,10 +3,10 @@
|
|||||||
<!--The data in this file is primarily intended for consumption by Xilinx tools.
|
<!--The data in this file is primarily intended for consumption by Xilinx tools.
|
||||||
The structure and the elements are likely to change over the next few releases.
|
The structure and the elements are likely to change over the next few releases.
|
||||||
This means code written to parse this file will need to be revisited each subsequent release.-->
|
This means code written to parse this file will need to be revisited each subsequent release.-->
|
||||||
<application name="pa" timeStamp="Sat Mar 30 15:58:21 2019">
|
<application name="pa" timeStamp="Sat Apr 6 17:51:16 2019">
|
||||||
<section name="Project Information" visible="false">
|
<section name="Project Information" visible="false">
|
||||||
<property name="ProjectID" value="88e779ed22f94d2db93b335d17c75f15" type="ProjectID"/>
|
<property name="ProjectID" value="88e779ed22f94d2db93b335d17c75f15" type="ProjectID"/>
|
||||||
<property name="ProjectIteration" value="25" type="ProjectIteration"/>
|
<property name="ProjectIteration" value="26" type="ProjectIteration"/>
|
||||||
</section>
|
</section>
|
||||||
<section name="PlanAhead Usage" visible="true">
|
<section name="PlanAhead Usage" visible="true">
|
||||||
<item name="Project Data">
|
<item name="Project Data">
|
||||||
@@ -17,40 +17,41 @@ This means code written to parse this file will need to be revisited each subseq
|
|||||||
<property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/>
|
<property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/>
|
||||||
</item>
|
</item>
|
||||||
<item name="Java Command Handlers">
|
<item name="Java Command Handlers">
|
||||||
<property name="CloseProject" value="19" type="JavaHandler"/>
|
<property name="AddSources" value="6" type="JavaHandler"/>
|
||||||
<property name="EditDelete" value="2" type="JavaHandler"/>
|
<property name="CloseProject" value="20" type="JavaHandler"/>
|
||||||
|
<property name="EditDelete" value="5" type="JavaHandler"/>
|
||||||
<property name="FlipToViewTaskRTLAnalysis" value="1" type="JavaHandler"/>
|
<property name="FlipToViewTaskRTLAnalysis" value="1" type="JavaHandler"/>
|
||||||
<property name="OpenDesign" value="1" type="JavaHandler"/>
|
<property name="OpenDesign" value="1" type="JavaHandler"/>
|
||||||
<property name="OpenFile" value="1" type="JavaHandler"/>
|
<property name="OpenFile" value="1" type="JavaHandler"/>
|
||||||
<property name="OpenProject" value="3" type="JavaHandler"/>
|
<property name="OpenProject" value="3" type="JavaHandler"/>
|
||||||
<property name="ReloadDesign" value="1" type="JavaHandler"/>
|
<property name="ReloadDesign" value="1" type="JavaHandler"/>
|
||||||
<property name="ReportTimingSummary" value="9" type="JavaHandler"/>
|
<property name="ReportTimingSummary" value="9" type="JavaHandler"/>
|
||||||
<property name="RunImplementation" value="30" type="JavaHandler"/>
|
<property name="RunImplementation" value="31" type="JavaHandler"/>
|
||||||
<property name="RunSchematic" value="30" type="JavaHandler"/>
|
<property name="RunSchematic" value="35" type="JavaHandler"/>
|
||||||
<property name="RunSynthesis" value="29" type="JavaHandler"/>
|
<property name="RunSynthesis" value="29" type="JavaHandler"/>
|
||||||
<property name="SaveFileProxyHandler" value="2" type="JavaHandler"/>
|
<property name="SaveFileProxyHandler" value="5" type="JavaHandler"/>
|
||||||
<property name="SaveLayoutAs" value="1" type="JavaHandler"/>
|
<property name="SaveLayoutAs" value="1" type="JavaHandler"/>
|
||||||
<property name="SetSourceEnabled" value="5" type="JavaHandler"/>
|
<property name="SetSourceEnabled" value="5" type="JavaHandler"/>
|
||||||
<property name="SetTopNode" value="42" type="JavaHandler"/>
|
<property name="SetTopNode" value="43" type="JavaHandler"/>
|
||||||
<property name="ShowSimulationDefaultWaveFormView" value="1" type="JavaHandler"/>
|
<property name="ShowSimulationDefaultWaveFormView" value="1" type="JavaHandler"/>
|
||||||
<property name="ShowSource" value="1" type="JavaHandler"/>
|
<property name="ShowSource" value="1" type="JavaHandler"/>
|
||||||
<property name="ShowView" value="18" type="JavaHandler"/>
|
<property name="ShowView" value="18" type="JavaHandler"/>
|
||||||
<property name="SimulationClose" value="6" type="JavaHandler"/>
|
<property name="SimulationClose" value="6" type="JavaHandler"/>
|
||||||
<property name="SimulationRelaunch" value="90" type="JavaHandler"/>
|
<property name="SimulationRelaunch" value="98" type="JavaHandler"/>
|
||||||
<property name="SimulationRun" value="95" type="JavaHandler"/>
|
<property name="SimulationRun" value="96" type="JavaHandler"/>
|
||||||
<property name="TclFind" value="6" type="JavaHandler"/>
|
<property name="TclFind" value="7" type="JavaHandler"/>
|
||||||
<property name="ToggleSelectAreaMode" value="2" type="JavaHandler"/>
|
<property name="ToggleSelectAreaMode" value="3" type="JavaHandler"/>
|
||||||
<property name="ToggleViewNavigator" value="1" type="JavaHandler"/>
|
<property name="ToggleViewNavigator" value="1" type="JavaHandler"/>
|
||||||
<property name="ToolsSettings" value="2" type="JavaHandler"/>
|
<property name="ToolsSettings" value="2" type="JavaHandler"/>
|
||||||
<property name="UpdateSourceFiles" value="1" type="JavaHandler"/>
|
<property name="UpdateSourceFiles" value="1" type="JavaHandler"/>
|
||||||
<property name="ViewLayoutCmd" value="2" type="JavaHandler"/>
|
<property name="ViewLayoutCmd" value="2" type="JavaHandler"/>
|
||||||
<property name="ViewTaskImplementation" value="4" type="JavaHandler"/>
|
<property name="ViewTaskImplementation" value="4" type="JavaHandler"/>
|
||||||
<property name="ViewTaskProjectManager" value="1" type="JavaHandler"/>
|
<property name="ViewTaskProjectManager" value="1" type="JavaHandler"/>
|
||||||
<property name="ViewTaskRTLAnalysis" value="15" type="JavaHandler"/>
|
<property name="ViewTaskRTLAnalysis" value="16" type="JavaHandler"/>
|
||||||
<property name="WaveformOpenConfiguration" value="1" type="JavaHandler"/>
|
<property name="WaveformOpenConfiguration" value="1" type="JavaHandler"/>
|
||||||
<property name="WaveformSaveConfiguration" value="9" type="JavaHandler"/>
|
<property name="WaveformSaveConfiguration" value="9" type="JavaHandler"/>
|
||||||
<property name="WaveformSaveConfigurationAs" value="1" type="JavaHandler"/>
|
<property name="WaveformSaveConfigurationAs" value="1" type="JavaHandler"/>
|
||||||
<property name="ZoomFit" value="10" type="JavaHandler"/>
|
<property name="ZoomFit" value="11" type="JavaHandler"/>
|
||||||
<property name="ZoomOut" value="3" type="JavaHandler"/>
|
<property name="ZoomOut" value="3" type="JavaHandler"/>
|
||||||
</item>
|
</item>
|
||||||
<item name="Gui Handlers">
|
<item name="Gui Handlers">
|
||||||
@@ -58,27 +59,28 @@ This means code written to parse this file will need to be revisited each subseq
|
|||||||
<property name="AbstractSearchablePanel_SHOW_SEARCH" value="2" type="GuiHandlerData"/>
|
<property name="AbstractSearchablePanel_SHOW_SEARCH" value="2" type="GuiHandlerData"/>
|
||||||
<property name="BaseDialogUtils_OPEN_IN_SPECIFIED_LAYOUT" value="1" type="GuiHandlerData"/>
|
<property name="BaseDialogUtils_OPEN_IN_SPECIFIED_LAYOUT" value="1" type="GuiHandlerData"/>
|
||||||
<property name="BaseDialog_APPLY" value="1" type="GuiHandlerData"/>
|
<property name="BaseDialog_APPLY" value="1" type="GuiHandlerData"/>
|
||||||
<property name="BaseDialog_CANCEL" value="31" type="GuiHandlerData"/>
|
<property name="BaseDialog_CANCEL" value="32" type="GuiHandlerData"/>
|
||||||
<property name="BaseDialog_OK" value="134" type="GuiHandlerData"/>
|
<property name="BaseDialog_OK" value="149" type="GuiHandlerData"/>
|
||||||
<property name="BaseDialog_YES" value="20" type="GuiHandlerData"/>
|
<property name="BaseDialog_YES" value="21" type="GuiHandlerData"/>
|
||||||
<property name="ClosePlanner_YES" value="1" type="GuiHandlerData"/>
|
<property name="ClosePlanner_YES" value="1" type="GuiHandlerData"/>
|
||||||
<property name="CmdMsgDialog_MESSAGES" value="2" type="GuiHandlerData"/>
|
<property name="CmdMsgDialog_MESSAGES" value="2" type="GuiHandlerData"/>
|
||||||
<property name="CmdMsgDialog_OK" value="13" type="GuiHandlerData"/>
|
<property name="CmdMsgDialog_OK" value="13" type="GuiHandlerData"/>
|
||||||
<property name="CmdMsgDialog_OPEN_MESSAGES_VIEW" value="2" type="GuiHandlerData"/>
|
<property name="CmdMsgDialog_OPEN_MESSAGES_VIEW" value="2" type="GuiHandlerData"/>
|
||||||
<property name="CodeView_TOGGLE_COLUMN_SELECTION_MODE" value="14" type="GuiHandlerData"/>
|
<property name="CodeView_TOGGLE_COLUMN_SELECTION_MODE" value="14" type="GuiHandlerData"/>
|
||||||
<property name="FileSetPanel_FILE_SET_PANEL_TREE" value="531" type="GuiHandlerData"/>
|
<property name="CreateSrcFileDialog_FILE_NAME" value="7" type="GuiHandlerData"/>
|
||||||
|
<property name="FileSetPanel_FILE_SET_PANEL_TREE" value="590" type="GuiHandlerData"/>
|
||||||
<property name="FloatingTopDialog_SELECT_TOP_MODULE_OF_YOUR_DESIGN" value="12" type="GuiHandlerData"/>
|
<property name="FloatingTopDialog_SELECT_TOP_MODULE_OF_YOUR_DESIGN" value="12" type="GuiHandlerData"/>
|
||||||
<property name="FloatingTopDialog_SPECIFY_NEW_TOP_MODULE" value="10" type="GuiHandlerData"/>
|
<property name="FloatingTopDialog_SPECIFY_NEW_TOP_MODULE" value="10" type="GuiHandlerData"/>
|
||||||
<property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="279" type="GuiHandlerData"/>
|
<property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="290" type="GuiHandlerData"/>
|
||||||
<property name="GettingStartedView_OPEN_PROJECT" value="2" type="GuiHandlerData"/>
|
<property name="GettingStartedView_OPEN_PROJECT" value="2" type="GuiHandlerData"/>
|
||||||
<property name="GraphicalView_ZOOM_FIT" value="67" type="GuiHandlerData"/>
|
<property name="GraphicalView_ZOOM_FIT" value="68" type="GuiHandlerData"/>
|
||||||
<property name="GraphicalView_ZOOM_IN" value="47" type="GuiHandlerData"/>
|
<property name="GraphicalView_ZOOM_IN" value="68" type="GuiHandlerData"/>
|
||||||
<property name="GraphicalView_ZOOM_OUT" value="35" type="GuiHandlerData"/>
|
<property name="GraphicalView_ZOOM_OUT" value="57" type="GuiHandlerData"/>
|
||||||
<property name="HCodeEditor_BLANK_OPERATIONS" value="6" type="GuiHandlerData"/>
|
<property name="HCodeEditor_BLANK_OPERATIONS" value="6" type="GuiHandlerData"/>
|
||||||
<property name="HCodeEditor_CLOSE" value="9" type="GuiHandlerData"/>
|
<property name="HCodeEditor_CLOSE" value="9" type="GuiHandlerData"/>
|
||||||
<property name="HCodeEditor_COMMANDS_TO_FOLD_TEXT" value="3" type="GuiHandlerData"/>
|
<property name="HCodeEditor_COMMANDS_TO_FOLD_TEXT" value="3" type="GuiHandlerData"/>
|
||||||
<property name="HCodeEditor_DIFF_WITH" value="3" type="GuiHandlerData"/>
|
<property name="HCodeEditor_DIFF_WITH" value="3" type="GuiHandlerData"/>
|
||||||
<property name="HCodeEditor_SEARCH_TEXT_COMBO_BOX" value="58" type="GuiHandlerData"/>
|
<property name="HCodeEditor_SEARCH_TEXT_COMBO_BOX" value="64" type="GuiHandlerData"/>
|
||||||
<property name="HInputHandler_INDENT_SELECTION" value="1" type="GuiHandlerData"/>
|
<property name="HInputHandler_INDENT_SELECTION" value="1" type="GuiHandlerData"/>
|
||||||
<property name="HInputHandler_TOGGLE_LINE_COMMENTS" value="15" type="GuiHandlerData"/>
|
<property name="HInputHandler_TOGGLE_LINE_COMMENTS" value="15" type="GuiHandlerData"/>
|
||||||
<property name="HPopupTitle_CLOSE" value="1" type="GuiHandlerData"/>
|
<property name="HPopupTitle_CLOSE" value="1" type="GuiHandlerData"/>
|
||||||
@@ -86,14 +88,14 @@ This means code written to parse this file will need to be revisited each subseq
|
|||||||
<property name="LaunchPanel_DONT_SHOW_THIS_DIALOG_AGAIN" value="1" type="GuiHandlerData"/>
|
<property name="LaunchPanel_DONT_SHOW_THIS_DIALOG_AGAIN" value="1" type="GuiHandlerData"/>
|
||||||
<property name="MainMenuMgr_CHECKPOINT" value="6" type="GuiHandlerData"/>
|
<property name="MainMenuMgr_CHECKPOINT" value="6" type="GuiHandlerData"/>
|
||||||
<property name="MainMenuMgr_CONSTRAINTS" value="2" type="GuiHandlerData"/>
|
<property name="MainMenuMgr_CONSTRAINTS" value="2" type="GuiHandlerData"/>
|
||||||
<property name="MainMenuMgr_EDIT" value="10" type="GuiHandlerData"/>
|
<property name="MainMenuMgr_EDIT" value="14" type="GuiHandlerData"/>
|
||||||
<property name="MainMenuMgr_EXPORT" value="5" type="GuiHandlerData"/>
|
<property name="MainMenuMgr_EXPORT" value="5" type="GuiHandlerData"/>
|
||||||
<property name="MainMenuMgr_FILE" value="60" type="GuiHandlerData"/>
|
<property name="MainMenuMgr_FILE" value="66" type="GuiHandlerData"/>
|
||||||
<property name="MainMenuMgr_FLOW" value="8" type="GuiHandlerData"/>
|
<property name="MainMenuMgr_FLOW" value="8" type="GuiHandlerData"/>
|
||||||
<property name="MainMenuMgr_IP" value="6" type="GuiHandlerData"/>
|
<property name="MainMenuMgr_IP" value="6" type="GuiHandlerData"/>
|
||||||
<property name="MainMenuMgr_OPEN_BLOCK_DESIGN" value="2" type="GuiHandlerData"/>
|
<property name="MainMenuMgr_OPEN_BLOCK_DESIGN" value="2" type="GuiHandlerData"/>
|
||||||
<property name="MainMenuMgr_OPEN_RECENT_PROJECT" value="2" type="GuiHandlerData"/>
|
<property name="MainMenuMgr_OPEN_RECENT_PROJECT" value="2" type="GuiHandlerData"/>
|
||||||
<property name="MainMenuMgr_PROJECT" value="30" type="GuiHandlerData"/>
|
<property name="MainMenuMgr_PROJECT" value="31" type="GuiHandlerData"/>
|
||||||
<property name="MainMenuMgr_REPORTS" value="4" type="GuiHandlerData"/>
|
<property name="MainMenuMgr_REPORTS" value="4" type="GuiHandlerData"/>
|
||||||
<property name="MainMenuMgr_RUN" value="2" type="GuiHandlerData"/>
|
<property name="MainMenuMgr_RUN" value="2" type="GuiHandlerData"/>
|
||||||
<property name="MainMenuMgr_SETTINGS" value="2" type="GuiHandlerData"/>
|
<property name="MainMenuMgr_SETTINGS" value="2" type="GuiHandlerData"/>
|
||||||
@@ -107,8 +109,9 @@ This means code written to parse this file will need to be revisited each subseq
|
|||||||
<property name="MainWinToolbarMgr_SELECT_OR_SAVE_WINDOW_LAYOUT" value="3" type="GuiHandlerData"/>
|
<property name="MainWinToolbarMgr_SELECT_OR_SAVE_WINDOW_LAYOUT" value="3" type="GuiHandlerData"/>
|
||||||
<property name="MessageWithOptionDialog_DONT_SHOW_THIS_DIALOG_AGAIN" value="6" type="GuiHandlerData"/>
|
<property name="MessageWithOptionDialog_DONT_SHOW_THIS_DIALOG_AGAIN" value="6" type="GuiHandlerData"/>
|
||||||
<property name="MsgTreePanel_MESSAGE_SEVERITY" value="2" type="GuiHandlerData"/>
|
<property name="MsgTreePanel_MESSAGE_SEVERITY" value="2" type="GuiHandlerData"/>
|
||||||
<property name="MsgTreePanel_MESSAGE_VIEW_TREE" value="153" type="GuiHandlerData"/>
|
<property name="MsgTreePanel_MESSAGE_VIEW_TREE" value="160" type="GuiHandlerData"/>
|
||||||
<property name="MsgView_CLEAR_MESSAGES_RESULTING_FROM_USER_EXECUTED" value="5" type="GuiHandlerData"/>
|
<property name="MsgView_CLEAR_MESSAGES_RESULTING_FROM_USER_EXECUTED" value="5" type="GuiHandlerData"/>
|
||||||
|
<property name="MsgView_INFORMATION_MESSAGES" value="1" type="GuiHandlerData"/>
|
||||||
<property name="MsgView_WARNING_MESSAGES" value="3" type="GuiHandlerData"/>
|
<property name="MsgView_WARNING_MESSAGES" value="3" type="GuiHandlerData"/>
|
||||||
<property name="NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE" value="117" type="GuiHandlerData"/>
|
<property name="NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE" value="117" type="GuiHandlerData"/>
|
||||||
<property name="NetlistSchMenuAndMouse_EXPAND_COLLAPSE" value="1" type="GuiHandlerData"/>
|
<property name="NetlistSchMenuAndMouse_EXPAND_COLLAPSE" value="1" type="GuiHandlerData"/>
|
||||||
@@ -117,21 +120,22 @@ This means code written to parse this file will need to be revisited each subseq
|
|||||||
<property name="NetlistTreeView_NETLIST_TREE" value="4" type="GuiHandlerData"/>
|
<property name="NetlistTreeView_NETLIST_TREE" value="4" type="GuiHandlerData"/>
|
||||||
<property name="OpenFileAction_CANCEL" value="2" type="GuiHandlerData"/>
|
<property name="OpenFileAction_CANCEL" value="2" type="GuiHandlerData"/>
|
||||||
<property name="OpenFileAction_OK" value="1" type="GuiHandlerData"/>
|
<property name="OpenFileAction_OK" value="1" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_AUTO_UPDATE_HIER" value="51" type="GuiHandlerData"/>
|
<property name="PACommandNames_ADD_SOURCES" value="6" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_CLOSE_PROJECT" value="18" type="GuiHandlerData"/>
|
<property name="PACommandNames_AUTO_UPDATE_HIER" value="52" type="GuiHandlerData"/>
|
||||||
|
<property name="PACommandNames_CLOSE_PROJECT" value="19" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_GOTO_INSTANTIATION" value="1" type="GuiHandlerData"/>
|
<property name="PACommandNames_GOTO_INSTANTIATION" value="1" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_MESSAGE_WINDOW" value="1" type="GuiHandlerData"/>
|
<property name="PACommandNames_MESSAGE_WINDOW" value="1" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_OPEN_PROJECT" value="1" type="GuiHandlerData"/>
|
<property name="PACommandNames_OPEN_PROJECT" value="1" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_OPEN_RTL_DESIGN" value="1" type="GuiHandlerData"/>
|
<property name="PACommandNames_OPEN_RTL_DESIGN" value="1" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_RELOAD_RTL_DESIGN" value="1" type="GuiHandlerData"/>
|
<property name="PACommandNames_RELOAD_RTL_DESIGN" value="1" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_SCHEMATIC" value="1" type="GuiHandlerData"/>
|
<property name="PACommandNames_SCHEMATIC" value="1" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_SELECT_AREA" value="2" type="GuiHandlerData"/>
|
<property name="PACommandNames_SELECT_AREA" value="3" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_SET_AS_TOP" value="43" type="GuiHandlerData"/>
|
<property name="PACommandNames_SET_AS_TOP" value="44" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_SIMULATION_CLOSE" value="1" type="GuiHandlerData"/>
|
<property name="PACommandNames_SIMULATION_CLOSE" value="1" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_SIMULATION_DEFAULT_WAVEFORM_WINDOW" value="1" type="GuiHandlerData"/>
|
<property name="PACommandNames_SIMULATION_DEFAULT_WAVEFORM_WINDOW" value="1" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_SIMULATION_RELAUNCH" value="97" type="GuiHandlerData"/>
|
<property name="PACommandNames_SIMULATION_RELAUNCH" value="107" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_SIMULATION_RUN" value="3" type="GuiHandlerData"/>
|
<property name="PACommandNames_SIMULATION_RUN" value="3" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_SIMULATION_RUN_BEHAVIORAL" value="94" type="GuiHandlerData"/>
|
<property name="PACommandNames_SIMULATION_RUN_BEHAVIORAL" value="95" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_SIMULATION_RUN_POST_IMPLEMENTATION_FUNCTIONAL" value="1" type="GuiHandlerData"/>
|
<property name="PACommandNames_SIMULATION_RUN_POST_IMPLEMENTATION_FUNCTIONAL" value="1" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_SIMULATION_RUN_POST_IMPLEMENTATION_TIMING" value="4" type="GuiHandlerData"/>
|
<property name="PACommandNames_SIMULATION_RUN_POST_IMPLEMENTATION_TIMING" value="4" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_SIMULATION_SETTINGS" value="2" type="GuiHandlerData"/>
|
<property name="PACommandNames_SIMULATION_SETTINGS" value="2" type="GuiHandlerData"/>
|
||||||
@@ -139,30 +143,30 @@ This means code written to parse this file will need to be revisited each subseq
|
|||||||
<property name="PACommandNames_SRC_ENABLE" value="3" type="GuiHandlerData"/>
|
<property name="PACommandNames_SRC_ENABLE" value="3" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_SRC_REPLACE_FILE" value="1" type="GuiHandlerData"/>
|
<property name="PACommandNames_SRC_REPLACE_FILE" value="1" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_TOGGLE_VIEW_NAV" value="1" type="GuiHandlerData"/>
|
<property name="PACommandNames_TOGGLE_VIEW_NAV" value="1" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_ZOOM_FIT" value="10" type="GuiHandlerData"/>
|
<property name="PACommandNames_ZOOM_FIT" value="11" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_ZOOM_OUT" value="3" type="GuiHandlerData"/>
|
<property name="PACommandNames_ZOOM_OUT" value="3" type="GuiHandlerData"/>
|
||||||
<property name="PAViews_CODE" value="44" type="GuiHandlerData"/>
|
<property name="PAViews_CODE" value="54" type="GuiHandlerData"/>
|
||||||
<property name="PAViews_DEVICE" value="3" type="GuiHandlerData"/>
|
<property name="PAViews_DEVICE" value="3" type="GuiHandlerData"/>
|
||||||
<property name="PAViews_PATH_TABLE" value="1" type="GuiHandlerData"/>
|
<property name="PAViews_PATH_TABLE" value="1" type="GuiHandlerData"/>
|
||||||
<property name="PAViews_PROJECT_SUMMARY" value="65" type="GuiHandlerData"/>
|
<property name="PAViews_PROJECT_SUMMARY" value="66" type="GuiHandlerData"/>
|
||||||
<property name="PAViews_SCHEMATIC" value="28" type="GuiHandlerData"/>
|
<property name="PAViews_SCHEMATIC" value="33" type="GuiHandlerData"/>
|
||||||
<property name="PathReportTableView_DESCRIPTION" value="2" type="GuiHandlerData"/>
|
<property name="PathReportTableView_DESCRIPTION" value="2" type="GuiHandlerData"/>
|
||||||
<property name="PlanAheadTab_SHOW_FLOW_NAVIGATOR" value="2" type="GuiHandlerData"/>
|
<property name="PlanAheadTab_SHOW_FLOW_NAVIGATOR" value="2" type="GuiHandlerData"/>
|
||||||
<property name="PowerResultTab_REPORT_NAVIGATION_TREE" value="1" type="GuiHandlerData"/>
|
<property name="PowerResultTab_REPORT_NAVIGATION_TREE" value="1" type="GuiHandlerData"/>
|
||||||
<property name="PrimitivesMenu_HIGHLIGHT_LEAF_CELLS" value="1" type="GuiHandlerData"/>
|
<property name="PrimitivesMenu_HIGHLIGHT_LEAF_CELLS" value="1" type="GuiHandlerData"/>
|
||||||
<property name="ProgressDialog_BACKGROUND" value="7" type="GuiHandlerData"/>
|
<property name="ProgressDialog_BACKGROUND" value="10" type="GuiHandlerData"/>
|
||||||
<property name="ProgressDialog_CANCEL" value="5" type="GuiHandlerData"/>
|
<property name="ProgressDialog_CANCEL" value="5" type="GuiHandlerData"/>
|
||||||
<property name="ProjectSettingsSimulationPanel_TABBED_PANE" value="2" type="GuiHandlerData"/>
|
<property name="ProjectSettingsSimulationPanel_TABBED_PANE" value="2" type="GuiHandlerData"/>
|
||||||
<property name="ProjectTab_RELOAD" value="27" type="GuiHandlerData"/>
|
<property name="ProjectTab_RELOAD" value="30" type="GuiHandlerData"/>
|
||||||
<property name="RDICommands_COPY" value="2" type="GuiHandlerData"/>
|
<property name="RDICommands_COPY" value="2" type="GuiHandlerData"/>
|
||||||
<property name="RDICommands_DELETE" value="1" type="GuiHandlerData"/>
|
<property name="RDICommands_DELETE" value="4" type="GuiHandlerData"/>
|
||||||
<property name="RDICommands_LINE_COMMENT" value="2" type="GuiHandlerData"/>
|
<property name="RDICommands_LINE_COMMENT" value="2" type="GuiHandlerData"/>
|
||||||
<property name="RDICommands_REDO" value="1" type="GuiHandlerData"/>
|
<property name="RDICommands_REDO" value="1" type="GuiHandlerData"/>
|
||||||
<property name="RDICommands_SAVE_FILE" value="121" type="GuiHandlerData"/>
|
<property name="RDICommands_SAVE_FILE" value="147" type="GuiHandlerData"/>
|
||||||
<property name="RDICommands_WAVEFORM_OPEN_CONFIGURATION" value="1" type="GuiHandlerData"/>
|
<property name="RDICommands_WAVEFORM_OPEN_CONFIGURATION" value="1" type="GuiHandlerData"/>
|
||||||
<property name="RDICommands_WAVEFORM_SAVE_CONFIGURATION" value="5" type="GuiHandlerData"/>
|
<property name="RDICommands_WAVEFORM_SAVE_CONFIGURATION" value="5" type="GuiHandlerData"/>
|
||||||
<property name="RDICommands_WAVEFORM_SAVE_CONFIGURATION_AS" value="1" type="GuiHandlerData"/>
|
<property name="RDICommands_WAVEFORM_SAVE_CONFIGURATION_AS" value="1" type="GuiHandlerData"/>
|
||||||
<property name="RDIViews_WAVEFORM_VIEWER" value="874" type="GuiHandlerData"/>
|
<property name="RDIViews_WAVEFORM_VIEWER" value="895" type="GuiHandlerData"/>
|
||||||
<property name="ReportTimingSummaryDialog_REPORT_TIMING_SUMMARY_DIALOG_TABBED" value="14" type="GuiHandlerData"/>
|
<property name="ReportTimingSummaryDialog_REPORT_TIMING_SUMMARY_DIALOG_TABBED" value="14" type="GuiHandlerData"/>
|
||||||
<property name="ReportTimingSummaryDialog_REPORT_UNCONSTRAINED_PATHS" value="6" type="GuiHandlerData"/>
|
<property name="ReportTimingSummaryDialog_REPORT_UNCONSTRAINED_PATHS" value="6" type="GuiHandlerData"/>
|
||||||
<property name="RunGadget_SHOW_ERROR" value="1" type="GuiHandlerData"/>
|
<property name="RunGadget_SHOW_ERROR" value="1" type="GuiHandlerData"/>
|
||||||
@@ -172,29 +176,31 @@ This means code written to parse this file will need to be revisited each subseq
|
|||||||
<property name="SelectMenu_HIGHLIGHT" value="2" type="GuiHandlerData"/>
|
<property name="SelectMenu_HIGHLIGHT" value="2" type="GuiHandlerData"/>
|
||||||
<property name="SelectMenu_MARK" value="2" type="GuiHandlerData"/>
|
<property name="SelectMenu_MARK" value="2" type="GuiHandlerData"/>
|
||||||
<property name="SelectTopModuleDialog_SELECT_TOP_MODULE" value="12" type="GuiHandlerData"/>
|
<property name="SelectTopModuleDialog_SELECT_TOP_MODULE" value="12" type="GuiHandlerData"/>
|
||||||
<property name="SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE" value="80" type="GuiHandlerData"/>
|
<property name="SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE" value="83" type="GuiHandlerData"/>
|
||||||
<property name="SimulationScopesPanel_SIMULATE_SCOPE_TABLE" value="140" type="GuiHandlerData"/>
|
<property name="SimulationScopesPanel_SIMULATE_SCOPE_TABLE" value="140" type="GuiHandlerData"/>
|
||||||
<property name="SrcMenu_IP_HIERARCHY" value="50" type="GuiHandlerData"/>
|
<property name="SrcChooserPanel_ADD_HDL_AND_NETLIST_FILES_TO_YOUR_PROJECT" value="1" type="GuiHandlerData"/>
|
||||||
|
<property name="SrcChooserPanel_CREATE_FILE" value="5" type="GuiHandlerData"/>
|
||||||
|
<property name="SrcMenu_IP_HIERARCHY" value="51" type="GuiHandlerData"/>
|
||||||
<property name="StaleMoreAction_OUT_OF_DATE_DETAILS" value="1" type="GuiHandlerData"/>
|
<property name="StaleMoreAction_OUT_OF_DATE_DETAILS" value="1" type="GuiHandlerData"/>
|
||||||
<property name="StaleRunDialog_NO" value="3" type="GuiHandlerData"/>
|
<property name="StaleRunDialog_NO" value="3" type="GuiHandlerData"/>
|
||||||
<property name="StaleRunDialog_YES" value="1" type="GuiHandlerData"/>
|
<property name="StaleRunDialog_YES" value="1" type="GuiHandlerData"/>
|
||||||
<property name="SyntheticaGettingStartedView_RECENT_PROJECTS" value="30" type="GuiHandlerData"/>
|
<property name="SyntheticaGettingStartedView_RECENT_PROJECTS" value="31" type="GuiHandlerData"/>
|
||||||
<property name="SyntheticaStateMonitor_CANCEL" value="2" type="GuiHandlerData"/>
|
<property name="SyntheticaStateMonitor_CANCEL" value="2" type="GuiHandlerData"/>
|
||||||
<property name="TaskBanner_CLOSE" value="41" type="GuiHandlerData"/>
|
<property name="TaskBanner_CLOSE" value="41" type="GuiHandlerData"/>
|
||||||
<property name="TclConsoleView_TCL_CONSOLE_CODE_EDITOR" value="1" type="GuiHandlerData"/>
|
<property name="TclConsoleView_TCL_CONSOLE_CODE_EDITOR" value="1" type="GuiHandlerData"/>
|
||||||
<property name="TclFindDialog_RESULT_NAME" value="2" type="GuiHandlerData"/>
|
<property name="TclFindDialog_RESULT_NAME" value="2" type="GuiHandlerData"/>
|
||||||
<property name="TimingDialogUtils_RESULTS_NAME" value="1" type="GuiHandlerData"/>
|
<property name="TimingDialogUtils_RESULTS_NAME" value="1" type="GuiHandlerData"/>
|
||||||
<property name="TimingItemFlatTablePanel_TABLE" value="3" type="GuiHandlerData"/>
|
<property name="TimingItemFlatTablePanel_TABLE" value="3" type="GuiHandlerData"/>
|
||||||
<property name="WaveformNameTree_WAVEFORM_NAME_TREE" value="487" type="GuiHandlerData"/>
|
<property name="WaveformNameTree_WAVEFORM_NAME_TREE" value="491" type="GuiHandlerData"/>
|
||||||
<property name="WaveformView_GOTO_CURSOR" value="3" type="GuiHandlerData"/>
|
<property name="WaveformView_GOTO_CURSOR" value="3" type="GuiHandlerData"/>
|
||||||
<property name="WaveformView_GOTO_LAST_TIME" value="1" type="GuiHandlerData"/>
|
<property name="WaveformView_GOTO_LAST_TIME" value="1" type="GuiHandlerData"/>
|
||||||
<property name="WaveformView_GOTO_TIME_0" value="8" type="GuiHandlerData"/>
|
<property name="WaveformView_GOTO_TIME_0" value="8" type="GuiHandlerData"/>
|
||||||
<property name="WaveformView_PREVIOUS_MARKER" value="1" type="GuiHandlerData"/>
|
<property name="WaveformView_PREVIOUS_MARKER" value="1" type="GuiHandlerData"/>
|
||||||
</item>
|
</item>
|
||||||
<item name="Other">
|
<item name="Other">
|
||||||
<property name="GuiMode" value="69" type="GuiMode"/>
|
<property name="GuiMode" value="19" type="GuiMode"/>
|
||||||
<property name="BatchMode" value="0" type="BatchMode"/>
|
<property name="BatchMode" value="0" type="BatchMode"/>
|
||||||
<property name="TclMode" value="67" type="TclMode"/>
|
<property name="TclMode" value="17" type="TclMode"/>
|
||||||
</item>
|
</item>
|
||||||
</section>
|
</section>
|
||||||
</application>
|
</application>
|
||||||
|
|||||||
11
lab2CA.runs/.jobs/vrs_config_58.xml
Normal file
11
lab2CA.runs/.jobs/vrs_config_58.xml
Normal file
@@ -0,0 +1,11 @@
|
|||||||
|
<?xml version="1.0"?>
|
||||||
|
<Runs Version="1" Minor="0">
|
||||||
|
<Run Id="synth_1" LaunchDir="C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
|
||||||
|
<Run Id="impl_1" LaunchDir="C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="route_design">
|
||||||
|
<Parent Id="synth_1"/>
|
||||||
|
</Run>
|
||||||
|
<Parameters>
|
||||||
|
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
|
||||||
|
</Parameters>
|
||||||
|
</Runs>
|
||||||
|
|
||||||
@@ -67,14 +67,15 @@ start_step init_design
|
|||||||
set ACTIVE_STEP init_design
|
set ACTIVE_STEP init_design
|
||||||
set rc [catch {
|
set rc [catch {
|
||||||
create_msg_db init_design.pb
|
create_msg_db init_design.pb
|
||||||
|
set_param synth.incrementalSynthesisCache C:/Users/ecelab/AppData/Roaming/Xilinx/Vivado/.Xil/Vivado-10176-DESKTOP-8QFGS52/incrSyn
|
||||||
create_project -in_memory -part xc7k160tifbg484-2L
|
create_project -in_memory -part xc7k160tifbg484-2L
|
||||||
set_property design_mode GateLvl [current_fileset]
|
set_property design_mode GateLvl [current_fileset]
|
||||||
set_param project.singleFileAddWarning.threshold 0
|
set_param project.singleFileAddWarning.threshold 0
|
||||||
set_property webtalk.parent_dir {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.cache/wt} [current_project]
|
set_property webtalk.parent_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.cache/wt [current_project]
|
||||||
set_property parent.project_path {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.xpr} [current_project]
|
set_property parent.project_path C:/Users/ecelab/ECE3570-Lab/lab2CA.xpr [current_project]
|
||||||
set_property ip_output_repo {{C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.cache/ip}} [current_project]
|
set_property ip_output_repo C:/Users/ecelab/ECE3570-Lab/lab2CA.cache/ip [current_project]
|
||||||
set_property ip_cache_permissions {read write} [current_project]
|
set_property ip_cache_permissions {read write} [current_project]
|
||||||
add_files -quiet {{C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.dcp}}
|
add_files -quiet C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.dcp
|
||||||
link_design -top CPU9bits -part xc7k160tifbg484-2L
|
link_design -top CPU9bits -part xc7k160tifbg484-2L
|
||||||
close_msg_db -file init_design.pb
|
close_msg_db -file init_design.pb
|
||||||
} RESULT]
|
} RESULT]
|
||||||
|
|||||||
@@ -2,29 +2,29 @@
|
|||||||
# Vivado v2018.3 (64-bit)
|
# Vivado v2018.3 (64-bit)
|
||||||
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
||||||
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
||||||
# Start of session at: Sat Mar 30 15:53:31 2019
|
# Start of session at: Sat Apr 6 17:33:53 2019
|
||||||
# Process ID: 13696
|
# Process ID: 9496
|
||||||
# Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1
|
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1
|
||||||
# Command line: vivado.exe -log CPU9bits.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source CPU9bits.tcl -notrace
|
# Command line: vivado.exe -log CPU9bits.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source CPU9bits.tcl -notrace
|
||||||
# Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits.vdi
|
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits.vdi
|
||||||
# Journal file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1\vivado.jou
|
# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1\vivado.jou
|
||||||
#-----------------------------------------------------------
|
#-----------------------------------------------------------
|
||||||
source CPU9bits.tcl -notrace
|
source CPU9bits.tcl -notrace
|
||||||
Command: link_design -top CPU9bits -part xc7k160tifbg484-2L
|
Command: link_design -top CPU9bits -part xc7k160tifbg484-2L
|
||||||
Design is defaulting to srcset: sources_1
|
Design is defaulting to srcset: sources_1
|
||||||
Design is defaulting to constrset: constrs_1
|
Design is defaulting to constrset: constrs_1
|
||||||
INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement
|
INFO: [Netlist 29-17] Analyzing 2 Unisim elements for replacement
|
||||||
INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
|
INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
|
||||||
INFO: [Project 1-479] Netlist was created with Vivado 2018.3
|
INFO: [Project 1-479] Netlist was created with Vivado 2018.3
|
||||||
INFO: [Device 21-403] Loading part xc7k160tifbg484-2L
|
INFO: [Device 21-403] Loading part xc7k160tifbg484-2L
|
||||||
INFO: [Project 1-570] Preparing netlist for logic optimization
|
INFO: [Project 1-570] Preparing netlist for logic optimization
|
||||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 581.816 ; gain = 0.000
|
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 577.664 ; gain = 0.000
|
||||||
INFO: [Project 1-111] Unisim Transformation Summary:
|
INFO: [Project 1-111] Unisim Transformation Summary:
|
||||||
No Unisim elements were transformed.
|
No Unisim elements were transformed.
|
||||||
|
|
||||||
6 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
|
6 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
|
||||||
link_design completed successfully
|
link_design completed successfully
|
||||||
link_design: Time (s): cpu = 00:00:08 ; elapsed = 00:00:11 . Memory (MB): peak = 587.391 ; gain = 332.746
|
link_design: Time (s): cpu = 00:00:06 ; elapsed = 00:00:16 . Memory (MB): peak = 583.055 ; gain = 324.613
|
||||||
Command: opt_design
|
Command: opt_design
|
||||||
Attempting to get a license for feature 'Implementation' and/or device 'xc7k160ti'
|
Attempting to get a license for feature 'Implementation' and/or device 'xc7k160ti'
|
||||||
INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160ti'
|
INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160ti'
|
||||||
@@ -35,53 +35,53 @@ INFO: [DRC 23-27] Running DRC with 2 threads
|
|||||||
INFO: [Project 1-461] DRC finished with 0 Errors
|
INFO: [Project 1-461] DRC finished with 0 Errors
|
||||||
INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information.
|
INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information.
|
||||||
|
|
||||||
Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 603.059 ; gain = 15.668
|
Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.719 . Memory (MB): peak = 595.676 ; gain = 12.621
|
||||||
|
|
||||||
Starting Cache Timing Information Task
|
Starting Cache Timing Information Task
|
||||||
INFO: [Timing 38-35] Done setting XDC timing constraints.
|
INFO: [Timing 38-35] Done setting XDC timing constraints.
|
||||||
Ending Cache Timing Information Task | Checksum: 257e1e38
|
Ending Cache Timing Information Task | Checksum: 178a9fcd1
|
||||||
|
|
||||||
Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 1127.293 ; gain = 524.234
|
Time (s): cpu = 00:00:09 ; elapsed = 00:00:10 . Memory (MB): peak = 1128.926 ; gain = 533.250
|
||||||
|
|
||||||
Starting Logic Optimization Task
|
Starting Logic Optimization Task
|
||||||
|
|
||||||
Phase 1 Retarget
|
Phase 1 Retarget
|
||||||
INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
|
INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
|
||||||
INFO: [Opt 31-49] Retargeted 0 cell(s).
|
INFO: [Opt 31-49] Retargeted 0 cell(s).
|
||||||
Phase 1 Retarget | Checksum: 257e1e38
|
Phase 1 Retarget | Checksum: 11e80142d
|
||||||
|
|
||||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.074 . Memory (MB): peak = 1225.961 ; gain = 0.000
|
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.086 . Memory (MB): peak = 1224.855 ; gain = 0.000
|
||||||
INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells
|
INFO: [Opt 31-389] Phase Retarget created 1 cells and removed 1 cells
|
||||||
|
|
||||||
Phase 2 Constant propagation
|
Phase 2 Constant propagation
|
||||||
INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
|
INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
|
||||||
Phase 2 Constant propagation | Checksum: 257e1e38
|
Phase 2 Constant propagation | Checksum: 11e80142d
|
||||||
|
|
||||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.078 . Memory (MB): peak = 1225.961 ; gain = 0.000
|
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.090 . Memory (MB): peak = 1224.855 ; gain = 0.000
|
||||||
INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells
|
INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells
|
||||||
|
|
||||||
Phase 3 Sweep
|
Phase 3 Sweep
|
||||||
Phase 3 Sweep | Checksum: 257e1e38
|
Phase 3 Sweep | Checksum: 11e80142d
|
||||||
|
|
||||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.083 . Memory (MB): peak = 1225.961 ; gain = 0.000
|
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.098 . Memory (MB): peak = 1224.855 ; gain = 0.000
|
||||||
INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells
|
INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells
|
||||||
|
|
||||||
Phase 4 BUFG optimization
|
Phase 4 BUFG optimization
|
||||||
Phase 4 BUFG optimization | Checksum: 257e1e38
|
Phase 4 BUFG optimization | Checksum: 11e80142d
|
||||||
|
|
||||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.093 . Memory (MB): peak = 1225.961 ; gain = 0.000
|
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.103 . Memory (MB): peak = 1224.855 ; gain = 0.000
|
||||||
INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells.
|
INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells.
|
||||||
|
|
||||||
Phase 5 Shift Register Optimization
|
Phase 5 Shift Register Optimization
|
||||||
Phase 5 Shift Register Optimization | Checksum: 257e1e38
|
Phase 5 Shift Register Optimization | Checksum: 8b9eda27
|
||||||
|
|
||||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.196 . Memory (MB): peak = 1225.961 ; gain = 0.000
|
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.145 . Memory (MB): peak = 1224.855 ; gain = 0.000
|
||||||
INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells
|
INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells
|
||||||
|
|
||||||
Phase 6 Post Processing Netlist
|
Phase 6 Post Processing Netlist
|
||||||
Phase 6 Post Processing Netlist | Checksum: 257e1e38
|
Phase 6 Post Processing Netlist | Checksum: 8b9eda27
|
||||||
|
|
||||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.199 . Memory (MB): peak = 1225.961 ; gain = 0.000
|
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.147 . Memory (MB): peak = 1224.855 ; gain = 0.000
|
||||||
INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells
|
INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells
|
||||||
Opt_design Change Summary
|
Opt_design Change Summary
|
||||||
=========================
|
=========================
|
||||||
@@ -90,7 +90,7 @@ Opt_design Change Summary
|
|||||||
-------------------------------------------------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------------------------------------------------
|
||||||
| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations |
|
| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations |
|
||||||
-------------------------------------------------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------------------------------------------------
|
||||||
| Retarget | 0 | 0 | 0 |
|
| Retarget | 1 | 1 | 0 |
|
||||||
| Constant propagation | 0 | 0 | 0 |
|
| Constant propagation | 0 | 0 | 0 |
|
||||||
| Sweep | 0 | 0 | 0 |
|
| Sweep | 0 | 0 | 0 |
|
||||||
| BUFG optimization | 0 | 0 | 0 |
|
| BUFG optimization | 0 | 0 | 0 |
|
||||||
@@ -102,10 +102,10 @@ Opt_design Change Summary
|
|||||||
|
|
||||||
Starting Connectivity Check Task
|
Starting Connectivity Check Task
|
||||||
|
|
||||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1225.961 ; gain = 0.000
|
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1224.855 ; gain = 0.000
|
||||||
Ending Logic Optimization Task | Checksum: 257e1e38
|
Ending Logic Optimization Task | Checksum: 8b9eda27
|
||||||
|
|
||||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.206 . Memory (MB): peak = 1225.961 ; gain = 0.000
|
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.150 . Memory (MB): peak = 1224.855 ; gain = 0.000
|
||||||
|
|
||||||
Starting Power Optimization Task
|
Starting Power Optimization Task
|
||||||
INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns.
|
INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns.
|
||||||
@@ -124,40 +124,39 @@ Starting PowerOpt Patch Enables Task
|
|||||||
INFO: [Pwropt 34-162] WRITE_MODE attribute of 0 BRAM(s) out of a total of 1 has been updated to save power. Run report_power_opt to get a complete listing of the BRAMs updated.
|
INFO: [Pwropt 34-162] WRITE_MODE attribute of 0 BRAM(s) out of a total of 1 has been updated to save power. Run report_power_opt to get a complete listing of the BRAMs updated.
|
||||||
INFO: [Pwropt 34-201] Structural ODC has moved 0 WE to EN ports
|
INFO: [Pwropt 34-201] Structural ODC has moved 0 WE to EN ports
|
||||||
Number of BRAM Ports augmented: 0 newly gated: 0 Total Ports: 2
|
Number of BRAM Ports augmented: 0 newly gated: 0 Total Ports: 2
|
||||||
Ending PowerOpt Patch Enables Task | Checksum: 257e1e38
|
Ending PowerOpt Patch Enables Task | Checksum: 8b9eda27
|
||||||
|
|
||||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.022 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.013 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
Ending Power Optimization Task | Checksum: 257e1e38
|
Ending Power Optimization Task | Checksum: 8b9eda27
|
||||||
|
|
||||||
Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1335.719 ; gain = 109.758
|
Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.786 . Memory (MB): peak = 1334.406 ; gain = 109.551
|
||||||
|
|
||||||
Starting Final Cleanup Task
|
Starting Final Cleanup Task
|
||||||
Ending Final Cleanup Task | Checksum: 257e1e38
|
Ending Final Cleanup Task | Checksum: 8b9eda27
|
||||||
|
|
||||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
|
|
||||||
Starting Netlist Obfuscation Task
|
Starting Netlist Obfuscation Task
|
||||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
Ending Netlist Obfuscation Task | Checksum: 257e1e38
|
Ending Netlist Obfuscation Task | Checksum: 8b9eda27
|
||||||
|
|
||||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
INFO: [Common 17-83] Releasing license: Implementation
|
INFO: [Common 17-83] Releasing license: Implementation
|
||||||
28 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered.
|
28 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered.
|
||||||
opt_design completed successfully
|
opt_design completed successfully
|
||||||
opt_design: Time (s): cpu = 00:00:21 ; elapsed = 00:00:22 . Memory (MB): peak = 1335.719 ; gain = 748.328
|
opt_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 1334.406 ; gain = 751.352
|
||||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
WARNING: [Constraints 18-5210] No constraints selected for write.
|
WARNING: [Constraints 18-5210] No constraints selected for write.
|
||||||
Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened.
|
Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened.
|
||||||
INFO: [Common 17-1381] The checkpoint 'C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_opt.dcp' has been generated.
|
INFO: [Common 17-1381] The checkpoint 'C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_opt.dcp' has been generated.
|
||||||
INFO: [runtcl-4] Executing : report_drc -file CPU9bits_drc_opted.rpt -pb CPU9bits_drc_opted.pb -rpx CPU9bits_drc_opted.rpx
|
INFO: [runtcl-4] Executing : report_drc -file CPU9bits_drc_opted.rpt -pb CPU9bits_drc_opted.pb -rpx CPU9bits_drc_opted.rpx
|
||||||
Command: report_drc -file CPU9bits_drc_opted.rpt -pb CPU9bits_drc_opted.pb -rpx CPU9bits_drc_opted.rpx
|
Command: report_drc -file CPU9bits_drc_opted.rpt -pb CPU9bits_drc_opted.pb -rpx CPU9bits_drc_opted.rpx
|
||||||
INFO: [IP_Flow 19-234] Refreshing IP repositories
|
INFO: [IP_Flow 19-234] Refreshing IP repositories
|
||||||
INFO: [IP_Flow 19-1704] No user IP repositories specified
|
INFO: [IP_Flow 19-1704] No user IP repositories specified
|
||||||
INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2018.3/data/ip'.
|
INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2018.3/data/ip'.
|
||||||
INFO: [DRC 23-27] Running DRC with 2 threads
|
INFO: [DRC 23-27] Running DRC with 2 threads
|
||||||
INFO: [Coretcl 2-168] The results of DRC are in file C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_drc_opted.rpt.
|
INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_drc_opted.rpt.
|
||||||
report_drc completed successfully
|
report_drc completed successfully
|
||||||
report_drc: Time (s): cpu = 00:00:08 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
|
||||||
Command: place_design
|
Command: place_design
|
||||||
Attempting to get a license for feature 'Implementation' and/or device 'xc7k160ti'
|
Attempting to get a license for feature 'Implementation' and/or device 'xc7k160ti'
|
||||||
INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160ti'
|
INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160ti'
|
||||||
@@ -175,128 +174,127 @@ INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of
|
|||||||
Phase 1 Placer Initialization
|
Phase 1 Placer Initialization
|
||||||
|
|
||||||
Phase 1.1 Placer Initialization Netlist Sorting
|
Phase 1.1 Placer Initialization Netlist Sorting
|
||||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 1e0025bd
|
Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 44f3ef01
|
||||||
|
|
||||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.010 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.014 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
|
|
||||||
Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device
|
Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device
|
||||||
INFO: [Timing 38-35] Done setting XDC timing constraints.
|
INFO: [Timing 38-35] Done setting XDC timing constraints.
|
||||||
Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: be8e8081
|
Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: b481c8c5
|
||||||
|
|
||||||
Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
|
|
||||||
Phase 1.3 Build Placer Netlist Model
|
Phase 1.3 Build Placer Netlist Model
|
||||||
Phase 1.3 Build Placer Netlist Model | Checksum: 154227d99
|
Phase 1.3 Build Placer Netlist Model | Checksum: 16bafe571
|
||||||
|
|
||||||
Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
|
|
||||||
Phase 1.4 Constrain Clocks/Macros
|
Phase 1.4 Constrain Clocks/Macros
|
||||||
Phase 1.4 Constrain Clocks/Macros | Checksum: 154227d99
|
Phase 1.4 Constrain Clocks/Macros | Checksum: 16bafe571
|
||||||
|
|
||||||
Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
Phase 1 Placer Initialization | Checksum: 154227d99
|
Phase 1 Placer Initialization | Checksum: 16bafe571
|
||||||
|
|
||||||
Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
|
|
||||||
Phase 2 Global Placement
|
Phase 2 Global Placement
|
||||||
|
|
||||||
Phase 2.1 Floorplanning
|
Phase 2.1 Floorplanning
|
||||||
Phase 2.1 Floorplanning | Checksum: 154227d99
|
Phase 2.1 Floorplanning | Checksum: 16bafe571
|
||||||
|
|
||||||
Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
WARNING: [Place 46-29] place_design is not in timing mode. Skip physical synthesis in placer
|
WARNING: [Place 46-29] place_design is not in timing mode. Skip physical synthesis in placer
|
||||||
Phase 2 Global Placement | Checksum: 168f30526
|
Phase 2 Global Placement | Checksum: 187ab5e99
|
||||||
|
|
||||||
Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
|
|
||||||
Phase 3 Detail Placement
|
Phase 3 Detail Placement
|
||||||
|
|
||||||
Phase 3.1 Commit Multi Column Macros
|
Phase 3.1 Commit Multi Column Macros
|
||||||
Phase 3.1 Commit Multi Column Macros | Checksum: 168f30526
|
Phase 3.1 Commit Multi Column Macros | Checksum: 187ab5e99
|
||||||
|
|
||||||
Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
|
|
||||||
Phase 3.2 Commit Most Macros & LUTRAMs
|
Phase 3.2 Commit Most Macros & LUTRAMs
|
||||||
Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 10b26ca05
|
Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 168760e64
|
||||||
|
|
||||||
Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
|
|
||||||
Phase 3.3 Area Swap Optimization
|
Phase 3.3 Area Swap Optimization
|
||||||
Phase 3.3 Area Swap Optimization | Checksum: 171e1f517
|
Phase 3.3 Area Swap Optimization | Checksum: 105becb87
|
||||||
|
|
||||||
Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
|
|
||||||
Phase 3.4 Pipeline Register Optimization
|
Phase 3.4 Pipeline Register Optimization
|
||||||
Phase 3.4 Pipeline Register Optimization | Checksum: 171e1f517
|
Phase 3.4 Pipeline Register Optimization | Checksum: 105becb87
|
||||||
|
|
||||||
Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
|
|
||||||
Phase 3.5 Small Shape Detail Placement
|
Phase 3.5 Small Shape Detail Placement
|
||||||
Phase 3.5 Small Shape Detail Placement | Checksum: eb242549
|
Phase 3.5 Small Shape Detail Placement | Checksum: cd32f4e6
|
||||||
|
|
||||||
Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
|
|
||||||
Phase 3.6 Re-assign LUT pins
|
Phase 3.6 Re-assign LUT pins
|
||||||
Phase 3.6 Re-assign LUT pins | Checksum: eb242549
|
Phase 3.6 Re-assign LUT pins | Checksum: cd32f4e6
|
||||||
|
|
||||||
Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
|
|
||||||
Phase 3.7 Pipeline Register Optimization
|
Phase 3.7 Pipeline Register Optimization
|
||||||
Phase 3.7 Pipeline Register Optimization | Checksum: eb242549
|
Phase 3.7 Pipeline Register Optimization | Checksum: cd32f4e6
|
||||||
|
|
||||||
Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
Phase 3 Detail Placement | Checksum: eb242549
|
Phase 3 Detail Placement | Checksum: cd32f4e6
|
||||||
|
|
||||||
Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
|
|
||||||
Phase 4 Post Placement Optimization and Clean-Up
|
Phase 4 Post Placement Optimization and Clean-Up
|
||||||
|
|
||||||
Phase 4.1 Post Commit Optimization
|
Phase 4.1 Post Commit Optimization
|
||||||
Phase 4.1 Post Commit Optimization | Checksum: eb242549
|
Phase 4.1 Post Commit Optimization | Checksum: cd32f4e6
|
||||||
|
|
||||||
Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
|
|
||||||
Phase 4.2 Post Placement Cleanup
|
Phase 4.2 Post Placement Cleanup
|
||||||
Phase 4.2 Post Placement Cleanup | Checksum: eb242549
|
Phase 4.2 Post Placement Cleanup | Checksum: cd32f4e6
|
||||||
|
|
||||||
Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
|
|
||||||
Phase 4.3 Placer Reporting
|
Phase 4.3 Placer Reporting
|
||||||
Phase 4.3 Placer Reporting | Checksum: eb242549
|
Phase 4.3 Placer Reporting | Checksum: cd32f4e6
|
||||||
|
|
||||||
Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
|
|
||||||
Phase 4.4 Final Placement Cleanup
|
Phase 4.4 Final Placement Cleanup
|
||||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
Phase 4.4 Final Placement Cleanup | Checksum: eb242549
|
Phase 4.4 Final Placement Cleanup | Checksum: 18c80bbbe
|
||||||
|
|
||||||
Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
Phase 4 Post Placement Optimization and Clean-Up | Checksum: eb242549
|
Phase 4 Post Placement Optimization and Clean-Up | Checksum: 18c80bbbe
|
||||||
|
|
||||||
Time (s): cpu = 00:00:08 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
Ending Placer Task | Checksum: 99ceed10
|
Ending Placer Task | Checksum: 101790dce
|
||||||
|
|
||||||
Time (s): cpu = 00:00:08 ; elapsed = 00:00:07 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
INFO: [Common 17-83] Releasing license: Implementation
|
INFO: [Common 17-83] Releasing license: Implementation
|
||||||
45 Infos, 3 Warnings, 0 Critical Warnings and 0 Errors encountered.
|
45 Infos, 3 Warnings, 0 Critical Warnings and 0 Errors encountered.
|
||||||
place_design completed successfully
|
place_design completed successfully
|
||||||
place_design: Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
|
||||||
WARNING: [Constraints 18-5210] No constraints selected for write.
|
WARNING: [Constraints 18-5210] No constraints selected for write.
|
||||||
Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened.
|
Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened.
|
||||||
Writing placer database...
|
Writing placer database...
|
||||||
Writing XDEF routing.
|
Writing XDEF routing.
|
||||||
Writing XDEF routing logical nets.
|
Writing XDEF routing logical nets.
|
||||||
Writing XDEF routing special nets.
|
Writing XDEF routing special nets.
|
||||||
Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.323 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.097 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
INFO: [Common 17-1381] The checkpoint 'C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_placed.dcp' has been generated.
|
INFO: [Common 17-1381] The checkpoint 'C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_placed.dcp' has been generated.
|
||||||
INFO: [runtcl-4] Executing : report_io -file CPU9bits_io_placed.rpt
|
INFO: [runtcl-4] Executing : report_io -file CPU9bits_io_placed.rpt
|
||||||
report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.130 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.054 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
INFO: [runtcl-4] Executing : report_utilization -file CPU9bits_utilization_placed.rpt -pb CPU9bits_utilization_placed.pb
|
INFO: [runtcl-4] Executing : report_utilization -file CPU9bits_utilization_placed.rpt -pb CPU9bits_utilization_placed.pb
|
||||||
INFO: [runtcl-4] Executing : report_control_sets -verbose -file CPU9bits_control_sets_placed.rpt
|
INFO: [runtcl-4] Executing : report_control_sets -verbose -file CPU9bits_control_sets_placed.rpt
|
||||||
report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.009 . Memory (MB): peak = 1335.719 ; gain = 0.000
|
report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 1334.406 ; gain = 0.000
|
||||||
Command: route_design
|
Command: route_design
|
||||||
Attempting to get a license for feature 'Implementation' and/or device 'xc7k160ti'
|
Attempting to get a license for feature 'Implementation' and/or device 'xc7k160ti'
|
||||||
INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160ti'
|
INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160ti'
|
||||||
@@ -308,68 +306,68 @@ INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more in
|
|||||||
|
|
||||||
Starting Routing Task
|
Starting Routing Task
|
||||||
INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs
|
INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs
|
||||||
Checksum: PlaceDB: 7bcec753 ConstDB: 0 ShapeSum: 1e0025bd RouteDB: 0
|
Checksum: PlaceDB: 2e37d8f5 ConstDB: 0 ShapeSum: d34134d9 RouteDB: 0
|
||||||
|
|
||||||
Phase 1 Build RT Design
|
Phase 1 Build RT Design
|
||||||
Phase 1 Build RT Design | Checksum: 16c615449
|
Phase 1 Build RT Design | Checksum: 7ebb6ebf
|
||||||
|
|
||||||
Time (s): cpu = 00:00:50 ; elapsed = 00:00:39 . Memory (MB): peak = 1486.191 ; gain = 150.473
|
Time (s): cpu = 00:00:27 ; elapsed = 00:00:21 . Memory (MB): peak = 1485.609 ; gain = 151.203
|
||||||
Post Restoration Checksum: NetGraph: 8cbcc684 NumContArr: dfa48dc5 Constraints: 0 Timing: 0
|
Post Restoration Checksum: NetGraph: 10180109 NumContArr: 6ea36db6 Constraints: 0 Timing: 0
|
||||||
|
|
||||||
Phase 2 Router Initialization
|
Phase 2 Router Initialization
|
||||||
INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode.
|
INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode.
|
||||||
|
|
||||||
Phase 2.1 Fix Topology Constraints
|
Phase 2.1 Fix Topology Constraints
|
||||||
Phase 2.1 Fix Topology Constraints | Checksum: 16c615449
|
Phase 2.1 Fix Topology Constraints | Checksum: 7ebb6ebf
|
||||||
|
|
||||||
Time (s): cpu = 00:00:50 ; elapsed = 00:00:39 . Memory (MB): peak = 1490.352 ; gain = 154.633
|
Time (s): cpu = 00:00:27 ; elapsed = 00:00:21 . Memory (MB): peak = 1489.359 ; gain = 154.953
|
||||||
|
|
||||||
Phase 2.2 Pre Route Cleanup
|
Phase 2.2 Pre Route Cleanup
|
||||||
Phase 2.2 Pre Route Cleanup | Checksum: 16c615449
|
Phase 2.2 Pre Route Cleanup | Checksum: 7ebb6ebf
|
||||||
|
|
||||||
Time (s): cpu = 00:00:50 ; elapsed = 00:00:39 . Memory (MB): peak = 1490.352 ; gain = 154.633
|
Time (s): cpu = 00:00:27 ; elapsed = 00:00:21 . Memory (MB): peak = 1489.359 ; gain = 154.953
|
||||||
Number of Nodes with overlaps = 0
|
Number of Nodes with overlaps = 0
|
||||||
Phase 2 Router Initialization | Checksum: 10053be5d
|
Phase 2 Router Initialization | Checksum: dbaddab7
|
||||||
|
|
||||||
Time (s): cpu = 00:00:50 ; elapsed = 00:00:39 . Memory (MB): peak = 1517.723 ; gain = 182.004
|
Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676
|
||||||
|
|
||||||
Phase 3 Initial Routing
|
Phase 3 Initial Routing
|
||||||
Phase 3 Initial Routing | Checksum: 94ab7af4
|
Phase 3 Initial Routing | Checksum: ad0f318a
|
||||||
|
|
||||||
Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004
|
Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676
|
||||||
|
|
||||||
Phase 4 Rip-up And Reroute
|
Phase 4 Rip-up And Reroute
|
||||||
|
|
||||||
Phase 4.1 Global Iteration 0
|
Phase 4.1 Global Iteration 0
|
||||||
Number of Nodes with overlaps = 15
|
Number of Nodes with overlaps = 4
|
||||||
Number of Nodes with overlaps = 0
|
Number of Nodes with overlaps = 0
|
||||||
Phase 4.1 Global Iteration 0 | Checksum: ab64b9a3
|
Phase 4.1 Global Iteration 0 | Checksum: 1246629fb
|
||||||
|
|
||||||
Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004
|
Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676
|
||||||
Phase 4 Rip-up And Reroute | Checksum: ab64b9a3
|
Phase 4 Rip-up And Reroute | Checksum: 1246629fb
|
||||||
|
|
||||||
Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004
|
Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676
|
||||||
|
|
||||||
Phase 5 Delay and Skew Optimization
|
Phase 5 Delay and Skew Optimization
|
||||||
Phase 5 Delay and Skew Optimization | Checksum: ab64b9a3
|
Phase 5 Delay and Skew Optimization | Checksum: 1246629fb
|
||||||
|
|
||||||
Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004
|
Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676
|
||||||
|
|
||||||
Phase 6 Post Hold Fix
|
Phase 6 Post Hold Fix
|
||||||
|
|
||||||
Phase 6.1 Hold Fix Iter
|
Phase 6.1 Hold Fix Iter
|
||||||
Phase 6.1 Hold Fix Iter | Checksum: ab64b9a3
|
Phase 6.1 Hold Fix Iter | Checksum: 1246629fb
|
||||||
|
|
||||||
Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004
|
Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676
|
||||||
Phase 6 Post Hold Fix | Checksum: ab64b9a3
|
Phase 6 Post Hold Fix | Checksum: 1246629fb
|
||||||
|
|
||||||
Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004
|
Time (s): cpu = 00:00:28 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676
|
||||||
|
|
||||||
Phase 7 Route finalize
|
Phase 7 Route finalize
|
||||||
|
|
||||||
Router Utilization Summary
|
Router Utilization Summary
|
||||||
Global Vertical Routing Utilization = 0.00626714 %
|
Global Vertical Routing Utilization = 0.00477869 %
|
||||||
Global Horizontal Routing Utilization = 0.0102302 %
|
Global Horizontal Routing Utilization = 0.00797101 %
|
||||||
Routable Net Status*
|
Routable Net Status*
|
||||||
*Does not include unroutable nets such as driverless and loadless.
|
*Does not include unroutable nets such as driverless and loadless.
|
||||||
Run report_route_status for detailed report.
|
Run report_route_status for detailed report.
|
||||||
@@ -379,10 +377,10 @@ Router Utilization Summary
|
|||||||
Number of Node Overlaps = 0
|
Number of Node Overlaps = 0
|
||||||
|
|
||||||
Congestion Report
|
Congestion Report
|
||||||
North Dir 1x1 Area, Max Cong = 18.9189%, No Congested Regions.
|
North Dir 1x1 Area, Max Cong = 9.00901%, No Congested Regions.
|
||||||
South Dir 1x1 Area, Max Cong = 10.8108%, No Congested Regions.
|
South Dir 1x1 Area, Max Cong = 13.5135%, No Congested Regions.
|
||||||
East Dir 1x1 Area, Max Cong = 22.0588%, No Congested Regions.
|
East Dir 1x1 Area, Max Cong = 16.1765%, No Congested Regions.
|
||||||
West Dir 1x1 Area, Max Cong = 27.9412%, No Congested Regions.
|
West Dir 1x1 Area, Max Cong = 14.7059%, No Congested Regions.
|
||||||
|
|
||||||
------------------------------
|
------------------------------
|
||||||
Reporting congestion hotspots
|
Reporting congestion hotspots
|
||||||
@@ -404,50 +402,50 @@ Direction: West
|
|||||||
Congested clusters found at Level 0
|
Congested clusters found at Level 0
|
||||||
Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0
|
Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0
|
||||||
|
|
||||||
Phase 7 Route finalize | Checksum: ab64b9a3
|
Phase 7 Route finalize | Checksum: 1246629fb
|
||||||
|
|
||||||
Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004
|
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676
|
||||||
|
|
||||||
Phase 8 Verifying routed nets
|
Phase 8 Verifying routed nets
|
||||||
|
|
||||||
Verification completed successfully
|
Verification completed successfully
|
||||||
Phase 8 Verifying routed nets | Checksum: ab64b9a3
|
Phase 8 Verifying routed nets | Checksum: 1246629fb
|
||||||
|
|
||||||
Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004
|
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676
|
||||||
|
|
||||||
Phase 9 Depositing Routes
|
Phase 9 Depositing Routes
|
||||||
Phase 9 Depositing Routes | Checksum: 148b7f565
|
Phase 9 Depositing Routes | Checksum: 1219f5402
|
||||||
|
|
||||||
Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004
|
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676
|
||||||
INFO: [Route 35-16] Router Completed Successfully
|
INFO: [Route 35-16] Router Completed Successfully
|
||||||
|
|
||||||
Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 1517.723 ; gain = 182.004
|
Time (s): cpu = 00:00:29 ; elapsed = 00:00:22 . Memory (MB): peak = 1516.082 ; gain = 181.676
|
||||||
|
|
||||||
Routing Is Done.
|
Routing Is Done.
|
||||||
INFO: [Common 17-83] Releasing license: Implementation
|
INFO: [Common 17-83] Releasing license: Implementation
|
||||||
57 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered.
|
57 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered.
|
||||||
route_design completed successfully
|
route_design completed successfully
|
||||||
route_design: Time (s): cpu = 00:00:56 ; elapsed = 00:00:42 . Memory (MB): peak = 1517.723 ; gain = 182.004
|
route_design: Time (s): cpu = 00:00:31 ; elapsed = 00:00:30 . Memory (MB): peak = 1516.082 ; gain = 181.676
|
||||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1517.723 ; gain = 0.000
|
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1516.082 ; gain = 0.000
|
||||||
WARNING: [Constraints 18-5210] No constraints selected for write.
|
WARNING: [Constraints 18-5210] No constraints selected for write.
|
||||||
Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened.
|
Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened.
|
||||||
Writing placer database...
|
Writing placer database...
|
||||||
Writing XDEF routing.
|
Writing XDEF routing.
|
||||||
Writing XDEF routing logical nets.
|
Writing XDEF routing logical nets.
|
||||||
Writing XDEF routing special nets.
|
Writing XDEF routing special nets.
|
||||||
Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.074 . Memory (MB): peak = 1517.723 ; gain = 0.000
|
Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.062 . Memory (MB): peak = 1516.082 ; gain = 0.000
|
||||||
INFO: [Common 17-1381] The checkpoint 'C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_routed.dcp' has been generated.
|
INFO: [Common 17-1381] The checkpoint 'C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_routed.dcp' has been generated.
|
||||||
INFO: [runtcl-4] Executing : report_drc -file CPU9bits_drc_routed.rpt -pb CPU9bits_drc_routed.pb -rpx CPU9bits_drc_routed.rpx
|
INFO: [runtcl-4] Executing : report_drc -file CPU9bits_drc_routed.rpt -pb CPU9bits_drc_routed.pb -rpx CPU9bits_drc_routed.rpx
|
||||||
Command: report_drc -file CPU9bits_drc_routed.rpt -pb CPU9bits_drc_routed.pb -rpx CPU9bits_drc_routed.rpx
|
Command: report_drc -file CPU9bits_drc_routed.rpt -pb CPU9bits_drc_routed.pb -rpx CPU9bits_drc_routed.rpx
|
||||||
INFO: [IP_Flow 19-1839] IP Catalog is up to date.
|
INFO: [IP_Flow 19-1839] IP Catalog is up to date.
|
||||||
INFO: [DRC 23-27] Running DRC with 2 threads
|
INFO: [DRC 23-27] Running DRC with 2 threads
|
||||||
INFO: [Coretcl 2-168] The results of DRC are in file C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_drc_routed.rpt.
|
INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_drc_routed.rpt.
|
||||||
report_drc completed successfully
|
report_drc completed successfully
|
||||||
INFO: [runtcl-4] Executing : report_methodology -file CPU9bits_methodology_drc_routed.rpt -pb CPU9bits_methodology_drc_routed.pb -rpx CPU9bits_methodology_drc_routed.rpx
|
INFO: [runtcl-4] Executing : report_methodology -file CPU9bits_methodology_drc_routed.rpt -pb CPU9bits_methodology_drc_routed.pb -rpx CPU9bits_methodology_drc_routed.rpx
|
||||||
Command: report_methodology -file CPU9bits_methodology_drc_routed.rpt -pb CPU9bits_methodology_drc_routed.pb -rpx CPU9bits_methodology_drc_routed.rpx
|
Command: report_methodology -file CPU9bits_methodology_drc_routed.rpt -pb CPU9bits_methodology_drc_routed.pb -rpx CPU9bits_methodology_drc_routed.rpx
|
||||||
INFO: [Timing 38-35] Done setting XDC timing constraints.
|
INFO: [Timing 38-35] Done setting XDC timing constraints.
|
||||||
INFO: [DRC 23-133] Running Methodology with 2 threads
|
INFO: [DRC 23-133] Running Methodology with 2 threads
|
||||||
INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.rpt.
|
INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_methodology_drc_routed.rpt.
|
||||||
report_methodology completed successfully
|
report_methodology completed successfully
|
||||||
INFO: [runtcl-4] Executing : report_power -file CPU9bits_power_routed.rpt -pb CPU9bits_power_summary_routed.pb -rpx CPU9bits_power_routed.rpx
|
INFO: [runtcl-4] Executing : report_power -file CPU9bits_power_routed.rpt -pb CPU9bits_power_summary_routed.pb -rpx CPU9bits_power_routed.rpx
|
||||||
Command: report_power -file CPU9bits_power_routed.rpt -pb CPU9bits_power_summary_routed.pb -rpx CPU9bits_power_routed.rpx
|
Command: report_power -file CPU9bits_power_routed.rpt -pb CPU9bits_power_summary_routed.pb -rpx CPU9bits_power_routed.rpx
|
||||||
@@ -470,4 +468,4 @@ INFO: [runtcl-4] Executing : report_clock_utilization -file CPU9bits_clock_utili
|
|||||||
INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file CPU9bits_bus_skew_routed.rpt -pb CPU9bits_bus_skew_routed.pb -rpx CPU9bits_bus_skew_routed.rpx
|
INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file CPU9bits_bus_skew_routed.rpt -pb CPU9bits_bus_skew_routed.pb -rpx CPU9bits_bus_skew_routed.rpx
|
||||||
INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -2L, Delay Type: min_max.
|
INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -2L, Delay Type: min_max.
|
||||||
INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs
|
INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs
|
||||||
INFO: [Common 17-206] Exiting Vivado at Sat Mar 30 15:55:20 2019...
|
INFO: [Common 17-206] Exiting Vivado at Sat Apr 6 17:35:04 2019...
|
||||||
|
|||||||
@@ -1,8 +1,8 @@
|
|||||||
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
||||||
---------------------------------------------------------------------------------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------------------------------------------------------------------------------
|
||||||
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
||||||
| Date : Sat Mar 30 15:55:20 2019
|
| Date : Sat Apr 6 17:35:04 2019
|
||||||
| Host : WM-G75VW running 64-bit major release (build 9200)
|
| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200)
|
||||||
| Command : report_bus_skew -warn_on_violation -file CPU9bits_bus_skew_routed.rpt -pb CPU9bits_bus_skew_routed.pb -rpx CPU9bits_bus_skew_routed.rpx
|
| Command : report_bus_skew -warn_on_violation -file CPU9bits_bus_skew_routed.rpt -pb CPU9bits_bus_skew_routed.pb -rpx CPU9bits_bus_skew_routed.rpx
|
||||||
| Design : CPU9bits
|
| Design : CPU9bits
|
||||||
| Device : 7k160ti-fbg484
|
| Device : 7k160ti-fbg484
|
||||||
|
|||||||
@@ -1,8 +1,8 @@
|
|||||||
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
||||||
-------------------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------------------
|
||||||
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
||||||
| Date : Sat Mar 30 15:55:20 2019
|
| Date : Sat Apr 6 17:35:04 2019
|
||||||
| Host : WM-G75VW running 64-bit major release (build 9200)
|
| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200)
|
||||||
| Command : report_clock_utilization -file CPU9bits_clock_utilization_routed.rpt
|
| Command : report_clock_utilization -file CPU9bits_clock_utilization_routed.rpt
|
||||||
| Design : CPU9bits
|
| Design : CPU9bits
|
||||||
| Device : 7k160ti-fbg484
|
| Device : 7k160ti-fbg484
|
||||||
@@ -44,7 +44,7 @@ Table of Contents
|
|||||||
+-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+
|
+-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+
|
||||||
| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net |
|
| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net |
|
||||||
+-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+
|
+-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+
|
||||||
| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y0 | n/a | 1 | 22 | 0 | | | clk_IBUF_BUFG_inst/O | clk_IBUF_BUFG |
|
| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y0 | n/a | 1 | 59 | 0 | | | clk_IBUF_BUFG_inst/O | clk_IBUF_BUFG |
|
||||||
+-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+
|
+-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+
|
||||||
* Clock Loads column represents the clock pin loads (pin count)
|
* Clock Loads column represents the clock pin loads (pin count)
|
||||||
** Non-Clock Loads column represents the non-clock pin loads (pin count)
|
** Non-Clock Loads column represents the non-clock pin loads (pin count)
|
||||||
@@ -72,7 +72,7 @@ Table of Contents
|
|||||||
+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+
|
+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+
|
||||||
| X0Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2800 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 |
|
| X0Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2800 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 |
|
||||||
| X1Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 |
|
| X1Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 |
|
||||||
| X0Y1 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 21 | 2800 | 14 | 850 | 0 | 60 | 0 | 30 | 0 | 60 |
|
| X0Y1 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 58 | 2800 | 29 | 850 | 0 | 60 | 0 | 30 | 0 | 60 |
|
||||||
| X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 |
|
| X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 |
|
||||||
| X0Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2200 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 |
|
| X0Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2200 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 |
|
||||||
| X1Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 |
|
| X1Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 |
|
||||||
@@ -105,7 +105,7 @@ All Modules
|
|||||||
+-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+
|
+-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+
|
||||||
| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net |
|
| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net |
|
||||||
+-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+
|
+-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+
|
||||||
| g0 | BUFG/O | n/a | | | | 22 | 0 | 0 | 0 | clk_IBUF_BUFG |
|
| g0 | BUFG/O | n/a | | | | 59 | 0 | 0 | 0 | clk_IBUF_BUFG |
|
||||||
+-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+
|
+-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+
|
||||||
* Logic Loads column represents load cell count of all cell types other than IO, GT and clock resources
|
* Logic Loads column represents load cell count of all cell types other than IO, GT and clock resources
|
||||||
** IO Loads column represents load cell count of IO types
|
** IO Loads column represents load cell count of IO types
|
||||||
@@ -119,7 +119,7 @@ All Modules
|
|||||||
| Y4 | 0 | 0 |
|
| Y4 | 0 | 0 |
|
||||||
| Y3 | 0 | 0 |
|
| Y3 | 0 | 0 |
|
||||||
| Y2 | 0 | 0 |
|
| Y2 | 0 | 0 |
|
||||||
| Y1 | 22 | 0 |
|
| Y1 | 59 | 0 |
|
||||||
| Y0 | 0 | 0 |
|
| Y0 | 0 | 0 |
|
||||||
+----+-----+----+
|
+----+-----+----+
|
||||||
|
|
||||||
@@ -130,7 +130,7 @@ All Modules
|
|||||||
+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+
|
+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+
|
||||||
| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net |
|
| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net |
|
||||||
+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+
|
+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+
|
||||||
| g0 | n/a | BUFG/O | None | 22 | 0 | 21 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_IBUF_BUFG |
|
| g0 | n/a | BUFG/O | None | 59 | 0 | 58 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_IBUF_BUFG |
|
||||||
+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+
|
+-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+
|
||||||
* Clock Loads column represents the clock pin loads (pin count)
|
* Clock Loads column represents the clock pin loads (pin count)
|
||||||
** Non-Clock Loads column represents the non-clock pin loads (pin count)
|
** Non-Clock Loads column represents the non-clock pin loads (pin count)
|
||||||
|
|||||||
@@ -1,8 +1,8 @@
|
|||||||
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
||||||
-------------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------------
|
||||||
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
||||||
| Date : Sat Mar 30 15:54:32 2019
|
| Date : Sat Apr 6 17:34:31 2019
|
||||||
| Host : WM-G75VW running 64-bit major release (build 9200)
|
| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200)
|
||||||
| Command : report_control_sets -verbose -file CPU9bits_control_sets_placed.rpt
|
| Command : report_control_sets -verbose -file CPU9bits_control_sets_placed.rpt
|
||||||
| Design : CPU9bits
|
| Design : CPU9bits
|
||||||
| Device : xc7k160ti
|
| Device : xc7k160ti
|
||||||
@@ -24,7 +24,7 @@ Table of Contents
|
|||||||
| Status | Count |
|
| Status | Count |
|
||||||
+----------------------------------------------------------+-------+
|
+----------------------------------------------------------+-------+
|
||||||
| Number of unique control sets | 3 |
|
| Number of unique control sets | 3 |
|
||||||
| Unused register locations in slices containing registers | 19 |
|
| Unused register locations in slices containing registers | 14 |
|
||||||
+----------------------------------------------------------+-------+
|
+----------------------------------------------------------+-------+
|
||||||
|
|
||||||
|
|
||||||
@@ -34,8 +34,8 @@ Table of Contents
|
|||||||
+--------+--------------+
|
+--------+--------------+
|
||||||
| Fanout | Control Sets |
|
| Fanout | Control Sets |
|
||||||
+--------+--------------+
|
+--------+--------------+
|
||||||
| 3 | 1 |
|
|
||||||
| 9 | 2 |
|
| 9 | 2 |
|
||||||
|
| 16+ | 1 |
|
||||||
+--------+--------------+
|
+--------+--------------+
|
||||||
|
|
||||||
|
|
||||||
@@ -45,24 +45,24 @@ Table of Contents
|
|||||||
+--------------+-----------------------+------------------------+-----------------+--------------+
|
+--------------+-----------------------+------------------------+-----------------+--------------+
|
||||||
| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices |
|
| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices |
|
||||||
+--------------+-----------------------+------------------------+-----------------+--------------+
|
+--------------+-----------------------+------------------------+-----------------+--------------+
|
||||||
| No | No | No | 3 | 1 |
|
| No | No | No | 0 | 0 |
|
||||||
| No | No | Yes | 0 | 0 |
|
| No | No | Yes | 0 | 0 |
|
||||||
| No | Yes | No | 0 | 0 |
|
| No | Yes | No | 40 | 11 |
|
||||||
| Yes | No | No | 0 | 0 |
|
| Yes | No | No | 0 | 0 |
|
||||||
| Yes | No | Yes | 0 | 0 |
|
| Yes | No | Yes | 0 | 0 |
|
||||||
| Yes | Yes | No | 18 | 8 |
|
| Yes | Yes | No | 18 | 6 |
|
||||||
+--------------+-----------------------+------------------------+-----------------+--------------+
|
+--------------+-----------------------+------------------------+-----------------+--------------+
|
||||||
|
|
||||||
|
|
||||||
4. Detailed Control Set Information
|
4. Detailed Control Set Information
|
||||||
-----------------------------------
|
-----------------------------------
|
||||||
|
|
||||||
+----------------+----------------------------+------------------+------------------+----------------+
|
+----------------+------------------------+------------------+------------------+----------------+
|
||||||
| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count |
|
| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count |
|
||||||
+----------------+----------------------------+------------------+------------------+----------------+
|
+----------------+------------------------+------------------+------------------+----------------+
|
||||||
| clk_IBUF_BUFG | | | 1 | 3 |
|
| clk_IBUF_BUFG | pipe2/E[0] | reset_IBUF | 3 | 9 |
|
||||||
| clk_IBUF_BUFG | FetchU/PC/E[0] | reset_IBUF | 4 | 9 |
|
| clk_IBUF_BUFG | pipe2/Dout_reg[5]_0[0] | reset_IBUF | 3 | 9 |
|
||||||
| clk_IBUF_BUFG | FetchU/PC/Dout_reg[0]_1[0] | reset_IBUF | 4 | 9 |
|
| clk_IBUF_BUFG | | reset_IBUF | 11 | 40 |
|
||||||
+----------------+----------------------------+------------------+------------------+----------------+
|
+----------------+------------------------+------------------+------------------+----------------+
|
||||||
|
|
||||||
|
|
||||||
|
|||||||
@@ -1,8 +1,8 @@
|
|||||||
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
||||||
---------------------------------------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------------------------------------
|
||||||
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
||||||
| Date : Sat Mar 30 15:54:22 2019
|
| Date : Sat Apr 6 17:34:27 2019
|
||||||
| Host : WM-G75VW running 64-bit major release (build 9200)
|
| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200)
|
||||||
| Command : report_drc -file CPU9bits_drc_opted.rpt -pb CPU9bits_drc_opted.pb -rpx CPU9bits_drc_opted.rpx
|
| Command : report_drc -file CPU9bits_drc_opted.rpt -pb CPU9bits_drc_opted.pb -rpx CPU9bits_drc_opted.rpx
|
||||||
| Design : CPU9bits
|
| Design : CPU9bits
|
||||||
| Device : xc7k160tifbg484-2L
|
| Device : xc7k160tifbg484-2L
|
||||||
|
|||||||
@@ -1,8 +1,8 @@
|
|||||||
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
||||||
------------------------------------------------------------------------------------------------------------------
|
------------------------------------------------------------------------------------------------------------------
|
||||||
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
||||||
| Date : Sat Mar 30 15:55:17 2019
|
| Date : Sat Apr 6 17:35:02 2019
|
||||||
| Host : WM-G75VW running 64-bit major release (build 9200)
|
| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200)
|
||||||
| Command : report_drc -file CPU9bits_drc_routed.rpt -pb CPU9bits_drc_routed.pb -rpx CPU9bits_drc_routed.rpx
|
| Command : report_drc -file CPU9bits_drc_routed.rpt -pb CPU9bits_drc_routed.pb -rpx CPU9bits_drc_routed.rpx
|
||||||
| Design : CPU9bits
|
| Design : CPU9bits
|
||||||
| Device : xc7k160tifbg484-2L
|
| Device : xc7k160tifbg484-2L
|
||||||
|
|||||||
@@ -1,8 +1,8 @@
|
|||||||
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
||||||
-------------------------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------------------------
|
||||||
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
||||||
| Date : Sat Mar 30 15:54:32 2019
|
| Date : Sat Apr 6 17:34:31 2019
|
||||||
| Host : WM-G75VW running 64-bit major release (build 9200)
|
| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200)
|
||||||
| Command : report_io -file CPU9bits_io_placed.rpt
|
| Command : report_io -file CPU9bits_io_placed.rpt
|
||||||
| Design : CPU9bits
|
| Design : CPU9bits
|
||||||
| Device : xc7k160ti
|
| Device : xc7k160ti
|
||||||
|
|||||||
Binary file not shown.
@@ -1,8 +1,8 @@
|
|||||||
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
||||||
--------------------------------------------------------------------------------------------------------------------------------------------------------------
|
--------------------------------------------------------------------------------------------------------------------------------------------------------------
|
||||||
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
||||||
| Date : Sat Mar 30 15:55:19 2019
|
| Date : Sat Apr 6 17:35:03 2019
|
||||||
| Host : WM-G75VW running 64-bit major release (build 9200)
|
| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200)
|
||||||
| Command : report_methodology -file CPU9bits_methodology_drc_routed.rpt -pb CPU9bits_methodology_drc_routed.pb -rpx CPU9bits_methodology_drc_routed.rpx
|
| Command : report_methodology -file CPU9bits_methodology_drc_routed.rpt -pb CPU9bits_methodology_drc_routed.pb -rpx CPU9bits_methodology_drc_routed.rpx
|
||||||
| Design : CPU9bits
|
| Design : CPU9bits
|
||||||
| Device : xc7k160tifbg484-2L
|
| Device : xc7k160tifbg484-2L
|
||||||
@@ -23,123 +23,308 @@ Table of Contents
|
|||||||
Floorplan: design_1
|
Floorplan: design_1
|
||||||
Design limits: <entire design considered>
|
Design limits: <entire design considered>
|
||||||
Max violations: <unlimited>
|
Max violations: <unlimited>
|
||||||
Violations found: 22
|
Violations found: 59
|
||||||
+-----------+----------+-----------------------------+------------+
|
+-----------+----------+-----------------------------+------------+
|
||||||
| Rule | Severity | Description | Violations |
|
| Rule | Severity | Description | Violations |
|
||||||
+-----------+----------+-----------------------------+------------+
|
+-----------+----------+-----------------------------+------------+
|
||||||
| TIMING-17 | Warning | Non-clocked sequential cell | 22 |
|
| TIMING-17 | Warning | Non-clocked sequential cell | 59 |
|
||||||
+-----------+----------+-----------------------------+------------+
|
+-----------+----------+-----------------------------+------------+
|
||||||
|
|
||||||
2. REPORT DETAILS
|
2. REPORT DETAILS
|
||||||
-----------------
|
-----------------
|
||||||
TIMING-17#1 Warning
|
TIMING-17#1 Warning
|
||||||
Non-clocked sequential cell
|
Non-clocked sequential cell
|
||||||
The clock pin FetchU/PC/Dout_reg[0]/C is not reached by a timing clock
|
The clock pin EM/dM/memory_reg/CLKARDCLK is not reached by a timing clock
|
||||||
Related violations: <none>
|
Related violations: <none>
|
||||||
|
|
||||||
TIMING-17#2 Warning
|
TIMING-17#2 Warning
|
||||||
Non-clocked sequential cell
|
Non-clocked sequential cell
|
||||||
The clock pin FetchU/PC/Dout_reg[1]/C is not reached by a timing clock
|
The clock pin FD/FetchU/PC/Dout_reg[0]/C is not reached by a timing clock
|
||||||
Related violations: <none>
|
Related violations: <none>
|
||||||
|
|
||||||
TIMING-17#3 Warning
|
TIMING-17#3 Warning
|
||||||
Non-clocked sequential cell
|
Non-clocked sequential cell
|
||||||
The clock pin FetchU/PC/Dout_reg[2]/C is not reached by a timing clock
|
The clock pin FD/FetchU/PC/Dout_reg[1]/C is not reached by a timing clock
|
||||||
Related violations: <none>
|
Related violations: <none>
|
||||||
|
|
||||||
TIMING-17#4 Warning
|
TIMING-17#4 Warning
|
||||||
Non-clocked sequential cell
|
Non-clocked sequential cell
|
||||||
The clock pin RF/r0/Dout_reg[0]/C is not reached by a timing clock
|
The clock pin FD/FetchU/PC/Dout_reg[2]/C is not reached by a timing clock
|
||||||
Related violations: <none>
|
Related violations: <none>
|
||||||
|
|
||||||
TIMING-17#5 Warning
|
TIMING-17#5 Warning
|
||||||
Non-clocked sequential cell
|
Non-clocked sequential cell
|
||||||
The clock pin RF/r0/Dout_reg[1]/C is not reached by a timing clock
|
The clock pin FD/RF/r0/Dout_reg[0]/C is not reached by a timing clock
|
||||||
Related violations: <none>
|
Related violations: <none>
|
||||||
|
|
||||||
TIMING-17#6 Warning
|
TIMING-17#6 Warning
|
||||||
Non-clocked sequential cell
|
Non-clocked sequential cell
|
||||||
The clock pin RF/r0/Dout_reg[2]/C is not reached by a timing clock
|
The clock pin FD/RF/r0/Dout_reg[1]/C is not reached by a timing clock
|
||||||
Related violations: <none>
|
Related violations: <none>
|
||||||
|
|
||||||
TIMING-17#7 Warning
|
TIMING-17#7 Warning
|
||||||
Non-clocked sequential cell
|
Non-clocked sequential cell
|
||||||
The clock pin RF/r0/Dout_reg[3]/C is not reached by a timing clock
|
The clock pin FD/RF/r0/Dout_reg[2]/C is not reached by a timing clock
|
||||||
Related violations: <none>
|
Related violations: <none>
|
||||||
|
|
||||||
TIMING-17#8 Warning
|
TIMING-17#8 Warning
|
||||||
Non-clocked sequential cell
|
Non-clocked sequential cell
|
||||||
The clock pin RF/r0/Dout_reg[4]/C is not reached by a timing clock
|
The clock pin FD/RF/r0/Dout_reg[3]/C is not reached by a timing clock
|
||||||
Related violations: <none>
|
Related violations: <none>
|
||||||
|
|
||||||
TIMING-17#9 Warning
|
TIMING-17#9 Warning
|
||||||
Non-clocked sequential cell
|
Non-clocked sequential cell
|
||||||
The clock pin RF/r0/Dout_reg[5]/C is not reached by a timing clock
|
The clock pin FD/RF/r0/Dout_reg[4]/C is not reached by a timing clock
|
||||||
Related violations: <none>
|
Related violations: <none>
|
||||||
|
|
||||||
TIMING-17#10 Warning
|
TIMING-17#10 Warning
|
||||||
Non-clocked sequential cell
|
Non-clocked sequential cell
|
||||||
The clock pin RF/r0/Dout_reg[6]/C is not reached by a timing clock
|
The clock pin FD/RF/r0/Dout_reg[5]/C is not reached by a timing clock
|
||||||
Related violations: <none>
|
Related violations: <none>
|
||||||
|
|
||||||
TIMING-17#11 Warning
|
TIMING-17#11 Warning
|
||||||
Non-clocked sequential cell
|
Non-clocked sequential cell
|
||||||
The clock pin RF/r0/Dout_reg[7]/C is not reached by a timing clock
|
The clock pin FD/RF/r0/Dout_reg[6]/C is not reached by a timing clock
|
||||||
Related violations: <none>
|
Related violations: <none>
|
||||||
|
|
||||||
TIMING-17#12 Warning
|
TIMING-17#12 Warning
|
||||||
Non-clocked sequential cell
|
Non-clocked sequential cell
|
||||||
The clock pin RF/r0/Dout_reg[8]/C is not reached by a timing clock
|
The clock pin FD/RF/r0/Dout_reg[7]/C is not reached by a timing clock
|
||||||
Related violations: <none>
|
Related violations: <none>
|
||||||
|
|
||||||
TIMING-17#13 Warning
|
TIMING-17#13 Warning
|
||||||
Non-clocked sequential cell
|
Non-clocked sequential cell
|
||||||
The clock pin RF/r1/Dout_reg[0]/C is not reached by a timing clock
|
The clock pin FD/RF/r0/Dout_reg[8]/C is not reached by a timing clock
|
||||||
Related violations: <none>
|
Related violations: <none>
|
||||||
|
|
||||||
TIMING-17#14 Warning
|
TIMING-17#14 Warning
|
||||||
Non-clocked sequential cell
|
Non-clocked sequential cell
|
||||||
The clock pin RF/r1/Dout_reg[1]/C is not reached by a timing clock
|
The clock pin FD/RF/r1/Dout_reg[0]/C is not reached by a timing clock
|
||||||
Related violations: <none>
|
Related violations: <none>
|
||||||
|
|
||||||
TIMING-17#15 Warning
|
TIMING-17#15 Warning
|
||||||
Non-clocked sequential cell
|
Non-clocked sequential cell
|
||||||
The clock pin RF/r1/Dout_reg[2]/C is not reached by a timing clock
|
The clock pin FD/RF/r1/Dout_reg[1]/C is not reached by a timing clock
|
||||||
Related violations: <none>
|
Related violations: <none>
|
||||||
|
|
||||||
TIMING-17#16 Warning
|
TIMING-17#16 Warning
|
||||||
Non-clocked sequential cell
|
Non-clocked sequential cell
|
||||||
The clock pin RF/r1/Dout_reg[3]/C is not reached by a timing clock
|
The clock pin FD/RF/r1/Dout_reg[2]/C is not reached by a timing clock
|
||||||
Related violations: <none>
|
Related violations: <none>
|
||||||
|
|
||||||
TIMING-17#17 Warning
|
TIMING-17#17 Warning
|
||||||
Non-clocked sequential cell
|
Non-clocked sequential cell
|
||||||
The clock pin RF/r1/Dout_reg[4]/C is not reached by a timing clock
|
The clock pin FD/RF/r1/Dout_reg[3]/C is not reached by a timing clock
|
||||||
Related violations: <none>
|
Related violations: <none>
|
||||||
|
|
||||||
TIMING-17#18 Warning
|
TIMING-17#18 Warning
|
||||||
Non-clocked sequential cell
|
Non-clocked sequential cell
|
||||||
The clock pin RF/r1/Dout_reg[5]/C is not reached by a timing clock
|
The clock pin FD/RF/r1/Dout_reg[4]/C is not reached by a timing clock
|
||||||
Related violations: <none>
|
Related violations: <none>
|
||||||
|
|
||||||
TIMING-17#19 Warning
|
TIMING-17#19 Warning
|
||||||
Non-clocked sequential cell
|
Non-clocked sequential cell
|
||||||
The clock pin RF/r1/Dout_reg[6]/C is not reached by a timing clock
|
The clock pin FD/RF/r1/Dout_reg[5]/C is not reached by a timing clock
|
||||||
Related violations: <none>
|
Related violations: <none>
|
||||||
|
|
||||||
TIMING-17#20 Warning
|
TIMING-17#20 Warning
|
||||||
Non-clocked sequential cell
|
Non-clocked sequential cell
|
||||||
The clock pin RF/r1/Dout_reg[7]/C is not reached by a timing clock
|
The clock pin FD/RF/r1/Dout_reg[6]/C is not reached by a timing clock
|
||||||
Related violations: <none>
|
Related violations: <none>
|
||||||
|
|
||||||
TIMING-17#21 Warning
|
TIMING-17#21 Warning
|
||||||
Non-clocked sequential cell
|
Non-clocked sequential cell
|
||||||
The clock pin RF/r1/Dout_reg[8]/C is not reached by a timing clock
|
The clock pin FD/RF/r1/Dout_reg[7]/C is not reached by a timing clock
|
||||||
Related violations: <none>
|
Related violations: <none>
|
||||||
|
|
||||||
TIMING-17#22 Warning
|
TIMING-17#22 Warning
|
||||||
Non-clocked sequential cell
|
Non-clocked sequential cell
|
||||||
The clock pin dM/memory_reg/CLKARDCLK is not reached by a timing clock
|
The clock pin FD/RF/r1/Dout_reg[8]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#23 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe1/Dout_reg[12]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#24 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe1/Dout_reg[24]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#25 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe1/Dout_reg[25]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#26 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe1/Dout_reg[26]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#27 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe1/Dout_reg[27]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#28 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe1/Dout_reg[28]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#29 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe1/Dout_reg[29]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#30 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe1/Dout_reg[2]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#31 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe1/Dout_reg[30]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#32 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe1/Dout_reg[31]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#33 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe1/Dout_reg[32]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#34 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe1/Dout_reg[33]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#35 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe1/Dout_reg[34]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#36 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe1/Dout_reg[35]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#37 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe1/Dout_reg[36]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#38 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe1/Dout_reg[37]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#39 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe1/Dout_reg[38]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#40 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe1/Dout_reg[39]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#41 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe1/Dout_reg[40]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#42 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe1/Dout_reg[41]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#43 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe1/Dout_reg[45]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#44 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe1/Dout_reg[7]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#45 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe2/Dout_reg[0]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#46 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe2/Dout_reg[25]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#47 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe2/Dout_reg[26]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#48 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe2/Dout_reg[27]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#49 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe2/Dout_reg[28]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#50 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe2/Dout_reg[29]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#51 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe2/Dout_reg[30]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#52 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe2/Dout_reg[31]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#53 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe2/Dout_reg[32]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#54 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe2/Dout_reg[33]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#55 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe2/Dout_reg[34]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#56 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe2/Dout_reg[35]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#57 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe2/Dout_reg[36]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#58 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe2/Dout_reg[3]/C is not reached by a timing clock
|
||||||
|
Related violations: <none>
|
||||||
|
|
||||||
|
TIMING-17#59 Warning
|
||||||
|
Non-clocked sequential cell
|
||||||
|
The clock pin pipe2/Dout_reg[5]/C is not reached by a timing clock
|
||||||
Related violations: <none>
|
Related violations: <none>
|
||||||
|
|
||||||
|
|
||||||
|
|||||||
Binary file not shown.
Binary file not shown.
@@ -1,8 +1,8 @@
|
|||||||
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
||||||
----------------------------------------------------------------------------------------------------------------------------------------------
|
----------------------------------------------------------------------------------------------------------------------------------------------
|
||||||
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
||||||
| Date : Sat Mar 30 15:55:20 2019
|
| Date : Sat Apr 6 17:35:04 2019
|
||||||
| Host : WM-G75VW running 64-bit major release (build 9200)
|
| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200)
|
||||||
| Command : report_power -file CPU9bits_power_routed.rpt -pb CPU9bits_power_summary_routed.pb -rpx CPU9bits_power_routed.rpx
|
| Command : report_power -file CPU9bits_power_routed.rpt -pb CPU9bits_power_summary_routed.pb -rpx CPU9bits_power_routed.rpx
|
||||||
| Design : CPU9bits
|
| Design : CPU9bits
|
||||||
| Device : xc7k160tifbg484-2L
|
| Device : xc7k160tifbg484-2L
|
||||||
@@ -30,14 +30,14 @@ Table of Contents
|
|||||||
----------
|
----------
|
||||||
|
|
||||||
+--------------------------+--------------+
|
+--------------------------+--------------+
|
||||||
| Total On-Chip Power (W) | 11.172 |
|
| Total On-Chip Power (W) | 10.632 |
|
||||||
| Design Power Budget (W) | Unspecified* |
|
| Design Power Budget (W) | Unspecified* |
|
||||||
| Power Budget Margin (W) | NA |
|
| Power Budget Margin (W) | NA |
|
||||||
| Dynamic (W) | 11.030 |
|
| Dynamic (W) | 10.494 |
|
||||||
| Device Static (W) | 0.142 |
|
| Device Static (W) | 0.137 |
|
||||||
| Effective TJA (C/W) | 2.5 |
|
| Effective TJA (C/W) | 2.5 |
|
||||||
| Max Ambient (C) | 72.4 |
|
| Max Ambient (C) | 73.7 |
|
||||||
| Junction Temperature (C) | 52.6 |
|
| Junction Temperature (C) | 51.3 |
|
||||||
| Confidence Level | Low |
|
| Confidence Level | Low |
|
||||||
| Setting File | --- |
|
| Setting File | --- |
|
||||||
| Simulation Activity File | --- |
|
| Simulation Activity File | --- |
|
||||||
@@ -52,16 +52,16 @@ Table of Contents
|
|||||||
+----------------+-----------+----------+-----------+-----------------+
|
+----------------+-----------+----------+-----------+-----------------+
|
||||||
| On-Chip | Power (W) | Used | Available | Utilization (%) |
|
| On-Chip | Power (W) | Used | Available | Utilization (%) |
|
||||||
+----------------+-----------+----------+-----------+-----------------+
|
+----------------+-----------+----------+-----------+-----------------+
|
||||||
| Slice Logic | 1.248 | 107 | --- | --- |
|
| Slice Logic | 0.768 | 132 | --- | --- |
|
||||||
| LUT as Logic | 1.231 | 73 | 101400 | 0.07 |
|
| LUT as Logic | 0.719 | 54 | 101400 | 0.05 |
|
||||||
| Register | 0.012 | 21 | 202800 | 0.01 |
|
| Register | 0.043 | 58 | 202800 | 0.03 |
|
||||||
| BUFG | 0.005 | 1 | 32 | 3.13 |
|
| BUFG | 0.005 | 1 | 32 | 3.13 |
|
||||||
| Others | 0.000 | 4 | --- | --- |
|
| Others | 0.000 | 5 | --- | --- |
|
||||||
| Signals | 1.328 | 114 | --- | --- |
|
| Signals | 0.881 | 109 | --- | --- |
|
||||||
| Block RAM | 0.060 | 0.5 | 325 | 0.15 |
|
| Block RAM | 0.060 | 0.5 | 325 | 0.15 |
|
||||||
| I/O | 8.393 | 12 | 285 | 4.21 |
|
| I/O | 8.785 | 12 | 285 | 4.21 |
|
||||||
| Static Power | 0.142 | | | |
|
| Static Power | 0.137 | | | |
|
||||||
| Total | 11.172 | | | |
|
| Total | 10.632 | | | |
|
||||||
+----------------+-----------+----------+-----------+-----------------+
|
+----------------+-----------+----------+-----------+-----------------+
|
||||||
|
|
||||||
|
|
||||||
@@ -71,16 +71,16 @@ Table of Contents
|
|||||||
+-----------+-------------+-----------+-------------+------------+
|
+-----------+-------------+-----------+-------------+------------+
|
||||||
| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) |
|
| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) |
|
||||||
+-----------+-------------+-----------+-------------+------------+
|
+-----------+-------------+-----------+-------------+------------+
|
||||||
| Vccint | 0.950 | 2.849 | 2.775 | 0.074 |
|
| Vccint | 0.950 | 1.868 | 1.799 | 0.070 |
|
||||||
| Vccaux | 1.800 | 0.707 | 0.687 | 0.020 |
|
| Vccaux | 1.800 | 0.738 | 0.719 | 0.020 |
|
||||||
| Vcco33 | 3.300 | 0.000 | 0.000 | 0.000 |
|
| Vcco33 | 3.300 | 0.000 | 0.000 | 0.000 |
|
||||||
| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 |
|
| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 |
|
||||||
| Vcco18 | 1.800 | 3.975 | 3.974 | 0.001 |
|
| Vcco18 | 1.800 | 4.161 | 4.160 | 0.001 |
|
||||||
| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 |
|
| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 |
|
||||||
| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 |
|
| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 |
|
||||||
| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 |
|
| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 |
|
||||||
| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 |
|
| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 |
|
||||||
| Vccbram | 0.950 | 0.007 | 0.005 | 0.002 |
|
| Vccbram | 0.950 | 0.006 | 0.005 | 0.002 |
|
||||||
| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 |
|
| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 |
|
||||||
| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 |
|
| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 |
|
||||||
| MGTVccaux | 1.800 | 0.000 | 0.000 | 0.000 |
|
| MGTVccaux | 1.800 | 0.000 | 0.000 | 0.000 |
|
||||||
@@ -136,16 +136,22 @@ Table of Contents
|
|||||||
3.1 By Hierarchy
|
3.1 By Hierarchy
|
||||||
----------------
|
----------------
|
||||||
|
|
||||||
+----------+-----------+
|
+------------+-----------+
|
||||||
| Name | Power (W) |
|
| Name | Power (W) |
|
||||||
+----------+-----------+
|
+------------+-----------+
|
||||||
| CPU9bits | 11.030 |
|
| CPU9bits | 10.494 |
|
||||||
| FetchU | 1.191 |
|
| EM | 0.099 |
|
||||||
| PC | 1.191 |
|
| dM | 0.099 |
|
||||||
| RF | 1.317 |
|
| FD | 0.424 |
|
||||||
| r0 | 0.812 |
|
| FetchU | 0.179 |
|
||||||
| r1 | 0.506 |
|
| PC | 0.179 |
|
||||||
| dM | 0.113 |
|
| RF | 0.244 |
|
||||||
+----------+-----------+
|
| r0 | 0.133 |
|
||||||
|
| r1 | 0.112 |
|
||||||
|
| W | 0.301 |
|
||||||
|
| mux5 | 0.301 |
|
||||||
|
| pipe1 | 0.782 |
|
||||||
|
| pipe2 | 0.087 |
|
||||||
|
+------------+-----------+
|
||||||
|
|
||||||
|
|
||||||
|
|||||||
Binary file not shown.
Binary file not shown.
@@ -1,11 +1,11 @@
|
|||||||
Design Route Status
|
Design Route Status
|
||||||
: # nets :
|
: # nets :
|
||||||
------------------------------------------- : ----------- :
|
------------------------------------------- : ----------- :
|
||||||
# of logical nets.......................... : 128 :
|
# of logical nets.......................... : 152 :
|
||||||
# of nets not needing routing.......... : 12 :
|
# of nets not needing routing.......... : 41 :
|
||||||
# of internally routed nets........ : 12 :
|
# of internally routed nets........ : 41 :
|
||||||
# of routable nets..................... : 116 :
|
# of routable nets..................... : 111 :
|
||||||
# of fully routed nets............. : 116 :
|
# of fully routed nets............. : 111 :
|
||||||
# of nets with routing errors.......... : 0 :
|
# of nets with routing errors.......... : 0 :
|
||||||
------------------------------------------- : ----------- :
|
------------------------------------------- : ----------- :
|
||||||
|
|
||||||
|
|||||||
Binary file not shown.
@@ -1,173 +0,0 @@
|
|||||||
#-----------------------------------------------------------
|
|
||||||
# Vivado v2018.3 (64-bit)
|
|
||||||
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
|
||||||
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
|
||||||
# Start of session at: Sun Mar 24 18:38:44 2019
|
|
||||||
# Process ID: 13064
|
|
||||||
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1
|
|
||||||
# Command line: vivado.exe -log CPU9bits_tb.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source CPU9bits_tb.tcl -notrace
|
|
||||||
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_tb.vdi
|
|
||||||
# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1\vivado.jou
|
|
||||||
#-----------------------------------------------------------
|
|
||||||
source CPU9bits_tb.tcl -notrace
|
|
||||||
Command: link_design -top CPU9bits_tb -part xc7k160tifbg484-2L
|
|
||||||
Design is defaulting to srcset: sources_1
|
|
||||||
Design is defaulting to constrset: constrs_1
|
|
||||||
INFO: [Project 1-479] Netlist was created with Vivado 2018.3
|
|
||||||
INFO: [Device 21-403] Loading part xc7k160tifbg484-2L
|
|
||||||
INFO: [Project 1-570] Preparing netlist for logic optimization
|
|
||||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 579.477 ; gain = 0.000
|
|
||||||
INFO: [Project 1-111] Unisim Transformation Summary:
|
|
||||||
No Unisim elements were transformed.
|
|
||||||
|
|
||||||
4 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
|
|
||||||
link_design completed successfully
|
|
||||||
link_design: Time (s): cpu = 00:00:05 ; elapsed = 00:00:16 . Memory (MB): peak = 579.477 ; gain = 327.758
|
|
||||||
Command: opt_design
|
|
||||||
Attempting to get a license for feature 'Implementation' and/or device 'xc7k160ti'
|
|
||||||
INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160ti'
|
|
||||||
Running DRC as a precondition to command opt_design
|
|
||||||
|
|
||||||
Starting DRC Task
|
|
||||||
INFO: [DRC 23-27] Running DRC with 2 threads
|
|
||||||
INFO: [Project 1-461] DRC finished with 0 Errors
|
|
||||||
INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information.
|
|
||||||
|
|
||||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.063 . Memory (MB): peak = 583.891 ; gain = 4.082
|
|
||||||
|
|
||||||
Starting Cache Timing Information Task
|
|
||||||
INFO: [Timing 38-35] Done setting XDC timing constraints.
|
|
||||||
Ending Cache Timing Information Task | Checksum: f67b9b0d
|
|
||||||
|
|
||||||
Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 1077.012 ; gain = 493.121
|
|
||||||
|
|
||||||
Starting Logic Optimization Task
|
|
||||||
|
|
||||||
Phase 1 Retarget
|
|
||||||
INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
|
|
||||||
INFO: [Opt 31-49] Retargeted 0 cell(s).
|
|
||||||
Phase 1 Retarget | Checksum: f67b9b0d
|
|
||||||
|
|
||||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.022 . Memory (MB): peak = 1167.297 ; gain = 0.000
|
|
||||||
INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells
|
|
||||||
|
|
||||||
Phase 2 Constant propagation
|
|
||||||
INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
|
|
||||||
Phase 2 Constant propagation | Checksum: f67b9b0d
|
|
||||||
|
|
||||||
Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.022 . Memory (MB): peak = 1167.297 ; gain = 0.000
|
|
||||||
INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells
|
|
||||||
|
|
||||||
Phase 3 Sweep
|
|
||||||
Phase 3 Sweep | Checksum: f67b9b0d
|
|
||||||
|
|
||||||
Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.023 . Memory (MB): peak = 1167.297 ; gain = 0.000
|
|
||||||
INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells
|
|
||||||
|
|
||||||
Phase 4 BUFG optimization
|
|
||||||
Phase 4 BUFG optimization | Checksum: f67b9b0d
|
|
||||||
|
|
||||||
Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.024 . Memory (MB): peak = 1167.297 ; gain = 0.000
|
|
||||||
INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells.
|
|
||||||
|
|
||||||
Phase 5 Shift Register Optimization
|
|
||||||
Phase 5 Shift Register Optimization | Checksum: f67b9b0d
|
|
||||||
|
|
||||||
Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.059 . Memory (MB): peak = 1167.297 ; gain = 0.000
|
|
||||||
INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells
|
|
||||||
|
|
||||||
Phase 6 Post Processing Netlist
|
|
||||||
Phase 6 Post Processing Netlist | Checksum: f67b9b0d
|
|
||||||
|
|
||||||
Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.060 . Memory (MB): peak = 1167.297 ; gain = 0.000
|
|
||||||
INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells
|
|
||||||
Opt_design Change Summary
|
|
||||||
=========================
|
|
||||||
|
|
||||||
|
|
||||||
-------------------------------------------------------------------------------------------------------------------------
|
|
||||||
| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations |
|
|
||||||
-------------------------------------------------------------------------------------------------------------------------
|
|
||||||
| Retarget | 0 | 0 | 0 |
|
|
||||||
| Constant propagation | 0 | 0 | 0 |
|
|
||||||
| Sweep | 0 | 0 | 0 |
|
|
||||||
| BUFG optimization | 0 | 0 | 0 |
|
|
||||||
| Shift Register Optimization | 0 | 0 | 0 |
|
|
||||||
| Post Processing Netlist | 0 | 0 | 0 |
|
|
||||||
-------------------------------------------------------------------------------------------------------------------------
|
|
||||||
|
|
||||||
|
|
||||||
|
|
||||||
Starting Connectivity Check Task
|
|
||||||
|
|
||||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1167.297 ; gain = 0.000
|
|
||||||
Ending Logic Optimization Task | Checksum: f67b9b0d
|
|
||||||
|
|
||||||
Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.062 . Memory (MB): peak = 1167.297 ; gain = 0.000
|
|
||||||
|
|
||||||
Starting Power Optimization Task
|
|
||||||
INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns.
|
|
||||||
Ending Power Optimization Task | Checksum: f67b9b0d
|
|
||||||
|
|
||||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.009 . Memory (MB): peak = 1167.297 ; gain = 0.000
|
|
||||||
|
|
||||||
Starting Final Cleanup Task
|
|
||||||
Ending Final Cleanup Task | Checksum: f67b9b0d
|
|
||||||
|
|
||||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1167.297 ; gain = 0.000
|
|
||||||
|
|
||||||
Starting Netlist Obfuscation Task
|
|
||||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1167.297 ; gain = 0.000
|
|
||||||
Ending Netlist Obfuscation Task | Checksum: f67b9b0d
|
|
||||||
|
|
||||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1167.297 ; gain = 0.000
|
|
||||||
INFO: [Common 17-83] Releasing license: Implementation
|
|
||||||
20 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
|
|
||||||
opt_design completed successfully
|
|
||||||
opt_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 1167.297 ; gain = 587.559
|
|
||||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1167.297 ; gain = 0.000
|
|
||||||
WARNING: [Constraints 18-5210] No constraints selected for write.
|
|
||||||
Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened.
|
|
||||||
INFO: [Common 17-1381] The checkpoint 'C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_tb_opt.dcp' has been generated.
|
|
||||||
INFO: [runtcl-4] Executing : report_drc -file CPU9bits_tb_drc_opted.rpt -pb CPU9bits_tb_drc_opted.pb -rpx CPU9bits_tb_drc_opted.rpx
|
|
||||||
Command: report_drc -file CPU9bits_tb_drc_opted.rpt -pb CPU9bits_tb_drc_opted.pb -rpx CPU9bits_tb_drc_opted.rpx
|
|
||||||
INFO: [IP_Flow 19-234] Refreshing IP repositories
|
|
||||||
INFO: [IP_Flow 19-1704] No user IP repositories specified
|
|
||||||
INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2018.3/data/ip'.
|
|
||||||
INFO: [DRC 23-27] Running DRC with 2 threads
|
|
||||||
INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_tb_drc_opted.rpt.
|
|
||||||
report_drc completed successfully
|
|
||||||
Command: place_design
|
|
||||||
Attempting to get a license for feature 'Implementation' and/or device 'xc7k160ti'
|
|
||||||
INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160ti'
|
|
||||||
INFO: [DRC 23-27] Running DRC with 2 threads
|
|
||||||
INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
|
|
||||||
INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
|
|
||||||
Running DRC as a precondition to command place_design
|
|
||||||
INFO: [DRC 23-27] Running DRC with 2 threads
|
|
||||||
INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
|
|
||||||
INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
|
|
||||||
|
|
||||||
Starting Placer Task
|
|
||||||
INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs
|
|
||||||
|
|
||||||
Phase 1 Placer Initialization
|
|
||||||
|
|
||||||
Phase 1.1 Placer Initialization Netlist Sorting
|
|
||||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1192.641 ; gain = 0.000
|
|
||||||
Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 00000000
|
|
||||||
|
|
||||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.005 . Memory (MB): peak = 1192.641 ; gain = 0.328
|
|
||||||
Phase 1 Placer Initialization | Checksum: 00000000
|
|
||||||
|
|
||||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.006 . Memory (MB): peak = 1192.641 ; gain = 0.328
|
|
||||||
ERROR: [Place 30-494] The design is empty
|
|
||||||
Resolution: Check if opt_design has removed all the leaf cells of your design. Check whether you have instantiated and connected all of the top level ports.
|
|
||||||
Ending Placer Task | Checksum: 00000000
|
|
||||||
|
|
||||||
Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.006 . Memory (MB): peak = 1192.641 ; gain = 0.328
|
|
||||||
INFO: [Common 17-83] Releasing license: Implementation
|
|
||||||
36 Infos, 1 Warnings, 0 Critical Warnings and 2 Errors encountered.
|
|
||||||
place_design failed
|
|
||||||
ERROR: [Common 17-69] Command failed: Placer could not place all instances
|
|
||||||
INFO: [Common 17-206] Exiting Vivado at Sun Mar 24 18:39:16 2019...
|
|
||||||
Binary file not shown.
@@ -1,49 +0,0 @@
|
|||||||
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
|
||||||
------------------------------------------------------------------------------------------------------------------------
|
|
||||||
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
|
||||||
| Date : Sun Mar 24 18:39:15 2019
|
|
||||||
| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200)
|
|
||||||
| Command : report_drc -file CPU9bits_tb_drc_opted.rpt -pb CPU9bits_tb_drc_opted.pb -rpx CPU9bits_tb_drc_opted.rpx
|
|
||||||
| Design : CPU9bits_tb
|
|
||||||
| Device : xc7k160tifbg484-2L
|
|
||||||
| Speed File : -2L
|
|
||||||
| Design State : Fully Routed
|
|
||||||
------------------------------------------------------------------------------------------------------------------------
|
|
||||||
|
|
||||||
Report DRC
|
|
||||||
|
|
||||||
Table of Contents
|
|
||||||
-----------------
|
|
||||||
1. REPORT SUMMARY
|
|
||||||
2. REPORT DETAILS
|
|
||||||
|
|
||||||
1. REPORT SUMMARY
|
|
||||||
-----------------
|
|
||||||
Netlist: netlist
|
|
||||||
Floorplan: design_1
|
|
||||||
Design limits: <entire design considered>
|
|
||||||
Ruledeck: default
|
|
||||||
Max violations: <unlimited>
|
|
||||||
Violations found: 1
|
|
||||||
+----------+----------+-----------------------------------------------------+------------+
|
|
||||||
| Rule | Severity | Description | Violations |
|
|
||||||
+----------+----------+-----------------------------------------------------+------------+
|
|
||||||
| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 |
|
|
||||||
+----------+----------+-----------------------------------------------------+------------+
|
|
||||||
|
|
||||||
2. REPORT DETAILS
|
|
||||||
-----------------
|
|
||||||
CFGBVS-1#1 Warning
|
|
||||||
Missing CFGBVS and CONFIG_VOLTAGE Design Properties
|
|
||||||
Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax:
|
|
||||||
|
|
||||||
set_property CFGBVS value1 [current_design]
|
|
||||||
#where value1 is either VCCO or GND
|
|
||||||
|
|
||||||
set_property CONFIG_VOLTAGE value2 [current_design]
|
|
||||||
#where value2 is the voltage provided to configuration bank 0
|
|
||||||
|
|
||||||
Refer to the device configuration user guide for more information.
|
|
||||||
Related violations: <none>
|
|
||||||
|
|
||||||
|
|
||||||
Binary file not shown.
@@ -1,8 +1,8 @@
|
|||||||
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
||||||
-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
|
-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
|
||||||
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
||||||
| Date : Sat Mar 30 15:55:20 2019
|
| Date : Sat Apr 6 17:35:04 2019
|
||||||
| Host : WM-G75VW running 64-bit major release (build 9200)
|
| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200)
|
||||||
| Command : report_timing_summary -max_paths 10 -file CPU9bits_timing_summary_routed.rpt -pb CPU9bits_timing_summary_routed.pb -rpx CPU9bits_timing_summary_routed.rpx -warn_on_violation
|
| Command : report_timing_summary -max_paths 10 -file CPU9bits_timing_summary_routed.rpt -pb CPU9bits_timing_summary_routed.pb -rpx CPU9bits_timing_summary_routed.rpx -warn_on_violation
|
||||||
| Design : CPU9bits
|
| Design : CPU9bits
|
||||||
| Device : 7k160ti-fbg484
|
| Device : 7k160ti-fbg484
|
||||||
@@ -52,7 +52,7 @@ Table of Contents
|
|||||||
|
|
||||||
1. checking no_clock
|
1. checking no_clock
|
||||||
--------------------
|
--------------------
|
||||||
There are 22 register/latch pins with no clock driven by root clock pin: clk (HIGH)
|
There are 59 register/latch pins with no clock driven by root clock pin: clk (HIGH)
|
||||||
|
|
||||||
|
|
||||||
2. checking constant_clock
|
2. checking constant_clock
|
||||||
@@ -67,7 +67,7 @@ Table of Contents
|
|||||||
|
|
||||||
4. checking unconstrained_internal_endpoints
|
4. checking unconstrained_internal_endpoints
|
||||||
--------------------------------------------
|
--------------------------------------------
|
||||||
There are 75 pins that are not constrained for maximum delay. (HIGH)
|
There are 152 pins that are not constrained for maximum delay. (HIGH)
|
||||||
|
|
||||||
There are 0 pins that are not constrained for maximum delay due to constant clock.
|
There are 0 pins that are not constrained for maximum delay due to constant clock.
|
||||||
|
|
||||||
|
|||||||
Binary file not shown.
@@ -1,8 +1,8 @@
|
|||||||
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
||||||
-------------------------------------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------------------------------------
|
||||||
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
||||||
| Date : Sat Mar 30 15:54:32 2019
|
| Date : Sat Apr 6 17:34:31 2019
|
||||||
| Host : WM-G75VW running 64-bit major release (build 9200)
|
| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200)
|
||||||
| Command : report_utilization -file CPU9bits_utilization_placed.rpt -pb CPU9bits_utilization_placed.pb
|
| Command : report_utilization -file CPU9bits_utilization_placed.rpt -pb CPU9bits_utilization_placed.pb
|
||||||
| Design : CPU9bits
|
| Design : CPU9bits
|
||||||
| Device : 7k160tifbg484-2L
|
| Device : 7k160tifbg484-2L
|
||||||
@@ -31,11 +31,11 @@ Table of Contents
|
|||||||
+-------------------------+------+-------+-----------+-------+
|
+-------------------------+------+-------+-----------+-------+
|
||||||
| Site Type | Used | Fixed | Available | Util% |
|
| Site Type | Used | Fixed | Available | Util% |
|
||||||
+-------------------------+------+-------+-----------+-------+
|
+-------------------------+------+-------+-----------+-------+
|
||||||
| Slice LUTs | 73 | 0 | 101400 | 0.07 |
|
| Slice LUTs | 54 | 0 | 101400 | 0.05 |
|
||||||
| LUT as Logic | 73 | 0 | 101400 | 0.07 |
|
| LUT as Logic | 54 | 0 | 101400 | 0.05 |
|
||||||
| LUT as Memory | 0 | 0 | 35000 | 0.00 |
|
| LUT as Memory | 0 | 0 | 35000 | 0.00 |
|
||||||
| Slice Registers | 21 | 0 | 202800 | 0.01 |
|
| Slice Registers | 58 | 0 | 202800 | 0.03 |
|
||||||
| Register as Flip Flop | 21 | 0 | 202800 | 0.01 |
|
| Register as Flip Flop | 58 | 0 | 202800 | 0.03 |
|
||||||
| Register as Latch | 0 | 0 | 202800 | 0.00 |
|
| Register as Latch | 0 | 0 | 202800 | 0.00 |
|
||||||
| F7 Muxes | 0 | 0 | 50700 | 0.00 |
|
| F7 Muxes | 0 | 0 | 50700 | 0.00 |
|
||||||
| F8 Muxes | 0 | 0 | 25350 | 0.00 |
|
| F8 Muxes | 0 | 0 | 25350 | 0.00 |
|
||||||
@@ -57,7 +57,7 @@ Table of Contents
|
|||||||
| 0 | Yes | - | Set |
|
| 0 | Yes | - | Set |
|
||||||
| 0 | Yes | - | Reset |
|
| 0 | Yes | - | Reset |
|
||||||
| 0 | Yes | Set | - |
|
| 0 | Yes | Set | - |
|
||||||
| 21 | Yes | Reset | - |
|
| 58 | Yes | Reset | - |
|
||||||
+-------+--------------+-------------+--------------+
|
+-------+--------------+-------------+--------------+
|
||||||
|
|
||||||
|
|
||||||
@@ -68,20 +68,20 @@ Table of Contents
|
|||||||
| Site Type | Used | Fixed | Available | Util% |
|
| Site Type | Used | Fixed | Available | Util% |
|
||||||
+--------------------------------------------+------+-------+-----------+-------+
|
+--------------------------------------------+------+-------+-----------+-------+
|
||||||
| Slice | 21 | 0 | 25350 | 0.08 |
|
| Slice | 21 | 0 | 25350 | 0.08 |
|
||||||
| SLICEL | 11 | 0 | | |
|
| SLICEL | 12 | 0 | | |
|
||||||
| SLICEM | 10 | 0 | | |
|
| SLICEM | 9 | 0 | | |
|
||||||
| LUT as Logic | 73 | 0 | 101400 | 0.07 |
|
| LUT as Logic | 54 | 0 | 101400 | 0.05 |
|
||||||
| using O5 output only | 0 | | | |
|
| using O5 output only | 0 | | | |
|
||||||
| using O6 output only | 65 | | | |
|
| using O6 output only | 40 | | | |
|
||||||
| using O5 and O6 | 8 | | | |
|
| using O5 and O6 | 14 | | | |
|
||||||
| LUT as Memory | 0 | 0 | 35000 | 0.00 |
|
| LUT as Memory | 0 | 0 | 35000 | 0.00 |
|
||||||
| LUT as Distributed RAM | 0 | 0 | | |
|
| LUT as Distributed RAM | 0 | 0 | | |
|
||||||
| LUT as Shift Register | 0 | 0 | | |
|
| LUT as Shift Register | 0 | 0 | | |
|
||||||
| Slice Registers | 21 | 0 | 202800 | 0.01 |
|
| Slice Registers | 58 | 0 | 202800 | 0.03 |
|
||||||
| Register driven from within the Slice | 4 | | | |
|
| Register driven from within the Slice | 34 | | | |
|
||||||
| Register driven from outside the Slice | 17 | | | |
|
| Register driven from outside the Slice | 24 | | | |
|
||||||
| LUT in front of the register is unused | 0 | | | |
|
| LUT in front of the register is unused | 17 | | | |
|
||||||
| LUT in front of the register is used | 17 | | | |
|
| LUT in front of the register is used | 7 | | | |
|
||||||
| Unique Control Sets | 3 | | 25350 | 0.01 |
|
| Unique Control Sets | 3 | | 25350 | 0.01 |
|
||||||
+--------------------------------------------+------+-------+-----------+-------+
|
+--------------------------------------------+------+-------+-----------+-------+
|
||||||
* Note: Available Control Sets calculated as Slice Registers / 8, Review the Control Sets Report for more information regarding control sets.
|
* Note: Available Control Sets calculated as Slice Registers / 8, Review the Control Sets Report for more information regarding control sets.
|
||||||
@@ -180,15 +180,16 @@ Table of Contents
|
|||||||
+----------+------+---------------------+
|
+----------+------+---------------------+
|
||||||
| Ref Name | Used | Functional Category |
|
| Ref Name | Used | Functional Category |
|
||||||
+----------+------+---------------------+
|
+----------+------+---------------------+
|
||||||
| LUT6 | 37 | LUT |
|
| FDRE | 58 | Flop & Latch |
|
||||||
| LUT4 | 27 | LUT |
|
| LUT4 | 23 | LUT |
|
||||||
| FDRE | 21 | Flop & Latch |
|
| LUT3 | 18 | LUT |
|
||||||
|
| LUT5 | 11 | LUT |
|
||||||
| OBUF | 10 | IO |
|
| OBUF | 10 | IO |
|
||||||
| LUT5 | 10 | LUT |
|
| LUT6 | 9 | LUT |
|
||||||
| LUT2 | 4 | LUT |
|
| LUT2 | 6 | LUT |
|
||||||
| LUT3 | 3 | LUT |
|
|
||||||
| IBUF | 2 | IO |
|
| IBUF | 2 | IO |
|
||||||
| RAMB18E1 | 1 | Block Memory |
|
| RAMB18E1 | 1 | Block Memory |
|
||||||
|
| LUT1 | 1 | LUT |
|
||||||
| BUFG | 1 | Clock |
|
| BUFG | 1 | Clock |
|
||||||
+----------+------+---------------------+
|
+----------+------+---------------------+
|
||||||
|
|
||||||
|
|||||||
@@ -1,5 +1,5 @@
|
|||||||
<?xml version="1.0" encoding="UTF-8"?>
|
<?xml version="1.0" encoding="UTF-8"?>
|
||||||
<GenRun Id="impl_1" LaunchPart="xc7k160tifbg484-2L" LaunchTime="1553975561">
|
<GenRun Id="impl_1" LaunchPart="xc7k160tifbg484-2L" LaunchTime="1554586396">
|
||||||
<File Type="BITSTR-BMM" Name="CPU9bits_bd.bmm"/>
|
<File Type="BITSTR-BMM" Name="CPU9bits_bd.bmm"/>
|
||||||
<File Type="OPT-METHODOLOGY-DRC" Name="CPU9bits_methodology_drc_opted.rpt"/>
|
<File Type="OPT-METHODOLOGY-DRC" Name="CPU9bits_methodology_drc_opted.rpt"/>
|
||||||
<File Type="INIT-TIMING" Name="CPU9bits_timing_summary_init.rpt"/>
|
<File Type="INIT-TIMING" Name="CPU9bits_timing_summary_init.rpt"/>
|
||||||
@@ -92,6 +92,20 @@
|
|||||||
<Attr Name="UsedIn" Val="simulation"/>
|
<Attr Name="UsedIn" Val="simulation"/>
|
||||||
</FileInfo>
|
</FileInfo>
|
||||||
</File>
|
</File>
|
||||||
|
<File Path="$PSRCDIR/sources_1/new/EMModule.v">
|
||||||
|
<FileInfo>
|
||||||
|
<Attr Name="UsedIn" Val="synthesis"/>
|
||||||
|
<Attr Name="UsedIn" Val="implementation"/>
|
||||||
|
<Attr Name="UsedIn" Val="simulation"/>
|
||||||
|
</FileInfo>
|
||||||
|
</File>
|
||||||
|
<File Path="$PSRCDIR/sources_1/new/FDModule.v">
|
||||||
|
<FileInfo>
|
||||||
|
<Attr Name="UsedIn" Val="synthesis"/>
|
||||||
|
<Attr Name="UsedIn" Val="implementation"/>
|
||||||
|
<Attr Name="UsedIn" Val="simulation"/>
|
||||||
|
</FileInfo>
|
||||||
|
</File>
|
||||||
<File Path="$PSRCDIR/sources_1/new/FetchUnit.v">
|
<File Path="$PSRCDIR/sources_1/new/FetchUnit.v">
|
||||||
<FileInfo>
|
<FileInfo>
|
||||||
<Attr Name="UsedIn" Val="synthesis"/>
|
<Attr Name="UsedIn" Val="synthesis"/>
|
||||||
@@ -106,6 +120,13 @@
|
|||||||
<Attr Name="UsedIn" Val="simulation"/>
|
<Attr Name="UsedIn" Val="simulation"/>
|
||||||
</FileInfo>
|
</FileInfo>
|
||||||
</File>
|
</File>
|
||||||
|
<File Path="$PSRCDIR/sources_1/new/WMUdule.v">
|
||||||
|
<FileInfo>
|
||||||
|
<Attr Name="UsedIn" Val="synthesis"/>
|
||||||
|
<Attr Name="UsedIn" Val="implementation"/>
|
||||||
|
<Attr Name="UsedIn" Val="simulation"/>
|
||||||
|
</FileInfo>
|
||||||
|
</File>
|
||||||
<File Path="$PSRCDIR/sources_1/new/dataMemory.v">
|
<File Path="$PSRCDIR/sources_1/new/dataMemory.v">
|
||||||
<FileInfo>
|
<FileInfo>
|
||||||
<Attr Name="UsedIn" Val="synthesis"/>
|
<Attr Name="UsedIn" Val="synthesis"/>
|
||||||
@@ -135,6 +156,14 @@
|
|||||||
<Attr Name="UsedIn" Val="simulation"/>
|
<Attr Name="UsedIn" Val="simulation"/>
|
||||||
</FileInfo>
|
</FileInfo>
|
||||||
</File>
|
</File>
|
||||||
|
<File Path="$PPRDIR/../Downloads/pipeline_example.v">
|
||||||
|
<FileInfo>
|
||||||
|
<Attr Name="AutoDisabled" Val="1"/>
|
||||||
|
<Attr Name="UsedIn" Val="synthesis"/>
|
||||||
|
<Attr Name="UsedIn" Val="implementation"/>
|
||||||
|
<Attr Name="UsedIn" Val="simulation"/>
|
||||||
|
</FileInfo>
|
||||||
|
</File>
|
||||||
<Config>
|
<Config>
|
||||||
<Option Name="DesignMode" Val="RTL"/>
|
<Option Name="DesignMode" Val="RTL"/>
|
||||||
<Option Name="TopModule" Val="CPU9bits"/>
|
<Option Name="TopModule" Val="CPU9bits"/>
|
||||||
|
|||||||
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
@@ -2,11 +2,11 @@
|
|||||||
# Vivado v2018.3 (64-bit)
|
# Vivado v2018.3 (64-bit)
|
||||||
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
||||||
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
||||||
# Start of session at: Sat Mar 30 15:53:31 2019
|
# Start of session at: Sat Apr 6 17:33:53 2019
|
||||||
# Process ID: 13696
|
# Process ID: 9496
|
||||||
# Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1
|
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1
|
||||||
# Command line: vivado.exe -log CPU9bits.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source CPU9bits.tcl -notrace
|
# Command line: vivado.exe -log CPU9bits.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source CPU9bits.tcl -notrace
|
||||||
# Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits.vdi
|
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits.vdi
|
||||||
# Journal file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/impl_1\vivado.jou
|
# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1\vivado.jou
|
||||||
#-----------------------------------------------------------
|
#-----------------------------------------------------------
|
||||||
source CPU9bits.tcl -notrace
|
source CPU9bits.tcl -notrace
|
||||||
|
|||||||
Binary file not shown.
@@ -1,12 +0,0 @@
|
|||||||
#-----------------------------------------------------------
|
|
||||||
# Vivado v2018.3 (64-bit)
|
|
||||||
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
|
||||||
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
|
||||||
# Start of session at: Sun Mar 24 18:38:44 2019
|
|
||||||
# Process ID: 13064
|
|
||||||
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1
|
|
||||||
# Command line: vivado.exe -log CPU9bits_tb.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source CPU9bits_tb.tcl -notrace
|
|
||||||
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1/CPU9bits_tb.vdi
|
|
||||||
# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/impl_1\vivado.jou
|
|
||||||
#-----------------------------------------------------------
|
|
||||||
source CPU9bits_tb.tcl -notrace
|
|
||||||
Binary file not shown.
@@ -17,6 +17,7 @@ proc create_report { reportName command } {
|
|||||||
send_msg_id runtcl-5 warning "$msg"
|
send_msg_id runtcl-5 warning "$msg"
|
||||||
}
|
}
|
||||||
}
|
}
|
||||||
|
set_param synth.incrementalSynthesisCache C:/Users/ecelab/AppData/Roaming/Xilinx/Vivado/.Xil/Vivado-10176-DESKTOP-8QFGS52/incrSyn
|
||||||
set_msg_config -id {Synth 8-256} -limit 10000
|
set_msg_config -id {Synth 8-256} -limit 10000
|
||||||
set_msg_config -id {Synth 8-638} -limit 10000
|
set_msg_config -id {Synth 8-638} -limit 10000
|
||||||
create_project -in_memory -part xc7k160tifbg484-2L
|
create_project -in_memory -part xc7k160tifbg484-2L
|
||||||
@@ -24,21 +25,24 @@ create_project -in_memory -part xc7k160tifbg484-2L
|
|||||||
set_param project.singleFileAddWarning.threshold 0
|
set_param project.singleFileAddWarning.threshold 0
|
||||||
set_param project.compositeFile.enableAutoGeneration 0
|
set_param project.compositeFile.enableAutoGeneration 0
|
||||||
set_param synth.vivado.isSynthRun true
|
set_param synth.vivado.isSynthRun true
|
||||||
set_property webtalk.parent_dir {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.cache/wt} [current_project]
|
set_property webtalk.parent_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.cache/wt [current_project]
|
||||||
set_property parent.project_path {C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.xpr} [current_project]
|
set_property parent.project_path C:/Users/ecelab/ECE3570-Lab/lab2CA.xpr [current_project]
|
||||||
set_property default_lib xil_defaultlib [current_project]
|
set_property default_lib xil_defaultlib [current_project]
|
||||||
set_property target_language Verilog [current_project]
|
set_property target_language Verilog [current_project]
|
||||||
set_property ip_output_repo {c:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.cache/ip} [current_project]
|
set_property ip_output_repo c:/Users/ecelab/ECE3570-Lab/lab2CA.cache/ip [current_project]
|
||||||
set_property ip_cache_permissions {read write} [current_project]
|
set_property ip_cache_permissions {read write} [current_project]
|
||||||
read_verilog -library xil_defaultlib {
|
read_verilog -library xil_defaultlib {
|
||||||
{C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v}
|
C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v
|
||||||
{C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v}
|
C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v
|
||||||
{C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v}
|
C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v
|
||||||
{C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v}
|
C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/EMModule.v
|
||||||
{C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v}
|
C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FDModule.v
|
||||||
{C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v}
|
C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v
|
||||||
{C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v}
|
C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v
|
||||||
{C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v}
|
C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/WMUdule.v
|
||||||
|
C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v
|
||||||
|
C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v
|
||||||
|
C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v
|
||||||
}
|
}
|
||||||
# Mark all dcp files as not used in implementation to prevent them from being
|
# Mark all dcp files as not used in implementation to prevent them from being
|
||||||
# stitched into the results of this synthesis run. Any black boxes in the
|
# stitched into the results of this synthesis run. Any black boxes in the
|
||||||
|
|||||||
@@ -2,12 +2,12 @@
|
|||||||
# Vivado v2018.3 (64-bit)
|
# Vivado v2018.3 (64-bit)
|
||||||
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
||||||
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
||||||
# Start of session at: Sat Mar 30 15:52:45 2019
|
# Start of session at: Sat Apr 6 17:33:19 2019
|
||||||
# Process ID: 9028
|
# Process ID: 7092
|
||||||
# Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1
|
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1
|
||||||
# Command line: vivado.exe -log CPU9bits.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source CPU9bits.tcl
|
# Command line: vivado.exe -log CPU9bits.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source CPU9bits.tcl
|
||||||
# Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.vds
|
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.vds
|
||||||
# Journal file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1\vivado.jou
|
# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1\vivado.jou
|
||||||
#-----------------------------------------------------------
|
#-----------------------------------------------------------
|
||||||
source CPU9bits.tcl -notrace
|
source CPU9bits.tcl -notrace
|
||||||
Command: synth_design -top CPU9bits -part xc7k160tifbg484-2L
|
Command: synth_design -top CPU9bits -part xc7k160tifbg484-2L
|
||||||
@@ -15,89 +15,108 @@ Starting synth_design
|
|||||||
Attempting to get a license for feature 'Synthesis' and/or device 'xc7k160ti'
|
Attempting to get a license for feature 'Synthesis' and/or device 'xc7k160ti'
|
||||||
INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7k160ti'
|
INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7k160ti'
|
||||||
INFO: Launching helper process for spawning children vivado processes
|
INFO: Launching helper process for spawning children vivado processes
|
||||||
INFO: Helper process launched with PID 18388
|
INFO: Helper process launched with PID 7732
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Starting Synthesize : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 364.258 ; gain = 100.730
|
Starting Synthesize : Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 359.789 ; gain = 101.883
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
INFO: [Synth 8-6157] synthesizing module 'CPU9bits' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3]
|
INFO: [Synth 8-6157] synthesizing module 'CPU9bits' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'instructionMemory' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v:3]
|
INFO: [Synth 8-6157] synthesizing module 'FDModule' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FDModule.v:3]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'instructionMemory' (1#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v:3]
|
INFO: [Synth 8-6157] synthesizing module 'instructionMemory' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v:3]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'dataMemory' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:3]
|
INFO: [Synth 8-6155] done synthesizing module 'instructionMemory' (1#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/instructionMemory.v:3]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'dataMemory' (2#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:3]
|
INFO: [Synth 8-6157] synthesizing module 'FetchUnit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'RegFile' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v:3]
|
INFO: [Synth 8-6157] synthesizing module 'register' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:773]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'decoder' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:261]
|
INFO: [Synth 8-6155] done synthesizing module 'register' (2#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:773]
|
||||||
INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:266]
|
INFO: [Synth 8-6157] synthesizing module 'add_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:56]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'decoder' (3#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:261]
|
INFO: [Synth 8-6157] synthesizing module 'add_1bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'register' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:766]
|
INFO: [Synth 8-6155] done synthesizing module 'add_1bit' (3#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'register' (4#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:766]
|
INFO: [Synth 8-6155] done synthesizing module 'add_9bit' (4#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:56]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'mux_4_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:396]
|
INFO: [Synth 8-6157] synthesizing module 'mux_2_1' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:332]
|
||||||
INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:401]
|
INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:338]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'mux_4_1' (5#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:396]
|
INFO: [Synth 8-6155] done synthesizing module 'mux_2_1' (5#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:332]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'RegFile' (6#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v:3]
|
INFO: [Synth 8-6155] done synthesizing module 'FetchUnit' (6#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'FetchUnit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3]
|
INFO: [Synth 8-6157] synthesizing module 'RegFile' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v:3]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'add_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:56]
|
INFO: [Synth 8-6157] synthesizing module 'decoder' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:261]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'add_1bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3]
|
INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:268]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'add_1bit' (7#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:3]
|
WARNING: [Synth 8-567] referenced signal 'En' should be on the sensitivity list [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:266]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'add_9bit' (8#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:56]
|
INFO: [Synth 8-6155] done synthesizing module 'decoder' (7#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:261]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'mux_2_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:325]
|
INFO: [Synth 8-6157] synthesizing module 'mux_4_1' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:403]
|
||||||
INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:331]
|
INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:408]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'mux_2_1' (9#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:325]
|
INFO: [Synth 8-6155] done synthesizing module 'mux_4_1' (8#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:403]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'FetchUnit' (10#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/FetchUnit.v:3]
|
INFO: [Synth 8-6155] done synthesizing module 'RegFile' (9#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/RegFile.v:3]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'ALU' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:3]
|
INFO: [Synth 8-6157] synthesizing module 'ControlUnit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'sub_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1300]
|
INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:13]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'twos_compliment_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1365]
|
INFO: [Synth 8-6155] done synthesizing module 'ControlUnit' (10#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'not_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:676]
|
INFO: [Synth 8-6155] done synthesizing module 'FDModule' (11#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/FDModule.v:3]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'not_9bit' (11#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:676]
|
INFO: [Synth 8-6157] synthesizing module 'fDPipReg' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:849]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'twos_compliment_9bit' (12#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1365]
|
INFO: [Synth 8-6155] done synthesizing module 'fDPipReg' (12#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:849]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'sub_9bit' (13#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1300]
|
INFO: [Synth 8-6157] synthesizing module 'EMModule' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/EMModule.v:5]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'or_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:713]
|
INFO: [Synth 8-6157] synthesizing module 'dataMemory' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:3]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'or_9bit' (14#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:713]
|
INFO: [Synth 8-6155] done synthesizing module 'dataMemory' (13#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/dataMemory.v:3]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'nor_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:632]
|
INFO: [Synth 8-6157] synthesizing module 'ALU' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:3]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'nor_9bit' (15#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:632]
|
INFO: [Synth 8-6157] synthesizing module 'sub_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1341]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'and_9bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:175]
|
INFO: [Synth 8-6157] synthesizing module 'twos_compliment_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1406]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'and_9bit' (16#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:175]
|
INFO: [Synth 8-6157] synthesizing module 'not_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:683]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'shift_left' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:842]
|
INFO: [Synth 8-6155] done synthesizing module 'not_9bit' (14#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:683]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'shift_left' (17#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:842]
|
INFO: [Synth 8-6155] done synthesizing module 'twos_compliment_9bit' (15#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1406]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'shift_right_logical' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:916]
|
INFO: [Synth 8-6155] done synthesizing module 'sub_9bit' (16#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1341]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'shift_right_logical' (18#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:916]
|
INFO: [Synth 8-6157] synthesizing module 'or_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:720]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'shift_right_arithmetic' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:879]
|
INFO: [Synth 8-6155] done synthesizing module 'or_9bit' (17#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:720]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'shift_right_arithmetic' (19#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:879]
|
INFO: [Synth 8-6157] synthesizing module 'nor_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:639]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'less_than' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:309]
|
INFO: [Synth 8-6155] done synthesizing module 'nor_9bit' (18#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:639]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'less_than' (20#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:309]
|
INFO: [Synth 8-6157] synthesizing module 'and_9bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:175]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'BEQ' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1414]
|
INFO: [Synth 8-6155] done synthesizing module 'and_9bit' (19#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:175]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'BEQ' (21#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1414]
|
INFO: [Synth 8-6157] synthesizing module 'shift_left' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:883]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'mux_16_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:524]
|
INFO: [Synth 8-6155] done synthesizing module 'shift_left' (20#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:883]
|
||||||
INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:530]
|
INFO: [Synth 8-6157] synthesizing module 'shift_right_logical' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:957]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'mux_16_1' (22#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:524]
|
INFO: [Synth 8-6155] done synthesizing module 'shift_right_logical' (21#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:957]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'ALU' (23#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:3]
|
INFO: [Synth 8-6157] synthesizing module 'shift_right_arithmetic' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:920]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'ControlUnit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3]
|
INFO: [Synth 8-6155] done synthesizing module 'shift_right_arithmetic' (22#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:920]
|
||||||
INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:13]
|
INFO: [Synth 8-6157] synthesizing module 'less_than' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:316]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'ControlUnit' (24#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/ControlUnit.v:3]
|
INFO: [Synth 8-6155] done synthesizing module 'less_than' (23#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:316]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'sign_extend_3bit' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:985]
|
INFO: [Synth 8-6157] synthesizing module 'BEQ' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1455]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'sign_extend_3bit' (25#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:985]
|
INFO: [Synth 8-6155] done synthesizing module 'BEQ' (24#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1455]
|
||||||
INFO: [Synth 8-6157] synthesizing module 'bit1_mux_2_1' [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:339]
|
INFO: [Synth 8-6157] synthesizing module 'mux_16_1' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:531]
|
||||||
INFO: [Synth 8-226] default block is never used [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:345]
|
INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:537]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'bit1_mux_2_1' (26#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:339]
|
INFO: [Synth 8-6155] done synthesizing module 'mux_16_1' (25#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:531]
|
||||||
INFO: [Synth 8-6155] done synthesizing module 'CPU9bits' (27#1) [C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3]
|
INFO: [Synth 8-6155] done synthesizing module 'ALU' (26#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/ALU.v:3]
|
||||||
|
INFO: [Synth 8-6157] synthesizing module 'sign_extend_3bit' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1026]
|
||||||
|
INFO: [Synth 8-6155] done synthesizing module 'sign_extend_3bit' (27#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:1026]
|
||||||
|
INFO: [Synth 8-6157] synthesizing module 'bit1_mux_2_1' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:346]
|
||||||
|
INFO: [Synth 8-226] default block is never used [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:352]
|
||||||
|
INFO: [Synth 8-6155] done synthesizing module 'bit1_mux_2_1' (28#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:346]
|
||||||
|
INFO: [Synth 8-6155] done synthesizing module 'EMModule' (29#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/EMModule.v:5]
|
||||||
|
INFO: [Synth 8-6157] synthesizing module 'eMPipReg' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:866]
|
||||||
|
INFO: [Synth 8-6155] done synthesizing module 'eMPipReg' (30#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/BasicModules.v:866]
|
||||||
|
WARNING: [Synth 8-689] width (62) of port connection 'Din' does not match port width (61) of module 'eMPipReg' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:49]
|
||||||
|
WARNING: [Synth 8-689] width (62) of port connection 'Dout' does not match port width (61) of module 'eMPipReg' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:50]
|
||||||
|
INFO: [Synth 8-6157] synthesizing module 'WMUdule' [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/WMUdule.v:3]
|
||||||
|
INFO: [Synth 8-6155] done synthesizing module 'WMUdule' (31#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/WMUdule.v:3]
|
||||||
|
INFO: [Synth 8-6155] done synthesizing module 'CPU9bits' (32#1) [C:/Users/ecelab/ECE3570-Lab/lab2CA.srcs/sources_1/new/CPU9bits.v:3]
|
||||||
|
WARNING: [Synth 8-3331] design WMUdule has unconnected port reset
|
||||||
|
WARNING: [Synth 8-3331] design WMUdule has unconnected port clk
|
||||||
|
WARNING: [Synth 8-3331] design EMModule has unconnected port PipIn[50]
|
||||||
|
WARNING: [Synth 8-3331] design EMModule has unconnected port PipIn[49]
|
||||||
|
WARNING: [Synth 8-3331] design EMModule has unconnected port PipIn[48]
|
||||||
|
WARNING: [Synth 8-3331] design EMModule has unconnected port PipIn[47]
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Finished Synthesize : Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 420.883 ; gain = 157.355
|
Finished Synthesize : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 416.430 ; gain = 158.523
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Finished Constraint Validation : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 420.883 ; gain = 157.355
|
Finished Constraint Validation : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 416.430 ; gain = 158.523
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Start Loading Part and Timing Information
|
Start Loading Part and Timing Information
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Loading part: xc7k160tifbg484-2L
|
Loading part: xc7k160tifbg484-2L
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Finished Loading Part and Timing Information : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 420.883 ; gain = 157.355
|
Finished Loading Part and Timing Information : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 416.430 ; gain = 158.523
|
||||||
INFO: [Device 21-403] Loading part xc7k160tifbg484-2L
|
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
|
INFO: [Device 21-403] Loading part xc7k160tifbg484-2L
|
||||||
INFO: [Synth 8-5544] ROM "memory" won't be mapped to Block RAM because address size (3) smaller than threshold (5)
|
INFO: [Synth 8-5544] ROM "memory" won't be mapped to Block RAM because address size (3) smaller than threshold (5)
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 420.883 ; gain = 157.355
|
Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 416.430 ; gain = 158.523
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
INFO: [Synth 8-223] decloning instance 'SE1' (sign_extend_3bit) to 'SE3'
|
INFO: [Synth 8-223] decloning instance 'EM/SE1' (sign_extend_3bit) to 'EM/SE3'
|
||||||
|
|
||||||
Report RTL Partitions:
|
Report RTL Partitions:
|
||||||
+-+--------------+------------+----------+
|
+-+--------------+------------+----------+
|
||||||
@@ -112,19 +131,21 @@ Detailed RTL Component Info :
|
|||||||
+---XORs :
|
+---XORs :
|
||||||
2 Input 1 Bit XORs := 162
|
2 Input 1 Bit XORs := 162
|
||||||
+---Registers :
|
+---Registers :
|
||||||
|
61 Bit Registers := 1
|
||||||
|
51 Bit Registers := 1
|
||||||
9 Bit Registers := 10
|
9 Bit Registers := 10
|
||||||
+---RAMs :
|
+---RAMs :
|
||||||
4K Bit RAMs := 1
|
4K Bit RAMs := 1
|
||||||
+---Muxes :
|
+---Muxes :
|
||||||
7 Input 9 Bit Muxes := 1
|
7 Input 9 Bit Muxes := 1
|
||||||
4 Input 9 Bit Muxes := 5
|
|
||||||
2 Input 9 Bit Muxes := 8
|
2 Input 9 Bit Muxes := 8
|
||||||
|
4 Input 9 Bit Muxes := 4
|
||||||
|
2 Input 4 Bit Muxes := 2
|
||||||
4 Input 4 Bit Muxes := 2
|
4 Input 4 Bit Muxes := 2
|
||||||
16 Input 4 Bit Muxes := 1
|
16 Input 4 Bit Muxes := 1
|
||||||
2 Input 3 Bit Muxes := 2
|
2 Input 3 Bit Muxes := 2
|
||||||
16 Input 3 Bit Muxes := 1
|
16 Input 3 Bit Muxes := 1
|
||||||
16 Input 2 Bit Muxes := 1
|
16 Input 2 Bit Muxes := 1
|
||||||
8 Input 2 Bit Muxes := 1
|
|
||||||
16 Input 1 Bit Muxes := 7
|
16 Input 1 Bit Muxes := 7
|
||||||
2 Input 1 Bit Muxes := 1
|
2 Input 1 Bit Muxes := 1
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
@@ -134,33 +155,14 @@ Finished RTL Component Statistics
|
|||||||
Start RTL Hierarchical Component Statistics
|
Start RTL Hierarchical Component Statistics
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Hierarchical RTL Component report
|
Hierarchical RTL Component report
|
||||||
Module CPU9bits
|
|
||||||
Detailed RTL Component Info :
|
|
||||||
+---Muxes :
|
|
||||||
4 Input 9 Bit Muxes := 1
|
|
||||||
8 Input 2 Bit Muxes := 1
|
|
||||||
Module instructionMemory
|
Module instructionMemory
|
||||||
Detailed RTL Component Info :
|
Detailed RTL Component Info :
|
||||||
+---Muxes :
|
+---Muxes :
|
||||||
7 Input 9 Bit Muxes := 1
|
7 Input 9 Bit Muxes := 1
|
||||||
Module dataMemory
|
|
||||||
Detailed RTL Component Info :
|
|
||||||
+---Registers :
|
|
||||||
9 Bit Registers := 1
|
|
||||||
+---RAMs :
|
|
||||||
4K Bit RAMs := 1
|
|
||||||
Module decoder
|
|
||||||
Detailed RTL Component Info :
|
|
||||||
+---Muxes :
|
|
||||||
4 Input 4 Bit Muxes := 1
|
|
||||||
Module register
|
Module register
|
||||||
Detailed RTL Component Info :
|
Detailed RTL Component Info :
|
||||||
+---Registers :
|
+---Registers :
|
||||||
9 Bit Registers := 1
|
9 Bit Registers := 1
|
||||||
Module mux_4_1
|
|
||||||
Detailed RTL Component Info :
|
|
||||||
+---Muxes :
|
|
||||||
4 Input 9 Bit Muxes := 1
|
|
||||||
Module add_1bit
|
Module add_1bit
|
||||||
Detailed RTL Component Info :
|
Detailed RTL Component Info :
|
||||||
+---XORs :
|
+---XORs :
|
||||||
@@ -169,6 +171,15 @@ Module mux_2_1
|
|||||||
Detailed RTL Component Info :
|
Detailed RTL Component Info :
|
||||||
+---Muxes :
|
+---Muxes :
|
||||||
2 Input 9 Bit Muxes := 1
|
2 Input 9 Bit Muxes := 1
|
||||||
|
Module decoder
|
||||||
|
Detailed RTL Component Info :
|
||||||
|
+---Muxes :
|
||||||
|
2 Input 4 Bit Muxes := 1
|
||||||
|
4 Input 4 Bit Muxes := 1
|
||||||
|
Module mux_4_1
|
||||||
|
Detailed RTL Component Info :
|
||||||
|
+---Muxes :
|
||||||
|
4 Input 9 Bit Muxes := 1
|
||||||
Module ControlUnit
|
Module ControlUnit
|
||||||
Detailed RTL Component Info :
|
Detailed RTL Component Info :
|
||||||
+---Muxes :
|
+---Muxes :
|
||||||
@@ -177,10 +188,24 @@ Detailed RTL Component Info :
|
|||||||
16 Input 3 Bit Muxes := 1
|
16 Input 3 Bit Muxes := 1
|
||||||
16 Input 2 Bit Muxes := 1
|
16 Input 2 Bit Muxes := 1
|
||||||
16 Input 1 Bit Muxes := 7
|
16 Input 1 Bit Muxes := 7
|
||||||
|
Module fDPipReg
|
||||||
|
Detailed RTL Component Info :
|
||||||
|
+---Registers :
|
||||||
|
51 Bit Registers := 1
|
||||||
|
Module dataMemory
|
||||||
|
Detailed RTL Component Info :
|
||||||
|
+---Registers :
|
||||||
|
9 Bit Registers := 1
|
||||||
|
+---RAMs :
|
||||||
|
4K Bit RAMs := 1
|
||||||
Module bit1_mux_2_1
|
Module bit1_mux_2_1
|
||||||
Detailed RTL Component Info :
|
Detailed RTL Component Info :
|
||||||
+---Muxes :
|
+---Muxes :
|
||||||
2 Input 1 Bit Muxes := 1
|
2 Input 1 Bit Muxes := 1
|
||||||
|
Module eMPipReg
|
||||||
|
Detailed RTL Component Info :
|
||||||
|
+---Registers :
|
||||||
|
61 Bit Registers := 1
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Finished RTL Hierarchical Component Statistics
|
Finished RTL Hierarchical Component Statistics
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
@@ -198,8 +223,23 @@ No constraint files found.
|
|||||||
Start Cross Boundary and Area Optimization
|
Start Cross Boundary and Area Optimization
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Warning: Parallel synthesis criteria is not met
|
Warning: Parallel synthesis criteria is not met
|
||||||
|
INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[46]' (FDRE) to 'pipe1/Dout_reg[44]'
|
||||||
|
INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[50]' (FDRE) to 'pipe1/Dout_reg[17]'
|
||||||
|
INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[48]' (FDRE) to 'pipe1/Dout_reg[17]'
|
||||||
|
INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[42]' (FDRE) to 'pipe1/Dout_reg[44]'
|
||||||
|
INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[44]' (FDRE) to 'pipe1/Dout_reg[0]'
|
||||||
|
INFO: [Synth 8-3333] propagating constant 0 across sequential element (\pipe1/Dout_reg[0] )
|
||||||
|
INFO: [Synth 8-3886] merging instance 'pipe2/Dout_reg[4]' (FDRE) to 'pipe2/Dout_reg[6]'
|
||||||
|
INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[10]' (FDRE) to 'pipe1/Dout_reg[3]'
|
||||||
|
INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[4]' (FDRE) to 'pipe1/Dout_reg[14]'
|
||||||
|
INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[9]' (FDRE) to 'pipe1/Dout_reg[14]'
|
||||||
|
INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[14]' (FDRE) to 'pipe1/Dout_reg[11]'
|
||||||
|
INFO: [Synth 8-3333] propagating constant 0 across sequential element (\pipe1/Dout_reg[11] )
|
||||||
|
INFO: [Synth 8-3886] merging instance 'pipe2/Dout_reg[1]' (FDRE) to 'pipe2/Dout_reg[2]'
|
||||||
|
INFO: [Synth 8-3886] merging instance 'pipe2/Dout_reg[2]' (FDRE) to 'pipe1/Dout_reg[11]'
|
||||||
|
INFO: [Synth 8-3333] propagating constant 0 across sequential element (\pipe1/Dout_reg[11] )
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 598.238 ; gain = 334.711
|
Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 585.000 ; gain = 327.094
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Start ROM, RAM, DSP and Shift Register Reporting
|
Start ROM, RAM, DSP and Shift Register Reporting
|
||||||
@@ -216,7 +256,6 @@ Note: The table above is a preliminary report that shows the Block RAMs at the c
|
|||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Finished ROM, RAM, DSP and Shift Register Reporting
|
Finished ROM, RAM, DSP and Shift Register Reporting
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
INFO: [Synth 8-6837] The timing for the instance i_1/dM/memory_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing.
|
|
||||||
|
|
||||||
Report RTL Partitions:
|
Report RTL Partitions:
|
||||||
+-+--------------+------------+----------+
|
+-+--------------+------------+----------+
|
||||||
@@ -228,7 +267,7 @@ No constraint files found.
|
|||||||
Start Timing Optimization
|
Start Timing Optimization
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Finished Timing Optimization : Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 598.238 ; gain = 334.711
|
Finished Timing Optimization : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 585.000 ; gain = 327.094
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Start ROM, RAM, DSP and Shift Register Reporting
|
Start ROM, RAM, DSP and Shift Register Reporting
|
||||||
@@ -253,9 +292,12 @@ Report RTL Partitions:
|
|||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Start Technology Mapping
|
Start Technology Mapping
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
INFO: [Synth 8-6837] The timing for the instance dM/memory_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the block ram. Providing additional output register may help in improving timing.
|
INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[3]' (FDRE) to 'pipe1/Dout_reg[6]'
|
||||||
|
INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[5]' (FDRE) to 'pipe1/Dout_reg[7]'
|
||||||
|
INFO: [Synth 8-3886] merging instance 'pipe1/Dout_reg[8]' (FDRE) to 'pipe1/Dout_reg[6]'
|
||||||
|
INFO: [Synth 8-3333] propagating constant 0 across sequential element (\pipe1/Dout_reg[6] )
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Finished Technology Mapping : Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 598.238 ; gain = 334.711
|
Finished Technology Mapping : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 585.000 ; gain = 327.094
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
|
|
||||||
Report RTL Partitions:
|
Report RTL Partitions:
|
||||||
@@ -279,7 +321,7 @@ Start Final Netlist Cleanup
|
|||||||
Finished Final Netlist Cleanup
|
Finished Final Netlist Cleanup
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Finished IO Insertion : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711
|
Finished IO Insertion : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
|
|
||||||
Report Check Netlist:
|
Report Check Netlist:
|
||||||
@@ -292,7 +334,7 @@ Report Check Netlist:
|
|||||||
Start Renaming Generated Instances
|
Start Renaming Generated Instances
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Finished Renaming Generated Instances : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711
|
Finished Renaming Generated Instances : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
|
|
||||||
Report RTL Partitions:
|
Report RTL Partitions:
|
||||||
@@ -304,25 +346,25 @@ Report RTL Partitions:
|
|||||||
Start Rebuilding User Hierarchy
|
Start Rebuilding User Hierarchy
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711
|
Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Start Renaming Generated Ports
|
Start Renaming Generated Ports
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Finished Renaming Generated Ports : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711
|
Finished Renaming Generated Ports : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Start Handling Custom Attributes
|
Start Handling Custom Attributes
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Finished Handling Custom Attributes : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711
|
Finished Handling Custom Attributes : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Start Renaming Generated Nets
|
Start Renaming Generated Nets
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Finished Renaming Generated Nets : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711
|
Finished Renaming Generated Nets : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Start Writing Synthesis Report
|
Start Writing Synthesis Report
|
||||||
@@ -339,51 +381,59 @@ Report Cell Usage:
|
|||||||
| |Cell |Count |
|
| |Cell |Count |
|
||||||
+------+---------+------+
|
+------+---------+------+
|
||||||
|1 |BUFG | 1|
|
|1 |BUFG | 1|
|
||||||
|2 |LUT2 | 4|
|
|2 |LUT1 | 1|
|
||||||
|3 |LUT3 | 3|
|
|3 |LUT2 | 6|
|
||||||
|4 |LUT4 | 27|
|
|4 |LUT3 | 17|
|
||||||
|5 |LUT5 | 10|
|
|5 |LUT4 | 23|
|
||||||
|6 |LUT6 | 37|
|
|6 |LUT5 | 11|
|
||||||
|7 |RAMB18E1 | 1|
|
|7 |LUT6 | 9|
|
||||||
|8 |FDRE | 21|
|
|8 |MUXF7 | 1|
|
||||||
|9 |IBUF | 2|
|
|9 |RAMB18E1 | 1|
|
||||||
|10 |OBUF | 10|
|
|10 |FDRE | 58|
|
||||||
|
|11 |IBUF | 2|
|
||||||
|
|12 |OBUF | 10|
|
||||||
+------+---------+------+
|
+------+---------+------+
|
||||||
|
|
||||||
Report Instance Areas:
|
Report Instance Areas:
|
||||||
+------+---------+-----------+------+
|
+------+-----------+-----------+------+
|
||||||
| |Instance |Module |Cells |
|
| |Instance |Module |Cells |
|
||||||
+------+---------+-----------+------+
|
+------+-----------+-----------+------+
|
||||||
|1 |top | | 116|
|
|1 |top | | 140|
|
||||||
|2 | FetchU |FetchUnit | 31|
|
|2 | EM |EMModule | 1|
|
||||||
|3 | PC |register_1 | 31|
|
|3 | dM |dataMemory | 1|
|
||||||
|4 | RF |RegFile | 71|
|
|4 | FD |FDModule | 46|
|
||||||
|5 | r0 |register | 42|
|
|5 | FetchU |FetchUnit | 10|
|
||||||
|6 | r1 |register_0 | 29|
|
|6 | PC |register_1 | 10|
|
||||||
|7 | dM |dataMemory | 1|
|
|7 | RF |RegFile | 36|
|
||||||
+------+---------+-----------+------+
|
|8 | r0 |register | 18|
|
||||||
|
|9 | r1 |register_0 | 18|
|
||||||
|
|10 | W |WMUdule | 9|
|
||||||
|
|11 | mux5 |mux_2_1 | 9|
|
||||||
|
|12 | pipe1 |fDPipReg | 55|
|
||||||
|
|13 | pipe2 |eMPipReg | 16|
|
||||||
|
+------+-----------+-----------+------+
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Finished Writing Synthesis Report : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711
|
Finished Writing Synthesis Report : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094
|
||||||
---------------------------------------------------------------------------------
|
---------------------------------------------------------------------------------
|
||||||
Synthesis finished with 0 errors, 0 critical warnings and 0 warnings.
|
Synthesis finished with 0 errors, 0 critical warnings and 9 warnings.
|
||||||
Synthesis Optimization Runtime : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711
|
Synthesis Optimization Runtime : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094
|
||||||
Synthesis Optimization Complete : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 598.238 ; gain = 334.711
|
Synthesis Optimization Complete : Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 585.000 ; gain = 327.094
|
||||||
INFO: [Project 1-571] Translating synthesized netlist
|
INFO: [Project 1-571] Translating synthesized netlist
|
||||||
INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement
|
INFO: [Netlist 29-17] Analyzing 2 Unisim elements for replacement
|
||||||
INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
|
INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
|
||||||
INFO: [Project 1-570] Preparing netlist for logic optimization
|
INFO: [Project 1-570] Preparing netlist for logic optimization
|
||||||
INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
|
INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
|
||||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 684.082 ; gain = 0.000
|
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 682.445 ; gain = 0.000
|
||||||
INFO: [Project 1-111] Unisim Transformation Summary:
|
INFO: [Project 1-111] Unisim Transformation Summary:
|
||||||
No Unisim elements were transformed.
|
No Unisim elements were transformed.
|
||||||
|
|
||||||
INFO: [Common 17-83] Releasing license: Synthesis
|
INFO: [Common 17-83] Releasing license: Synthesis
|
||||||
73 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
|
100 Infos, 9 Warnings, 0 Critical Warnings and 0 Errors encountered.
|
||||||
synth_design completed successfully
|
synth_design completed successfully
|
||||||
synth_design: Time (s): cpu = 00:00:22 ; elapsed = 00:00:26 . Memory (MB): peak = 684.082 ; gain = 433.695
|
synth_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:23 . Memory (MB): peak = 682.445 ; gain = 424.539
|
||||||
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 684.082 ; gain = 0.000
|
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 682.445 ; gain = 0.000
|
||||||
WARNING: [Constraints 18-5210] No constraints selected for write.
|
WARNING: [Constraints 18-5210] No constraints selected for write.
|
||||||
Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened.
|
Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened.
|
||||||
INFO: [Common 17-1381] The checkpoint 'C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.dcp' has been generated.
|
INFO: [Common 17-1381] The checkpoint 'C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.dcp' has been generated.
|
||||||
INFO: [runtcl-4] Executing : report_utilization -file CPU9bits_utilization_synth.rpt -pb CPU9bits_utilization_synth.pb
|
INFO: [runtcl-4] Executing : report_utilization -file CPU9bits_utilization_synth.rpt -pb CPU9bits_utilization_synth.pb
|
||||||
INFO: [Common 17-206] Exiting Vivado at Sat Mar 30 15:53:22 2019...
|
INFO: [Common 17-206] Exiting Vivado at Sat Apr 6 17:33:45 2019...
|
||||||
|
|||||||
Binary file not shown.
@@ -1,8 +1,8 @@
|
|||||||
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
|
||||||
-----------------------------------------------------------------------------------------------------------
|
-----------------------------------------------------------------------------------------------------------
|
||||||
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
| Tool Version : Vivado v.2018.3 (win64) Build 2405991 Thu Dec 6 23:38:27 MST 2018
|
||||||
| Date : Sat Mar 30 15:53:22 2019
|
| Date : Sat Apr 6 17:33:45 2019
|
||||||
| Host : WM-G75VW running 64-bit major release (build 9200)
|
| Host : DESKTOP-8QFGS52 running 64-bit major release (build 9200)
|
||||||
| Command : report_utilization -file CPU9bits_utilization_synth.rpt -pb CPU9bits_utilization_synth.pb
|
| Command : report_utilization -file CPU9bits_utilization_synth.rpt -pb CPU9bits_utilization_synth.pb
|
||||||
| Design : CPU9bits
|
| Design : CPU9bits
|
||||||
| Device : 7k160tifbg484-2L
|
| Device : 7k160tifbg484-2L
|
||||||
@@ -30,13 +30,13 @@ Table of Contents
|
|||||||
+-------------------------+------+-------+-----------+-------+
|
+-------------------------+------+-------+-----------+-------+
|
||||||
| Site Type | Used | Fixed | Available | Util% |
|
| Site Type | Used | Fixed | Available | Util% |
|
||||||
+-------------------------+------+-------+-----------+-------+
|
+-------------------------+------+-------+-----------+-------+
|
||||||
| Slice LUTs* | 73 | 0 | 101400 | 0.07 |
|
| Slice LUTs* | 54 | 0 | 101400 | 0.05 |
|
||||||
| LUT as Logic | 73 | 0 | 101400 | 0.07 |
|
| LUT as Logic | 54 | 0 | 101400 | 0.05 |
|
||||||
| LUT as Memory | 0 | 0 | 35000 | 0.00 |
|
| LUT as Memory | 0 | 0 | 35000 | 0.00 |
|
||||||
| Slice Registers | 21 | 0 | 202800 | 0.01 |
|
| Slice Registers | 58 | 0 | 202800 | 0.03 |
|
||||||
| Register as Flip Flop | 21 | 0 | 202800 | 0.01 |
|
| Register as Flip Flop | 58 | 0 | 202800 | 0.03 |
|
||||||
| Register as Latch | 0 | 0 | 202800 | 0.00 |
|
| Register as Latch | 0 | 0 | 202800 | 0.00 |
|
||||||
| F7 Muxes | 0 | 0 | 50700 | 0.00 |
|
| F7 Muxes | 1 | 0 | 50700 | <0.01 |
|
||||||
| F8 Muxes | 0 | 0 | 25350 | 0.00 |
|
| F8 Muxes | 0 | 0 | 25350 | 0.00 |
|
||||||
+-------------------------+------+-------+-----------+-------+
|
+-------------------------+------+-------+-----------+-------+
|
||||||
* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count.
|
* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count.
|
||||||
@@ -57,7 +57,7 @@ Table of Contents
|
|||||||
| 0 | Yes | - | Set |
|
| 0 | Yes | - | Set |
|
||||||
| 0 | Yes | - | Reset |
|
| 0 | Yes | - | Reset |
|
||||||
| 0 | Yes | Set | - |
|
| 0 | Yes | Set | - |
|
||||||
| 21 | Yes | Reset | - |
|
| 58 | Yes | Reset | - |
|
||||||
+-------+--------------+-------------+--------------+
|
+-------+--------------+-------------+--------------+
|
||||||
|
|
||||||
|
|
||||||
@@ -152,15 +152,17 @@ Table of Contents
|
|||||||
+----------+------+---------------------+
|
+----------+------+---------------------+
|
||||||
| Ref Name | Used | Functional Category |
|
| Ref Name | Used | Functional Category |
|
||||||
+----------+------+---------------------+
|
+----------+------+---------------------+
|
||||||
| LUT6 | 37 | LUT |
|
| FDRE | 58 | Flop & Latch |
|
||||||
| LUT4 | 27 | LUT |
|
| LUT4 | 23 | LUT |
|
||||||
| FDRE | 21 | Flop & Latch |
|
| LUT3 | 17 | LUT |
|
||||||
|
| LUT5 | 11 | LUT |
|
||||||
| OBUF | 10 | IO |
|
| OBUF | 10 | IO |
|
||||||
| LUT5 | 10 | LUT |
|
| LUT6 | 9 | LUT |
|
||||||
| LUT2 | 4 | LUT |
|
| LUT2 | 6 | LUT |
|
||||||
| LUT3 | 3 | LUT |
|
|
||||||
| IBUF | 2 | IO |
|
| IBUF | 2 | IO |
|
||||||
| RAMB18E1 | 1 | Block Memory |
|
| RAMB18E1 | 1 | Block Memory |
|
||||||
|
| MUXF7 | 1 | MuxFx |
|
||||||
|
| LUT1 | 1 | LUT |
|
||||||
| BUFG | 1 | Clock |
|
| BUFG | 1 | Clock |
|
||||||
+----------+------+---------------------+
|
+----------+------+---------------------+
|
||||||
|
|
||||||
|
|||||||
@@ -1,9 +1,11 @@
|
|||||||
<?xml version="1.0" encoding="UTF-8"?>
|
<?xml version="1.0" encoding="UTF-8"?>
|
||||||
<GenRun Id="synth_1" LaunchPart="xc7k160tifbg484-2L" LaunchTime="1553975560">
|
<GenRun Id="synth_1" LaunchPart="xc7k160tifbg484-2L" LaunchTime="1554586396">
|
||||||
<File Type="PA-TCL" Name="CPU9bits.tcl"/>
|
<File Type="PA-TCL" Name="CPU9bits.tcl"/>
|
||||||
<File Type="RDS-PROPCONSTRS" Name="CPU9bits_drc_synth.rpt"/>
|
<File Type="RDS-PROPCONSTRS" Name="CPU9bits_drc_synth.rpt"/>
|
||||||
<File Type="REPORTS-TCL" Name="CPU9bits_reports.tcl"/>
|
<File Type="REPORTS-TCL" Name="CPU9bits_reports.tcl"/>
|
||||||
<File Type="RDS-RDS" Name="CPU9bits.vds"/>
|
<File Type="RDS-RDS" Name="CPU9bits.vds"/>
|
||||||
|
<File Type="RDS-UTIL" Name="CPU9bits_utilization_synth.rpt"/>
|
||||||
|
<File Type="RDS-UTIL-PB" Name="CPU9bits_utilization_synth.pb"/>
|
||||||
<File Type="RDS-DCP" Name="CPU9bits.dcp"/>
|
<File Type="RDS-DCP" Name="CPU9bits.dcp"/>
|
||||||
<File Type="VDS-TIMINGSUMMARY" Name="CPU9bits_timing_summary_synth.rpt"/>
|
<File Type="VDS-TIMINGSUMMARY" Name="CPU9bits_timing_summary_synth.rpt"/>
|
||||||
<File Type="VDS-TIMING-PB" Name="CPU9bits_timing_summary_synth.pb"/>
|
<File Type="VDS-TIMING-PB" Name="CPU9bits_timing_summary_synth.pb"/>
|
||||||
@@ -30,6 +32,20 @@
|
|||||||
<Attr Name="UsedIn" Val="simulation"/>
|
<Attr Name="UsedIn" Val="simulation"/>
|
||||||
</FileInfo>
|
</FileInfo>
|
||||||
</File>
|
</File>
|
||||||
|
<File Path="$PSRCDIR/sources_1/new/EMModule.v">
|
||||||
|
<FileInfo>
|
||||||
|
<Attr Name="UsedIn" Val="synthesis"/>
|
||||||
|
<Attr Name="UsedIn" Val="implementation"/>
|
||||||
|
<Attr Name="UsedIn" Val="simulation"/>
|
||||||
|
</FileInfo>
|
||||||
|
</File>
|
||||||
|
<File Path="$PSRCDIR/sources_1/new/FDModule.v">
|
||||||
|
<FileInfo>
|
||||||
|
<Attr Name="UsedIn" Val="synthesis"/>
|
||||||
|
<Attr Name="UsedIn" Val="implementation"/>
|
||||||
|
<Attr Name="UsedIn" Val="simulation"/>
|
||||||
|
</FileInfo>
|
||||||
|
</File>
|
||||||
<File Path="$PSRCDIR/sources_1/new/FetchUnit.v">
|
<File Path="$PSRCDIR/sources_1/new/FetchUnit.v">
|
||||||
<FileInfo>
|
<FileInfo>
|
||||||
<Attr Name="UsedIn" Val="synthesis"/>
|
<Attr Name="UsedIn" Val="synthesis"/>
|
||||||
@@ -44,6 +60,13 @@
|
|||||||
<Attr Name="UsedIn" Val="simulation"/>
|
<Attr Name="UsedIn" Val="simulation"/>
|
||||||
</FileInfo>
|
</FileInfo>
|
||||||
</File>
|
</File>
|
||||||
|
<File Path="$PSRCDIR/sources_1/new/WMUdule.v">
|
||||||
|
<FileInfo>
|
||||||
|
<Attr Name="UsedIn" Val="synthesis"/>
|
||||||
|
<Attr Name="UsedIn" Val="implementation"/>
|
||||||
|
<Attr Name="UsedIn" Val="simulation"/>
|
||||||
|
</FileInfo>
|
||||||
|
</File>
|
||||||
<File Path="$PSRCDIR/sources_1/new/dataMemory.v">
|
<File Path="$PSRCDIR/sources_1/new/dataMemory.v">
|
||||||
<FileInfo>
|
<FileInfo>
|
||||||
<Attr Name="UsedIn" Val="synthesis"/>
|
<Attr Name="UsedIn" Val="synthesis"/>
|
||||||
@@ -73,6 +96,14 @@
|
|||||||
<Attr Name="UsedIn" Val="simulation"/>
|
<Attr Name="UsedIn" Val="simulation"/>
|
||||||
</FileInfo>
|
</FileInfo>
|
||||||
</File>
|
</File>
|
||||||
|
<File Path="$PPRDIR/../Downloads/pipeline_example.v">
|
||||||
|
<FileInfo>
|
||||||
|
<Attr Name="AutoDisabled" Val="1"/>
|
||||||
|
<Attr Name="UsedIn" Val="synthesis"/>
|
||||||
|
<Attr Name="UsedIn" Val="implementation"/>
|
||||||
|
<Attr Name="UsedIn" Val="simulation"/>
|
||||||
|
</FileInfo>
|
||||||
|
</File>
|
||||||
<Config>
|
<Config>
|
||||||
<Option Name="DesignMode" Val="RTL"/>
|
<Option Name="DesignMode" Val="RTL"/>
|
||||||
<Option Name="TopModule" Val="CPU9bits"/>
|
<Option Name="TopModule" Val="CPU9bits"/>
|
||||||
|
|||||||
@@ -2,11 +2,11 @@
|
|||||||
# Vivado v2018.3 (64-bit)
|
# Vivado v2018.3 (64-bit)
|
||||||
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
||||||
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
||||||
# Start of session at: Sat Mar 30 15:52:45 2019
|
# Start of session at: Sat Apr 6 17:33:19 2019
|
||||||
# Process ID: 9028
|
# Process ID: 7092
|
||||||
# Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1
|
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1
|
||||||
# Command line: vivado.exe -log CPU9bits.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source CPU9bits.tcl
|
# Command line: vivado.exe -log CPU9bits.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source CPU9bits.tcl
|
||||||
# Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.vds
|
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1/CPU9bits.vds
|
||||||
# Journal file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.runs/synth_1\vivado.jou
|
# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.runs/synth_1\vivado.jou
|
||||||
#-----------------------------------------------------------
|
#-----------------------------------------------------------
|
||||||
source CPU9bits.tcl -notrace
|
source CPU9bits.tcl -notrace
|
||||||
|
|||||||
Binary file not shown.
11
lab2CA.sim/sim_1/behav/xsim/CPU9bits.tcl
Normal file
11
lab2CA.sim/sim_1/behav/xsim/CPU9bits.tcl
Normal file
@@ -0,0 +1,11 @@
|
|||||||
|
set curr_wave [current_wave_config]
|
||||||
|
if { [string length $curr_wave] == 0 } {
|
||||||
|
if { [llength [get_objects]] > 0} {
|
||||||
|
add_wave /
|
||||||
|
set_property needs_save false [current_wave_config]
|
||||||
|
} else {
|
||||||
|
send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
|
||||||
|
}
|
||||||
|
}
|
||||||
|
|
||||||
|
run 100000ns
|
||||||
@@ -3,8 +3,11 @@ verilog xil_defaultlib \
|
|||||||
"../../../../lab2CA.srcs/sources_1/new/ALU.v" \
|
"../../../../lab2CA.srcs/sources_1/new/ALU.v" \
|
||||||
"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \
|
"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \
|
||||||
"../../../../lab2CA.srcs/sources_1/new/ControlUnit.v" \
|
"../../../../lab2CA.srcs/sources_1/new/ControlUnit.v" \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/EMModule.v" \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/FDModule.v" \
|
||||||
"../../../../lab2CA.srcs/sources_1/new/FetchUnit.v" \
|
"../../../../lab2CA.srcs/sources_1/new/FetchUnit.v" \
|
||||||
"../../../../lab2CA.srcs/sources_1/new/RegFile.v" \
|
"../../../../lab2CA.srcs/sources_1/new/RegFile.v" \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/WMUdule.v" \
|
||||||
"../../../../lab2CA.srcs/sources_1/new/dataMemory.v" \
|
"../../../../lab2CA.srcs/sources_1/new/dataMemory.v" \
|
||||||
"../../../../lab2CA.srcs/sources_1/new/instructionMemory.v" \
|
"../../../../lab2CA.srcs/sources_1/new/instructionMemory.v" \
|
||||||
"../../../../lab2CA.srcs/sources_1/new/CPU9bits.v" \
|
"../../../../lab2CA.srcs/sources_1/new/CPU9bits.v" \
|
||||||
|
|||||||
19
lab2CA.sim/sim_1/behav/xsim/CPU9bits_vlog.prj
Normal file
19
lab2CA.sim/sim_1/behav/xsim/CPU9bits_vlog.prj
Normal file
@@ -0,0 +1,19 @@
|
|||||||
|
# compile verilog/system verilog design source files
|
||||||
|
verilog xil_defaultlib \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/ALU.v" \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/BasicModules.v" \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/ControlUnit.v" \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/EMModule.v" \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/FDModule.v" \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/FetchUnit.v" \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/RegFile.v" \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/WMUdule.v" \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/dataMemory.v" \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/instructionMemory.v" \
|
||||||
|
"../../../../lab2CA.srcs/sources_1/new/CPU9bits.v" \
|
||||||
|
|
||||||
|
# compile glbl module
|
||||||
|
verilog xil_defaultlib "glbl.v"
|
||||||
|
|
||||||
|
# Do not sort compile order
|
||||||
|
nosort
|
||||||
@@ -2,11 +2,11 @@
|
|||||||
# Webtalk v2018.3 (64-bit)
|
# Webtalk v2018.3 (64-bit)
|
||||||
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
||||||
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
||||||
# Start of session at: Fri Mar 29 15:28:37 2019
|
# Start of session at: Sat Apr 6 17:41:26 2019
|
||||||
# Process ID: 28052
|
# Process ID: 2772
|
||||||
# Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim
|
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim
|
||||||
# Command line: wbtcv.exe -mode batch -source C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/dataMemory_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
||||||
# Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log
|
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log
|
||||||
# Journal file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou
|
# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou
|
||||||
#-----------------------------------------------------------
|
#-----------------------------------------------------------
|
||||||
source C:/REPOSITORIES/Educational/Western -notrace
|
source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
||||||
|
|||||||
@@ -2,11 +2,11 @@
|
|||||||
# Webtalk v2018.3 (64-bit)
|
# Webtalk v2018.3 (64-bit)
|
||||||
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
||||||
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
||||||
# Start of session at: Sun Mar 24 17:34:31 2019
|
# Start of session at: Sat Apr 6 17:28:07 2019
|
||||||
# Process ID: 12056
|
# Process ID: 2532
|
||||||
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim
|
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim
|
||||||
# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/dataMemory_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_behav/webtalk/xsim_webtalk.tcl -notrace
|
||||||
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log
|
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log
|
||||||
# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou
|
# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou
|
||||||
#-----------------------------------------------------------
|
#-----------------------------------------------------------
|
||||||
source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/dataMemory_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_behav/webtalk/xsim_webtalk.tcl -notrace
|
||||||
@@ -2,10 +2,10 @@
|
|||||||
# Webtalk v2018.3 (64-bit)
|
# Webtalk v2018.3 (64-bit)
|
||||||
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
||||||
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
||||||
# Start of session at: Fri Mar 29 15:24:02 2019
|
# Start of session at: Fri Mar 29 15:28:37 2019
|
||||||
# Process ID: 5080
|
# Process ID: 28052
|
||||||
# Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim
|
# Current directory: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim
|
||||||
# Command line: wbtcv.exe -mode batch -source C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
# Command line: wbtcv.exe -mode batch -source C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/dataMemory_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
||||||
# Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log
|
# Log file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log
|
||||||
# Journal file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou
|
# Journal file: C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou
|
||||||
#-----------------------------------------------------------
|
#-----------------------------------------------------------
|
||||||
@@ -2,11 +2,11 @@
|
|||||||
# Webtalk v2018.3 (64-bit)
|
# Webtalk v2018.3 (64-bit)
|
||||||
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
|
||||||
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
|
||||||
# Start of session at: Sun Mar 24 17:25:06 2019
|
# Start of session at: Sat Apr 6 17:28:44 2019
|
||||||
# Process ID: 11344
|
# Process ID: 8732
|
||||||
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim
|
# Current directory: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim
|
||||||
# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/instructionMemory_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
# Command line: wbtcv.exe -mode batch -source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_behav/webtalk/xsim_webtalk.tcl -notrace
|
||||||
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log
|
# Log file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/webtalk.log
|
||||||
# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou
|
# Journal file: C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim\webtalk.jou
|
||||||
#-----------------------------------------------------------
|
#-----------------------------------------------------------
|
||||||
source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/instructionMemory_tb_behav/webtalk/xsim_webtalk.tcl -notrace
|
source C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_behav/webtalk/xsim_webtalk.tcl -notrace
|
||||||
Binary file not shown.
@@ -0,0 +1 @@
|
|||||||
|
-wto "0a5803efda44405bb28bbf43ba22e808" --incr --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" -L "xpm" --snapshot "CPU9bits_behav" "xil_defaultlib.CPU9bits" "xil_defaultlib.glbl" -log "elaborate.log"
|
||||||
@@ -0,0 +1 @@
|
|||||||
|
Breakpoint File Version 1.0
|
||||||
210
lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_behav/obj/xsim_1.c
Normal file
210
lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_behav/obj/xsim_1.c
Normal file
@@ -0,0 +1,210 @@
|
|||||||
|
/**********************************************************************/
|
||||||
|
/* ____ ____ */
|
||||||
|
/* / /\/ / */
|
||||||
|
/* /___/ \ / */
|
||||||
|
/* \ \ \/ */
|
||||||
|
/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */
|
||||||
|
/* / / All Right Reserved. */
|
||||||
|
/* /---/ /\ */
|
||||||
|
/* \ \ / \ */
|
||||||
|
/* \___\/\___\ */
|
||||||
|
/**********************************************************************/
|
||||||
|
|
||||||
|
|
||||||
|
#include "iki.h"
|
||||||
|
#include <string.h>
|
||||||
|
#include <math.h>
|
||||||
|
#ifdef __GNUC__
|
||||||
|
#include <stdlib.h>
|
||||||
|
#else
|
||||||
|
#include <malloc.h>
|
||||||
|
#define alloca _alloca
|
||||||
|
#endif
|
||||||
|
/**********************************************************************/
|
||||||
|
/* ____ ____ */
|
||||||
|
/* / /\/ / */
|
||||||
|
/* /___/ \ / */
|
||||||
|
/* \ \ \/ */
|
||||||
|
/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */
|
||||||
|
/* / / All Right Reserved. */
|
||||||
|
/* /---/ /\ */
|
||||||
|
/* \ \ / \ */
|
||||||
|
/* \___\/\___\ */
|
||||||
|
/**********************************************************************/
|
||||||
|
|
||||||
|
|
||||||
|
#include "iki.h"
|
||||||
|
#include <string.h>
|
||||||
|
#include <math.h>
|
||||||
|
#ifdef __GNUC__
|
||||||
|
#include <stdlib.h>
|
||||||
|
#else
|
||||||
|
#include <malloc.h>
|
||||||
|
#define alloca _alloca
|
||||||
|
#endif
|
||||||
|
typedef void (*funcp)(char *, char *);
|
||||||
|
extern int main(int, char**);
|
||||||
|
extern void vlog_simple_process_execute_0_fast_no_reg_no_agg(char*, char*, char*);
|
||||||
|
extern void execute_448(char*, char *);
|
||||||
|
extern void execute_449(char*, char *);
|
||||||
|
extern void execute_185(char*, char *);
|
||||||
|
extern void execute_216(char*, char *);
|
||||||
|
extern void execute_217(char*, char *);
|
||||||
|
extern void execute_218(char*, char *);
|
||||||
|
extern void execute_219(char*, char *);
|
||||||
|
extern void execute_220(char*, char *);
|
||||||
|
extern void execute_221(char*, char *);
|
||||||
|
extern void execute_222(char*, char *);
|
||||||
|
extern void execute_223(char*, char *);
|
||||||
|
extern void execute_224(char*, char *);
|
||||||
|
extern void execute_225(char*, char *);
|
||||||
|
extern void execute_226(char*, char *);
|
||||||
|
extern void execute_4(char*, char *);
|
||||||
|
extern void execute_5(char*, char *);
|
||||||
|
extern void vlog_const_rhs_process_execute_0_fast_no_reg_no_agg(char*, char*, char*);
|
||||||
|
extern void execute_205(char*, char *);
|
||||||
|
extern void execute_207(char*, char *);
|
||||||
|
extern void execute_208(char*, char *);
|
||||||
|
extern void execute_8(char*, char *);
|
||||||
|
extern void execute_186(char*, char *);
|
||||||
|
extern void execute_187(char*, char *);
|
||||||
|
extern void execute_20(char*, char *);
|
||||||
|
extern void execute_209(char*, char *);
|
||||||
|
extern void execute_210(char*, char *);
|
||||||
|
extern void execute_211(char*, char *);
|
||||||
|
extern void execute_212(char*, char *);
|
||||||
|
extern void execute_213(char*, char *);
|
||||||
|
extern void execute_214(char*, char *);
|
||||||
|
extern void execute_215(char*, char *);
|
||||||
|
extern void execute_23(char*, char *);
|
||||||
|
extern void execute_33(char*, char *);
|
||||||
|
extern void execute_37(char*, char *);
|
||||||
|
extern void execute_39(char*, char *);
|
||||||
|
extern void execute_227(char*, char *);
|
||||||
|
extern void execute_228(char*, char *);
|
||||||
|
extern void execute_229(char*, char *);
|
||||||
|
extern void execute_230(char*, char *);
|
||||||
|
extern void execute_231(char*, char *);
|
||||||
|
extern void execute_232(char*, char *);
|
||||||
|
extern void execute_233(char*, char *);
|
||||||
|
extern void execute_234(char*, char *);
|
||||||
|
extern void execute_235(char*, char *);
|
||||||
|
extern void execute_236(char*, char *);
|
||||||
|
extern void execute_237(char*, char *);
|
||||||
|
extern void execute_238(char*, char *);
|
||||||
|
extern void execute_239(char*, char *);
|
||||||
|
extern void execute_240(char*, char *);
|
||||||
|
extern void execute_421(char*, char *);
|
||||||
|
extern void execute_423(char*, char *);
|
||||||
|
extern void execute_424(char*, char *);
|
||||||
|
extern void execute_426(char*, char *);
|
||||||
|
extern void execute_427(char*, char *);
|
||||||
|
extern void execute_428(char*, char *);
|
||||||
|
extern void execute_429(char*, char *);
|
||||||
|
extern void execute_430(char*, char *);
|
||||||
|
extern void execute_42(char*, char *);
|
||||||
|
extern void execute_43(char*, char *);
|
||||||
|
extern void execute_356(char*, char *);
|
||||||
|
extern void execute_357(char*, char *);
|
||||||
|
extern void execute_358(char*, char *);
|
||||||
|
extern void execute_359(char*, char *);
|
||||||
|
extern void execute_360(char*, char *);
|
||||||
|
extern void execute_361(char*, char *);
|
||||||
|
extern void execute_285(char*, char *);
|
||||||
|
extern void execute_266(char*, char *);
|
||||||
|
extern void execute_306(char*, char *);
|
||||||
|
extern void execute_307(char*, char *);
|
||||||
|
extern void execute_308(char*, char *);
|
||||||
|
extern void execute_309(char*, char *);
|
||||||
|
extern void execute_310(char*, char *);
|
||||||
|
extern void execute_311(char*, char *);
|
||||||
|
extern void execute_353(char*, char *);
|
||||||
|
extern void execute_354(char*, char *);
|
||||||
|
extern void execute_126(char*, char *);
|
||||||
|
extern void execute_401(char*, char *);
|
||||||
|
extern void execute_157(char*, char *);
|
||||||
|
extern void execute_170(char*, char *);
|
||||||
|
extern void execute_431(char*, char *);
|
||||||
|
extern void execute_432(char*, char *);
|
||||||
|
extern void execute_433(char*, char *);
|
||||||
|
extern void execute_434(char*, char *);
|
||||||
|
extern void execute_435(char*, char *);
|
||||||
|
extern void execute_436(char*, char *);
|
||||||
|
extern void execute_437(char*, char *);
|
||||||
|
extern void execute_438(char*, char *);
|
||||||
|
extern void execute_439(char*, char *);
|
||||||
|
extern void execute_440(char*, char *);
|
||||||
|
extern void execute_441(char*, char *);
|
||||||
|
extern void execute_442(char*, char *);
|
||||||
|
extern void execute_443(char*, char *);
|
||||||
|
extern void execute_444(char*, char *);
|
||||||
|
extern void execute_445(char*, char *);
|
||||||
|
extern void execute_446(char*, char *);
|
||||||
|
extern void execute_447(char*, char *);
|
||||||
|
extern void execute_181(char*, char *);
|
||||||
|
extern void execute_182(char*, char *);
|
||||||
|
extern void execute_183(char*, char *);
|
||||||
|
extern void execute_450(char*, char *);
|
||||||
|
extern void execute_451(char*, char *);
|
||||||
|
extern void execute_452(char*, char *);
|
||||||
|
extern void execute_453(char*, char *);
|
||||||
|
extern void execute_454(char*, char *);
|
||||||
|
extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
|
||||||
|
extern void transaction_105(char*, char*, unsigned, unsigned, unsigned);
|
||||||
|
funcp funcTab[107] = {(funcp)vlog_simple_process_execute_0_fast_no_reg_no_agg, (funcp)execute_448, (funcp)execute_449, (funcp)execute_185, (funcp)execute_216, (funcp)execute_217, (funcp)execute_218, (funcp)execute_219, (funcp)execute_220, (funcp)execute_221, (funcp)execute_222, (funcp)execute_223, (funcp)execute_224, (funcp)execute_225, (funcp)execute_226, (funcp)execute_4, (funcp)execute_5, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_205, (funcp)execute_207, (funcp)execute_208, (funcp)execute_8, (funcp)execute_186, (funcp)execute_187, (funcp)execute_20, (funcp)execute_209, (funcp)execute_210, (funcp)execute_211, (funcp)execute_212, (funcp)execute_213, (funcp)execute_214, (funcp)execute_215, (funcp)execute_23, (funcp)execute_33, (funcp)execute_37, (funcp)execute_39, (funcp)execute_227, (funcp)execute_228, (funcp)execute_229, (funcp)execute_230, (funcp)execute_231, (funcp)execute_232, (funcp)execute_233, (funcp)execute_234, (funcp)execute_235, (funcp)execute_236, (funcp)execute_237, (funcp)execute_238, (funcp)execute_239, (funcp)execute_240, (funcp)execute_421, (funcp)execute_423, (funcp)execute_424, (funcp)execute_426, (funcp)execute_427, (funcp)execute_428, (funcp)execute_429, (funcp)execute_430, (funcp)execute_42, (funcp)execute_43, (funcp)execute_356, (funcp)execute_357, (funcp)execute_358, (funcp)execute_359, (funcp)execute_360, (funcp)execute_361, (funcp)execute_285, (funcp)execute_266, (funcp)execute_306, (funcp)execute_307, (funcp)execute_308, (funcp)execute_309, (funcp)execute_310, (funcp)execute_311, (funcp)execute_353, (funcp)execute_354, (funcp)execute_126, (funcp)execute_401, (funcp)execute_157, (funcp)execute_170, (funcp)execute_431, (funcp)execute_432, (funcp)execute_433, (funcp)execute_434, (funcp)execute_435, (funcp)execute_436, (funcp)execute_437, (funcp)execute_438, (funcp)execute_439, (funcp)execute_440, (funcp)execute_441, (funcp)execute_442, (funcp)execute_443, (funcp)execute_444, (funcp)execute_445, (funcp)execute_446, (funcp)execute_447, (funcp)execute_181, (funcp)execute_182, (funcp)execute_183, (funcp)execute_450, (funcp)execute_451, (funcp)execute_452, (funcp)execute_453, (funcp)execute_454, (funcp)vlog_transfunc_eventcallback, (funcp)transaction_105};
|
||||||
|
const int NumRelocateId= 107;
|
||||||
|
|
||||||
|
void relocate(char *dp)
|
||||||
|
{
|
||||||
|
iki_relocate(dp, "xsim.dir/CPU9bits_behav/xsim.reloc", (void **)funcTab, 107);
|
||||||
|
|
||||||
|
/*Populate the transaction function pointer field in the whole net structure */
|
||||||
|
}
|
||||||
|
|
||||||
|
void sensitize(char *dp)
|
||||||
|
{
|
||||||
|
iki_sensitize(dp, "xsim.dir/CPU9bits_behav/xsim.reloc");
|
||||||
|
}
|
||||||
|
|
||||||
|
// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
|
||||||
|
|
||||||
|
void wrapper_func_0(char *dp)
|
||||||
|
|
||||||
|
{
|
||||||
|
|
||||||
|
}
|
||||||
|
|
||||||
|
void simulate(char *dp)
|
||||||
|
{
|
||||||
|
iki_schedule_processes_at_time_zero(dp, "xsim.dir/CPU9bits_behav/xsim.reloc");
|
||||||
|
wrapper_func_0(dp);
|
||||||
|
|
||||||
|
iki_execute_processes();
|
||||||
|
|
||||||
|
// Schedule resolution functions for the multiply driven Verilog nets that have strength
|
||||||
|
// Schedule transaction functions for the singly driven Verilog nets that have strength
|
||||||
|
|
||||||
|
}
|
||||||
|
#include "iki_bridge.h"
|
||||||
|
void relocate(char *);
|
||||||
|
|
||||||
|
void sensitize(char *);
|
||||||
|
|
||||||
|
void simulate(char *);
|
||||||
|
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*);
|
||||||
|
extern void implicit_HDL_SCinstatiate();
|
||||||
|
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ;
|
||||||
|
extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ;
|
||||||
|
|
||||||
|
int main(int argc, char **argv)
|
||||||
|
{
|
||||||
|
iki_heap_initialize("ms", "isimmm", 0, 2147483648) ;
|
||||||
|
iki_set_sv_type_file_path_name("xsim.dir/CPU9bits_behav/xsim.svtype");
|
||||||
|
iki_set_crvs_dump_file_path_name("xsim.dir/CPU9bits_behav/xsim.crvsdump");
|
||||||
|
void* design_handle = iki_create_design("xsim.dir/CPU9bits_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv);
|
||||||
|
iki_set_rc_trial_count(100);
|
||||||
|
(void) design_handle;
|
||||||
|
return iki_simulate_design();
|
||||||
|
}
|
||||||
@@ -0,0 +1,44 @@
|
|||||||
|
<?xml version="1.0" encoding="UTF-8" ?>
|
||||||
|
<webTalkData fileName='usage_statistics_ext_xsim.xml' majorVersion='1' minorVersion='0' timeStamp='Sat Apr 6 17:28:44 2019'>
|
||||||
|
<section name="__ROOT__" level="0" order="1" description="">
|
||||||
|
<section name="software_version_and_target_device" level="1" order="1" description="">
|
||||||
|
<keyValuePair key="beta" value="FALSE" description="" />
|
||||||
|
<keyValuePair key="build_version" value="2405991" description="" />
|
||||||
|
<keyValuePair key="date_generated" value="Sat Apr 6 17:28:43 2019" description="" />
|
||||||
|
<keyValuePair key="os_platform" value="WIN64" description="" />
|
||||||
|
<keyValuePair key="product_version" value="XSIM v2018.3 (64-bit)" description="" />
|
||||||
|
<keyValuePair key="project_id" value="0a5803efda44405bb28bbf43ba22e808" description="" />
|
||||||
|
<keyValuePair key="project_iteration" value="2" description="" />
|
||||||
|
<keyValuePair key="random_id" value="4e917e26-7591-4435-9135-15bd446b0238" description="" />
|
||||||
|
<keyValuePair key="registration_id" value="174150793_174150794_210688225_140" description="" />
|
||||||
|
<keyValuePair key="route_design" value="FALSE" description="" />
|
||||||
|
<keyValuePair key="target_device" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_family" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_package" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="target_speed" value="not_applicable" description="" />
|
||||||
|
<keyValuePair key="tool_flow" value="xsim_vivado" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="user_environment" level="1" order="2" description="">
|
||||||
|
<keyValuePair key="cpu_name" value="Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" description="" />
|
||||||
|
<keyValuePair key="cpu_speed" value="3492 MHz" description="" />
|
||||||
|
<keyValuePair key="os_name" value="Microsoft Windows 8 or later , 64-bit" description="" />
|
||||||
|
<keyValuePair key="os_release" value="major release (build 9200)" description="" />
|
||||||
|
<keyValuePair key="system_ram" value="34.000 GB" description="" />
|
||||||
|
<keyValuePair key="total_processors" value="1" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="vivado_usage" level="1" order="3" description="">
|
||||||
|
</section>
|
||||||
|
<section name="xsim" level="1" order="4" description="">
|
||||||
|
<section name="command_line_options" level="2" order="1" description="">
|
||||||
|
<keyValuePair key="command" value="xsim" description="" />
|
||||||
|
</section>
|
||||||
|
<section name="usage" level="2" order="2" description="">
|
||||||
|
<keyValuePair key="iteration" value="0" description="" />
|
||||||
|
<keyValuePair key="runtime" value="100 us" description="" />
|
||||||
|
<keyValuePair key="simulation_memory" value="6996_KB" description="" />
|
||||||
|
<keyValuePair key="simulation_time" value="0.08_sec" description="" />
|
||||||
|
<keyValuePair key="trace_waveform" value="true" description="" />
|
||||||
|
</section>
|
||||||
|
</section>
|
||||||
|
</section>
|
||||||
|
</webTalkData>
|
||||||
@@ -0,0 +1,32 @@
|
|||||||
|
webtalk_init -webtalk_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_behav/webtalk/
|
||||||
|
webtalk_register_client -client project
|
||||||
|
webtalk_add_data -client project -key date_generated -value "Sat Apr 6 17:51:16 2019" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key product_version -value "XSIM v2018.3 (64-bit)" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key build_version -value "2405991" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key os_platform -value "WIN64" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key registration_id -value "174150793_174150794_210688225_140" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key tool_flow -value "xsim_vivado" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key beta -value "FALSE" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key route_design -value "FALSE" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key target_family -value "not_applicable" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key target_device -value "not_applicable" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key target_package -value "not_applicable" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key random_id -value "4e917e26-7591-4435-9135-15bd446b0238" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key project_iteration -value "14" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key os_name -value "Microsoft Windows 8 or later , 64-bit" -context "user_environment"
|
||||||
|
webtalk_add_data -client project -key os_release -value "major release (build 9200)" -context "user_environment"
|
||||||
|
webtalk_add_data -client project -key cpu_name -value "Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" -context "user_environment"
|
||||||
|
webtalk_add_data -client project -key cpu_speed -value "3492 MHz" -context "user_environment"
|
||||||
|
webtalk_add_data -client project -key total_processors -value "1" -context "user_environment"
|
||||||
|
webtalk_add_data -client project -key system_ram -value "34.000 GB" -context "user_environment"
|
||||||
|
webtalk_register_client -client xsim
|
||||||
|
webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options"
|
||||||
|
webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage"
|
||||||
|
webtalk_add_data -client xsim -key runtime -value "100 us" -context "xsim\\usage"
|
||||||
|
webtalk_add_data -client xsim -key iteration -value "0" -context "xsim\\usage"
|
||||||
|
webtalk_add_data -client xsim -key Simulation_Time -value "0.08_sec" -context "xsim\\usage"
|
||||||
|
webtalk_add_data -client xsim -key Simulation_Memory -value "6412_KB" -context "xsim\\usage"
|
||||||
|
webtalk_transmit -clientid 2501639699 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "<H3>XSIM Usage Report</H3><BR>"
|
||||||
|
webtalk_terminate
|
||||||
BIN
lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_behav/xsim.mem
Normal file
BIN
lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_behav/xsim.mem
Normal file
Binary file not shown.
@@ -46,85 +46,122 @@ typedef void (*funcp)(char *, char *);
|
|||||||
extern int main(int, char**);
|
extern int main(int, char**);
|
||||||
extern void execute_2(char*, char *);
|
extern void execute_2(char*, char *);
|
||||||
extern void execute_3(char*, char *);
|
extern void execute_3(char*, char *);
|
||||||
extern void execute_176(char*, char *);
|
|
||||||
extern void execute_412(char*, char *);
|
|
||||||
extern void execute_413(char*, char *);
|
|
||||||
extern void execute_387(char*, char *);
|
|
||||||
extern void vlog_const_rhs_process_execute_0_fast_no_reg_no_agg(char*, char*, char*);
|
|
||||||
extern void execute_389(char*, char *);
|
|
||||||
extern void execute_390(char*, char *);
|
|
||||||
extern void execute_392(char*, char *);
|
|
||||||
extern void execute_393(char*, char *);
|
|
||||||
extern void execute_394(char*, char *);
|
|
||||||
extern void execute_395(char*, char *);
|
|
||||||
extern void execute_396(char*, char *);
|
|
||||||
extern void execute_397(char*, char *);
|
|
||||||
extern void execute_398(char*, char *);
|
|
||||||
extern void execute_399(char*, char *);
|
|
||||||
extern void execute_400(char*, char *);
|
|
||||||
extern void execute_401(char*, char *);
|
|
||||||
extern void execute_402(char*, char *);
|
|
||||||
extern void execute_403(char*, char *);
|
|
||||||
extern void execute_404(char*, char *);
|
|
||||||
extern void execute_405(char*, char *);
|
|
||||||
extern void execute_406(char*, char *);
|
|
||||||
extern void execute_407(char*, char *);
|
|
||||||
extern void execute_408(char*, char *);
|
|
||||||
extern void execute_409(char*, char *);
|
|
||||||
extern void execute_410(char*, char *);
|
|
||||||
extern void execute_411(char*, char *);
|
|
||||||
extern void execute_6(char*, char *);
|
|
||||||
extern void execute_7(char*, char *);
|
|
||||||
extern void execute_9(char*, char *);
|
|
||||||
extern void execute_10(char*, char *);
|
|
||||||
extern void execute_181(char*, char *);
|
|
||||||
extern void execute_182(char*, char *);
|
|
||||||
extern void execute_183(char*, char *);
|
extern void execute_183(char*, char *);
|
||||||
extern void execute_184(char*, char *);
|
extern void execute_454(char*, char *);
|
||||||
|
extern void execute_455(char*, char *);
|
||||||
|
extern void vlog_simple_process_execute_0_fast_no_reg_no_agg(char*, char*, char*);
|
||||||
|
extern void execute_452(char*, char *);
|
||||||
|
extern void execute_453(char*, char *);
|
||||||
|
extern void execute_189(char*, char *);
|
||||||
|
extern void execute_220(char*, char *);
|
||||||
|
extern void execute_221(char*, char *);
|
||||||
|
extern void execute_222(char*, char *);
|
||||||
|
extern void execute_223(char*, char *);
|
||||||
|
extern void execute_224(char*, char *);
|
||||||
|
extern void execute_225(char*, char *);
|
||||||
|
extern void execute_226(char*, char *);
|
||||||
|
extern void execute_227(char*, char *);
|
||||||
|
extern void execute_228(char*, char *);
|
||||||
|
extern void execute_229(char*, char *);
|
||||||
|
extern void execute_230(char*, char *);
|
||||||
|
extern void execute_7(char*, char *);
|
||||||
|
extern void execute_8(char*, char *);
|
||||||
|
extern void vlog_const_rhs_process_execute_0_fast_no_reg_no_agg(char*, char*, char*);
|
||||||
|
extern void execute_209(char*, char *);
|
||||||
|
extern void execute_211(char*, char *);
|
||||||
|
extern void execute_212(char*, char *);
|
||||||
|
extern void execute_11(char*, char *);
|
||||||
|
extern void execute_190(char*, char *);
|
||||||
|
extern void execute_191(char*, char *);
|
||||||
|
extern void execute_23(char*, char *);
|
||||||
|
extern void execute_213(char*, char *);
|
||||||
|
extern void execute_214(char*, char *);
|
||||||
|
extern void execute_215(char*, char *);
|
||||||
|
extern void execute_216(char*, char *);
|
||||||
|
extern void execute_217(char*, char *);
|
||||||
|
extern void execute_218(char*, char *);
|
||||||
|
extern void execute_219(char*, char *);
|
||||||
|
extern void execute_26(char*, char *);
|
||||||
|
extern void execute_36(char*, char *);
|
||||||
|
extern void execute_40(char*, char *);
|
||||||
|
extern void execute_42(char*, char *);
|
||||||
|
extern void execute_231(char*, char *);
|
||||||
|
extern void execute_232(char*, char *);
|
||||||
|
extern void execute_233(char*, char *);
|
||||||
|
extern void execute_234(char*, char *);
|
||||||
|
extern void execute_235(char*, char *);
|
||||||
|
extern void execute_236(char*, char *);
|
||||||
|
extern void execute_237(char*, char *);
|
||||||
|
extern void execute_238(char*, char *);
|
||||||
|
extern void execute_239(char*, char *);
|
||||||
|
extern void execute_240(char*, char *);
|
||||||
|
extern void execute_241(char*, char *);
|
||||||
|
extern void execute_242(char*, char *);
|
||||||
|
extern void execute_243(char*, char *);
|
||||||
|
extern void execute_244(char*, char *);
|
||||||
|
extern void execute_425(char*, char *);
|
||||||
|
extern void execute_427(char*, char *);
|
||||||
|
extern void execute_428(char*, char *);
|
||||||
|
extern void execute_430(char*, char *);
|
||||||
|
extern void execute_431(char*, char *);
|
||||||
|
extern void execute_432(char*, char *);
|
||||||
|
extern void execute_433(char*, char *);
|
||||||
|
extern void execute_434(char*, char *);
|
||||||
|
extern void execute_45(char*, char *);
|
||||||
|
extern void execute_46(char*, char *);
|
||||||
|
extern void execute_360(char*, char *);
|
||||||
|
extern void execute_361(char*, char *);
|
||||||
|
extern void execute_362(char*, char *);
|
||||||
|
extern void execute_363(char*, char *);
|
||||||
|
extern void execute_364(char*, char *);
|
||||||
|
extern void execute_365(char*, char *);
|
||||||
|
extern void execute_289(char*, char *);
|
||||||
|
extern void execute_270(char*, char *);
|
||||||
|
extern void execute_310(char*, char *);
|
||||||
|
extern void execute_311(char*, char *);
|
||||||
|
extern void execute_312(char*, char *);
|
||||||
|
extern void execute_313(char*, char *);
|
||||||
|
extern void execute_314(char*, char *);
|
||||||
|
extern void execute_315(char*, char *);
|
||||||
|
extern void execute_357(char*, char *);
|
||||||
|
extern void execute_358(char*, char *);
|
||||||
|
extern void execute_129(char*, char *);
|
||||||
|
extern void execute_405(char*, char *);
|
||||||
|
extern void execute_160(char*, char *);
|
||||||
|
extern void execute_173(char*, char *);
|
||||||
|
extern void execute_435(char*, char *);
|
||||||
|
extern void execute_436(char*, char *);
|
||||||
|
extern void execute_437(char*, char *);
|
||||||
|
extern void execute_438(char*, char *);
|
||||||
|
extern void execute_439(char*, char *);
|
||||||
|
extern void execute_440(char*, char *);
|
||||||
|
extern void execute_441(char*, char *);
|
||||||
|
extern void execute_442(char*, char *);
|
||||||
|
extern void execute_443(char*, char *);
|
||||||
|
extern void execute_444(char*, char *);
|
||||||
|
extern void execute_445(char*, char *);
|
||||||
|
extern void execute_446(char*, char *);
|
||||||
|
extern void execute_447(char*, char *);
|
||||||
|
extern void execute_448(char*, char *);
|
||||||
|
extern void execute_449(char*, char *);
|
||||||
|
extern void execute_450(char*, char *);
|
||||||
|
extern void execute_451(char*, char *);
|
||||||
extern void execute_185(char*, char *);
|
extern void execute_185(char*, char *);
|
||||||
extern void execute_186(char*, char *);
|
extern void execute_186(char*, char *);
|
||||||
extern void execute_187(char*, char *);
|
extern void execute_187(char*, char *);
|
||||||
extern void execute_13(char*, char *);
|
extern void execute_456(char*, char *);
|
||||||
extern void execute_15(char*, char *);
|
extern void execute_457(char*, char *);
|
||||||
extern void execute_23(char*, char *);
|
extern void execute_458(char*, char *);
|
||||||
extern void execute_214(char*, char *);
|
extern void execute_459(char*, char *);
|
||||||
extern void execute_216(char*, char *);
|
extern void execute_460(char*, char *);
|
||||||
extern void execute_217(char*, char *);
|
|
||||||
extern void execute_195(char*, char *);
|
|
||||||
extern void execute_196(char*, char *);
|
|
||||||
extern void execute_55(char*, char *);
|
|
||||||
extern void execute_326(char*, char *);
|
|
||||||
extern void execute_327(char*, char *);
|
|
||||||
extern void execute_255(char*, char *);
|
|
||||||
extern void execute_236(char*, char *);
|
|
||||||
extern void execute_276(char*, char *);
|
|
||||||
extern void execute_277(char*, char *);
|
|
||||||
extern void execute_278(char*, char *);
|
|
||||||
extern void execute_279(char*, char *);
|
|
||||||
extern void execute_280(char*, char *);
|
|
||||||
extern void execute_281(char*, char *);
|
|
||||||
extern void execute_323(char*, char *);
|
|
||||||
extern void execute_324(char*, char *);
|
|
||||||
extern void execute_123(char*, char *);
|
|
||||||
extern void execute_125(char*, char *);
|
|
||||||
extern void execute_367(char*, char *);
|
|
||||||
extern void execute_156(char*, char *);
|
|
||||||
extern void execute_178(char*, char *);
|
|
||||||
extern void execute_179(char*, char *);
|
|
||||||
extern void execute_180(char*, char *);
|
|
||||||
extern void execute_414(char*, char *);
|
|
||||||
extern void execute_415(char*, char *);
|
|
||||||
extern void execute_416(char*, char *);
|
|
||||||
extern void execute_417(char*, char *);
|
|
||||||
extern void execute_418(char*, char *);
|
|
||||||
extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
|
extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
|
||||||
extern void transaction_60(char*, char*, unsigned, unsigned, unsigned);
|
extern void transaction_107(char*, char*, unsigned, unsigned, unsigned);
|
||||||
funcp funcTab[75] = {(funcp)execute_2, (funcp)execute_3, (funcp)execute_176, (funcp)execute_412, (funcp)execute_413, (funcp)execute_387, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_389, (funcp)execute_390, (funcp)execute_392, (funcp)execute_393, (funcp)execute_394, (funcp)execute_395, (funcp)execute_396, (funcp)execute_397, (funcp)execute_398, (funcp)execute_399, (funcp)execute_400, (funcp)execute_401, (funcp)execute_402, (funcp)execute_403, (funcp)execute_404, (funcp)execute_405, (funcp)execute_406, (funcp)execute_407, (funcp)execute_408, (funcp)execute_409, (funcp)execute_410, (funcp)execute_411, (funcp)execute_6, (funcp)execute_7, (funcp)execute_9, (funcp)execute_10, (funcp)execute_181, (funcp)execute_182, (funcp)execute_183, (funcp)execute_184, (funcp)execute_185, (funcp)execute_186, (funcp)execute_187, (funcp)execute_13, (funcp)execute_15, (funcp)execute_23, (funcp)execute_214, (funcp)execute_216, (funcp)execute_217, (funcp)execute_195, (funcp)execute_196, (funcp)execute_55, (funcp)execute_326, (funcp)execute_327, (funcp)execute_255, (funcp)execute_236, (funcp)execute_276, (funcp)execute_277, (funcp)execute_278, (funcp)execute_279, (funcp)execute_280, (funcp)execute_281, (funcp)execute_323, (funcp)execute_324, (funcp)execute_123, (funcp)execute_125, (funcp)execute_367, (funcp)execute_156, (funcp)execute_178, (funcp)execute_179, (funcp)execute_180, (funcp)execute_414, (funcp)execute_415, (funcp)execute_416, (funcp)execute_417, (funcp)execute_418, (funcp)vlog_transfunc_eventcallback, (funcp)transaction_60};
|
funcp funcTab[112] = {(funcp)execute_2, (funcp)execute_3, (funcp)execute_183, (funcp)execute_454, (funcp)execute_455, (funcp)vlog_simple_process_execute_0_fast_no_reg_no_agg, (funcp)execute_452, (funcp)execute_453, (funcp)execute_189, (funcp)execute_220, (funcp)execute_221, (funcp)execute_222, (funcp)execute_223, (funcp)execute_224, (funcp)execute_225, (funcp)execute_226, (funcp)execute_227, (funcp)execute_228, (funcp)execute_229, (funcp)execute_230, (funcp)execute_7, (funcp)execute_8, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_209, (funcp)execute_211, (funcp)execute_212, (funcp)execute_11, (funcp)execute_190, (funcp)execute_191, (funcp)execute_23, (funcp)execute_213, (funcp)execute_214, (funcp)execute_215, (funcp)execute_216, (funcp)execute_217, (funcp)execute_218, (funcp)execute_219, (funcp)execute_26, (funcp)execute_36, (funcp)execute_40, (funcp)execute_42, (funcp)execute_231, (funcp)execute_232, (funcp)execute_233, (funcp)execute_234, (funcp)execute_235, (funcp)execute_236, (funcp)execute_237, (funcp)execute_238, (funcp)execute_239, (funcp)execute_240, (funcp)execute_241, (funcp)execute_242, (funcp)execute_243, (funcp)execute_244, (funcp)execute_425, (funcp)execute_427, (funcp)execute_428, (funcp)execute_430, (funcp)execute_431, (funcp)execute_432, (funcp)execute_433, (funcp)execute_434, (funcp)execute_45, (funcp)execute_46, (funcp)execute_360, (funcp)execute_361, (funcp)execute_362, (funcp)execute_363, (funcp)execute_364, (funcp)execute_365, (funcp)execute_289, (funcp)execute_270, (funcp)execute_310, (funcp)execute_311, (funcp)execute_312, (funcp)execute_313, (funcp)execute_314, (funcp)execute_315, (funcp)execute_357, (funcp)execute_358, (funcp)execute_129, (funcp)execute_405, (funcp)execute_160, (funcp)execute_173, (funcp)execute_435, (funcp)execute_436, (funcp)execute_437, (funcp)execute_438, (funcp)execute_439, (funcp)execute_440, (funcp)execute_441, (funcp)execute_442, (funcp)execute_443, (funcp)execute_444, (funcp)execute_445, (funcp)execute_446, (funcp)execute_447, (funcp)execute_448, (funcp)execute_449, (funcp)execute_450, (funcp)execute_451, (funcp)execute_185, (funcp)execute_186, (funcp)execute_187, (funcp)execute_456, (funcp)execute_457, (funcp)execute_458, (funcp)execute_459, (funcp)execute_460, (funcp)vlog_transfunc_eventcallback, (funcp)transaction_107};
|
||||||
const int NumRelocateId= 75;
|
const int NumRelocateId= 112;
|
||||||
|
|
||||||
void relocate(char *dp)
|
void relocate(char *dp)
|
||||||
{
|
{
|
||||||
iki_relocate(dp, "xsim.dir/CPU9bits_tb_behav/xsim.reloc", (void **)funcTab, 75);
|
iki_relocate(dp, "xsim.dir/CPU9bits_tb_behav/xsim.reloc", (void **)funcTab, 112);
|
||||||
|
|
||||||
/*Populate the transaction function pointer field in the whole net structure */
|
/*Populate the transaction function pointer field in the whole net structure */
|
||||||
}
|
}
|
||||||
@@ -132,12 +169,21 @@ void relocate(char *dp)
|
|||||||
void sensitize(char *dp)
|
void sensitize(char *dp)
|
||||||
{
|
{
|
||||||
iki_sensitize(dp, "xsim.dir/CPU9bits_tb_behav/xsim.reloc");
|
iki_sensitize(dp, "xsim.dir/CPU9bits_tb_behav/xsim.reloc");
|
||||||
|
}
|
||||||
|
|
||||||
|
// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
|
||||||
|
|
||||||
|
void wrapper_func_0(char *dp)
|
||||||
|
|
||||||
|
{
|
||||||
|
|
||||||
}
|
}
|
||||||
|
|
||||||
void simulate(char *dp)
|
void simulate(char *dp)
|
||||||
{
|
{
|
||||||
iki_schedule_processes_at_time_zero(dp, "xsim.dir/CPU9bits_tb_behav/xsim.reloc");
|
iki_schedule_processes_at_time_zero(dp, "xsim.dir/CPU9bits_tb_behav/xsim.reloc");
|
||||||
// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
|
wrapper_func_0(dp);
|
||||||
|
|
||||||
iki_execute_processes();
|
iki_execute_processes();
|
||||||
|
|
||||||
// Schedule resolution functions for the multiply driven Verilog nets that have strength
|
// Schedule resolution functions for the multiply driven Verilog nets that have strength
|
||||||
|
|||||||
@@ -1,14 +1,14 @@
|
|||||||
<?xml version="1.0" encoding="UTF-8" ?>
|
<?xml version="1.0" encoding="UTF-8" ?>
|
||||||
<webTalkData fileName='usage_statistics_ext_xsim.xml' majorVersion='1' minorVersion='0' timeStamp='Wed Mar 20 11:12:18 2019'>
|
<webTalkData fileName='usage_statistics_ext_xsim.xml' majorVersion='1' minorVersion='0' timeStamp='Sat Apr 6 17:41:26 2019'>
|
||||||
<section name="__ROOT__" level="0" order="1" description="">
|
<section name="__ROOT__" level="0" order="1" description="">
|
||||||
<section name="software_version_and_target_device" level="1" order="1" description="">
|
<section name="software_version_and_target_device" level="1" order="1" description="">
|
||||||
<keyValuePair key="beta" value="FALSE" description="" />
|
<keyValuePair key="beta" value="FALSE" description="" />
|
||||||
<keyValuePair key="build_version" value="2405991" description="" />
|
<keyValuePair key="build_version" value="2405991" description="" />
|
||||||
<keyValuePair key="date_generated" value="Wed Mar 20 11:12:17 2019" description="" />
|
<keyValuePair key="date_generated" value="Sat Apr 6 17:41:25 2019" description="" />
|
||||||
<keyValuePair key="os_platform" value="WIN64" description="" />
|
<keyValuePair key="os_platform" value="WIN64" description="" />
|
||||||
<keyValuePair key="product_version" value="XSIM v2018.3 (64-bit)" description="" />
|
<keyValuePair key="product_version" value="XSIM v2018.3 (64-bit)" description="" />
|
||||||
<keyValuePair key="project_id" value="0a5803efda44405bb28bbf43ba22e808" description="" />
|
<keyValuePair key="project_id" value="0a5803efda44405bb28bbf43ba22e808" description="" />
|
||||||
<keyValuePair key="project_iteration" value="6" description="" />
|
<keyValuePair key="project_iteration" value="139" description="" />
|
||||||
<keyValuePair key="random_id" value="4e917e26-7591-4435-9135-15bd446b0238" description="" />
|
<keyValuePair key="random_id" value="4e917e26-7591-4435-9135-15bd446b0238" description="" />
|
||||||
<keyValuePair key="registration_id" value="174150793_174150794_210688225_140" description="" />
|
<keyValuePair key="registration_id" value="174150793_174150794_210688225_140" description="" />
|
||||||
<keyValuePair key="route_design" value="FALSE" description="" />
|
<keyValuePair key="route_design" value="FALSE" description="" />
|
||||||
@@ -30,14 +30,24 @@
|
|||||||
</section>
|
</section>
|
||||||
<section name="xsim" level="1" order="4" description="">
|
<section name="xsim" level="1" order="4" description="">
|
||||||
<section name="command_line_options" level="2" order="1" description="">
|
<section name="command_line_options" level="2" order="1" description="">
|
||||||
<keyValuePair key="command" value="xsim" description="" />
|
<keyValuePair key="command" value="xelab" description="" />
|
||||||
|
<keyValuePair key="debug" value="typical" description="" />
|
||||||
|
<keyValuePair key="dpi_used" value="false" description="" />
|
||||||
|
<keyValuePair key="file_counter" value="12" description="" />
|
||||||
|
<keyValuePair key="gendll" value="false" description="" />
|
||||||
|
<keyValuePair key="hwcosim" value="false" description="" />
|
||||||
|
<keyValuePair key="sdfmodeling" value="false" description="" />
|
||||||
|
<keyValuePair key="vhdl2008" value="false" description="" />
|
||||||
</section>
|
</section>
|
||||||
<section name="usage" level="2" order="2" description="">
|
<section name="usage" level="2" order="2" description="">
|
||||||
<keyValuePair key="iteration" value="0" description="" />
|
<keyValuePair key="compiler_memory" value="46864_KB" description="" />
|
||||||
<keyValuePair key="runtime" value="20 ns" description="" />
|
<keyValuePair key="compiler_time" value="0.86_sec" description="" />
|
||||||
<keyValuePair key="simulation_memory" value="6376_KB" description="" />
|
<keyValuePair key="simulation_image_code" value="114 KB" description="" />
|
||||||
<keyValuePair key="simulation_time" value="0.08_sec" description="" />
|
<keyValuePair key="simulation_image_data" value="20 KB" description="" />
|
||||||
<keyValuePair key="trace_waveform" value="true" description="" />
|
<keyValuePair key="total_instances" value="149" description="" />
|
||||||
|
<keyValuePair key="total_nets" value="0" description="" />
|
||||||
|
<keyValuePair key="total_processes" value="316" description="" />
|
||||||
|
<keyValuePair key="xilinx_hdl_libraries_used" value="secureip unimacro_ver unisims_ver " description="" />
|
||||||
</section>
|
</section>
|
||||||
</section>
|
</section>
|
||||||
</section>
|
</section>
|
||||||
|
|||||||
@@ -0,0 +1,42 @@
|
|||||||
|
webtalk_init -webtalk_dir C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/
|
||||||
|
webtalk_register_client -client project
|
||||||
|
webtalk_add_data -client project -key date_generated -value "Sat Apr 6 17:49:44 2019" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key product_version -value "XSIM v2018.3 (64-bit)" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key build_version -value "2405991" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key os_platform -value "WIN64" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key registration_id -value "174150793_174150794_210688225_140" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key tool_flow -value "xsim_vivado" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key beta -value "FALSE" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key route_design -value "FALSE" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key target_family -value "not_applicable" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key target_device -value "not_applicable" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key target_package -value "not_applicable" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key random_id -value "4e917e26-7591-4435-9135-15bd446b0238" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key project_id -value "0a5803efda44405bb28bbf43ba22e808" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key project_iteration -value "142" -context "software_version_and_target_device"
|
||||||
|
webtalk_add_data -client project -key os_name -value "Microsoft Windows 8 or later , 64-bit" -context "user_environment"
|
||||||
|
webtalk_add_data -client project -key os_release -value "major release (build 9200)" -context "user_environment"
|
||||||
|
webtalk_add_data -client project -key cpu_name -value "Intel(R) Xeon(R) CPU E5-1620 v3 @ 3.50GHz" -context "user_environment"
|
||||||
|
webtalk_add_data -client project -key cpu_speed -value "3492 MHz" -context "user_environment"
|
||||||
|
webtalk_add_data -client project -key total_processors -value "1" -context "user_environment"
|
||||||
|
webtalk_add_data -client project -key system_ram -value "34.000 GB" -context "user_environment"
|
||||||
|
webtalk_register_client -client xsim
|
||||||
|
webtalk_add_data -client xsim -key File_Counter -value "12" -context "xsim\\command_line_options"
|
||||||
|
webtalk_add_data -client xsim -key Command -value "xelab" -context "xsim\\command_line_options"
|
||||||
|
webtalk_add_data -client xsim -key Vhdl2008 -value "false" -context "xsim\\command_line_options"
|
||||||
|
webtalk_add_data -client xsim -key GenDLL -value "false" -context "xsim\\command_line_options"
|
||||||
|
webtalk_add_data -client xsim -key SDFModeling -value "false" -context "xsim\\command_line_options"
|
||||||
|
webtalk_add_data -client xsim -key HWCosim -value "false" -context "xsim\\command_line_options"
|
||||||
|
webtalk_add_data -client xsim -key DPI_Used -value "false" -context "xsim\\command_line_options"
|
||||||
|
webtalk_add_data -client xsim -key Debug -value "typical" -context "xsim\\command_line_options"
|
||||||
|
webtalk_add_data -client xsim -key Simulation_Image_Code -value "114 KB" -context "xsim\\usage"
|
||||||
|
webtalk_add_data -client xsim -key Simulation_Image_Data -value "20 KB" -context "xsim\\usage"
|
||||||
|
webtalk_add_data -client xsim -key Total_Nets -value "0" -context "xsim\\usage"
|
||||||
|
webtalk_add_data -client xsim -key Total_Processes -value "318" -context "xsim\\usage"
|
||||||
|
webtalk_add_data -client xsim -key Total_Instances -value "149" -context "xsim\\usage"
|
||||||
|
webtalk_add_data -client xsim -key Xilinx_HDL_Libraries_Used -value "secureip unimacro_ver unisims_ver " -context "xsim\\usage"
|
||||||
|
webtalk_add_data -client xsim -key Compiler_Time -value "0.89_sec" -context "xsim\\usage"
|
||||||
|
webtalk_add_data -client xsim -key Compiler_Memory -value "46724_KB" -context "xsim\\usage"
|
||||||
|
webtalk_transmit -clientid 3689767801 -regid "174150793_174150794_210688225_140" -xml C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/ecelab/ECE3570-Lab/lab2CA.sim/sim_1/behav/xsim/xsim.dir/CPU9bits_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "<H3>XSIM Usage Report</H3><BR>"
|
||||||
|
webtalk_terminate
|
||||||
Binary file not shown.
Binary file not shown.
@@ -260,16 +260,23 @@ endmodule
|
|||||||
|
|
||||||
module decoder (
|
module decoder (
|
||||||
input wire [1:0] index,
|
input wire [1:0] index,
|
||||||
|
input wire En,
|
||||||
output reg [3:0] regOut);
|
output reg [3:0] regOut);
|
||||||
|
|
||||||
always @ (index)
|
always @ (index)
|
||||||
case(index)
|
if (En == 0) begin
|
||||||
2'b00: regOut <= 4'b1110;
|
case(index)
|
||||||
2'b01: regOut <= 4'b1101;
|
2'b00: regOut <= 4'b1110;
|
||||||
2'b10: regOut <= 4'b1011;
|
2'b01: regOut <= 4'b1101;
|
||||||
2'b11: regOut <= 4'b0111;
|
2'b10: regOut <= 4'b1011;
|
||||||
default: regOut <= 4'b1111;
|
2'b11: regOut <= 4'b0111;
|
||||||
endcase
|
default: regOut <= 4'b1111;
|
||||||
|
endcase
|
||||||
|
end
|
||||||
|
else begin
|
||||||
|
regOut <= 4'b1111;
|
||||||
|
end
|
||||||
|
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
//testbench
|
//testbench
|
||||||
@@ -843,12 +850,12 @@ module fDPipReg(
|
|||||||
input wire clk,
|
input wire clk,
|
||||||
input wire reset,
|
input wire reset,
|
||||||
input wire En,
|
input wire En,
|
||||||
input wire [42:0] Din,
|
input wire [50:0] Din,
|
||||||
output reg [42:0] Dout);
|
output reg [50:0] Dout);
|
||||||
|
|
||||||
always @(posedge clk) begin
|
always @(posedge clk) begin
|
||||||
if (reset == 1'b1) begin
|
if (reset == 1'b1) begin
|
||||||
Dout <= 23'b0000;
|
Dout <= 50'b00000000000000000000000000000000000000000000000000;
|
||||||
end
|
end
|
||||||
else if (En == 1'b0) begin
|
else if (En == 1'b0) begin
|
||||||
Dout <= Din;
|
Dout <= Din;
|
||||||
@@ -860,12 +867,12 @@ module eMPipReg(
|
|||||||
input wire clk,
|
input wire clk,
|
||||||
input wire reset,
|
input wire reset,
|
||||||
input wire En,
|
input wire En,
|
||||||
input wire [42:0] Din,
|
input wire [61:0] Din,
|
||||||
output reg [42:0] Dout);
|
output reg [61:0] Dout);
|
||||||
|
|
||||||
always @(posedge clk) begin
|
always @(posedge clk) begin
|
||||||
if (reset == 1'b1) begin
|
if (reset == 1'b1) begin
|
||||||
Dout <= 23'b0000;
|
Dout <= 60'b0000000000000000000000000000000000000000000000000000000000000000000000;
|
||||||
end
|
end
|
||||||
else if (En == 1'b0) begin
|
else if (En == 1'b0) begin
|
||||||
Dout <= Din;
|
Dout <= Din;
|
||||||
|
|||||||
@@ -2,244 +2,90 @@
|
|||||||
|
|
||||||
module CPU9bits(
|
module CPU9bits(
|
||||||
input wire reset, clk,
|
input wire reset, clk,
|
||||||
output reg [8:0] result,
|
output wire [8:0] result,
|
||||||
output wire done
|
output wire done
|
||||||
);
|
);
|
||||||
|
|
||||||
wire [8:0] instr, op1, op0, FUAddr,FUJB,PCout,JBRes,FUJ,FUB,AddiOut,AluOut,RFIn, loadMux, dataMemOut, linkData, SE1N, SE2N, SE3N, bankData, bankOP,jumpNeg;
|
|
||||||
wire [3:0] aluOp;
|
|
||||||
wire [2:0] FU;
|
|
||||||
wire [1:0] bankS;
|
|
||||||
wire addiS, RegEn, loadS, fetchBranch, halt, cout0, cout1, link, js, dataMemEn;
|
|
||||||
|
|
||||||
instructionMemory iM(
|
wire [8:0] RFIn,FUAddr;
|
||||||
.address(PCout),
|
wire [1:0] instr;
|
||||||
.readData(instr)
|
wire fetchBranch, RegEn;
|
||||||
);
|
wire [50:0] FDOut, FDPipOut;
|
||||||
|
wire [61:0] EMOut, EMPipOut;
|
||||||
|
|
||||||
dataMemory dM(
|
assign result = RFIn;
|
||||||
.clk(clk),
|
|
||||||
.writeEnable(dataMemEn),
|
|
||||||
.writeData(op0),
|
|
||||||
.address(op1),
|
|
||||||
.readData(dataMemOut)
|
|
||||||
);
|
|
||||||
|
|
||||||
RegFile RF(
|
FDModule FD(
|
||||||
.clk(clk),
|
|
||||||
.reset(reset),
|
.reset(reset),
|
||||||
.write_index(instr[4:3]),
|
|
||||||
.op0_idx(instr[4:3]),
|
|
||||||
.op1_idx(instr[2:1]),
|
|
||||||
.write_data(RFIn),
|
|
||||||
.op0(op0),
|
|
||||||
.op1(op1)
|
|
||||||
);
|
|
||||||
|
|
||||||
RegFile Bank(
|
|
||||||
.clk(clk),
|
.clk(clk),
|
||||||
.reset(reset),
|
.FUIdx(fetchBranch),
|
||||||
.write_index(instr[2:1]),
|
.En(RegEn),
|
||||||
.op0_idx(instr[2:1]),
|
.RFIn(RFIn),
|
||||||
.op1_idx(2'b00),//Doesn't matter
|
|
||||||
.write_data(op0),
|
|
||||||
.op0(bankOP),
|
|
||||||
.op1()
|
|
||||||
);
|
|
||||||
|
|
||||||
FetchUnit FetchU(
|
|
||||||
.clk(clk),
|
|
||||||
.reset(reset),
|
|
||||||
.op_idx(fetchBranch),
|
|
||||||
.AddrIn(FUAddr),
|
.AddrIn(FUAddr),
|
||||||
.AddrOut(PCout)
|
.RFIdx(instr),
|
||||||
);
|
.result(FDOut),
|
||||||
|
.done(done)
|
||||||
|
);
|
||||||
|
|
||||||
ALU alu(
|
fDPipReg pipe1(
|
||||||
.opcode(aluOp),
|
.clk(clk),
|
||||||
.operand0(op0),
|
.reset(reset),
|
||||||
.operand1(op1),
|
.En(RegEn),
|
||||||
.result(AluOut)
|
.Din(FDOut),
|
||||||
);
|
.Dout(FDPipOut)
|
||||||
|
);
|
||||||
|
|
||||||
ControlUnit CU(
|
EMModule EM(
|
||||||
.instIn(instr[8:5]),
|
.reset(reset),
|
||||||
.functBit(instr[0]),
|
.clk(clk),
|
||||||
.aluOut(aluOp),
|
.PipIn(FDPipOut),
|
||||||
.FU(FU),
|
.PipOut(EMOut)
|
||||||
.addi(addiS),
|
);
|
||||||
.mem(loadS),
|
|
||||||
.dataMemEn(dataMemEn),
|
|
||||||
.RegEn(RegEn),
|
|
||||||
.halt(done),
|
|
||||||
.link(link),
|
|
||||||
.bank(bankS),
|
|
||||||
.js(js)
|
|
||||||
);
|
|
||||||
|
|
||||||
|
eMPipReg pipe2(
|
||||||
|
.clk(clk),
|
||||||
|
.reset(reset),
|
||||||
|
.En(RegEn),
|
||||||
|
.Din(EMOut),
|
||||||
|
.Dout(EMPipOut)
|
||||||
|
);
|
||||||
|
|
||||||
//-----------------------Fetch Unit Stuff
|
WMUdule W(
|
||||||
|
.PipIn(EMPipOut),
|
||||||
add_9bit JBAdder(
|
.RFIn(RFIn),
|
||||||
.A(PCout),
|
.FUAddr(FUAddr),
|
||||||
.B(JBRes),
|
.instr(instr),
|
||||||
.Cin(1'b0),
|
.fetchBranch(fetchBranch),
|
||||||
.Sum(FUJB),
|
.RegEn(RegEn)
|
||||||
.Cout(cout0)
|
);
|
||||||
);
|
|
||||||
|
|
||||||
mux_2_1 mux0(
|
|
||||||
.A(op0),
|
|
||||||
.B(FUJB),
|
|
||||||
.out(FUAddr),
|
|
||||||
.switch(FU[1])
|
|
||||||
);
|
|
||||||
|
|
||||||
twos_compliment_9bit two_comp0(
|
|
||||||
.A({4'b0000,instr[4:0]}),
|
|
||||||
.B(jumpNeg)
|
|
||||||
);
|
|
||||||
|
|
||||||
mux_2_1 mux1(
|
|
||||||
.A({4'b0000,instr[4:0]}),
|
|
||||||
.B(jumpNeg),
|
|
||||||
.out(SE2N),
|
|
||||||
.switch(js)
|
|
||||||
);
|
|
||||||
|
|
||||||
mux_2_1 mux2(
|
|
||||||
.A(SE2N), //Jump -- Change with signer module!
|
|
||||||
.B(SE1N),//Branch -- Change with signer module!
|
|
||||||
.out(JBRes),
|
|
||||||
.switch(FU[2])
|
|
||||||
);
|
|
||||||
|
|
||||||
sign_extend_3bit SE1(
|
|
||||||
.A(instr[2:0]),
|
|
||||||
.B(SE1N)
|
|
||||||
);
|
|
||||||
|
|
||||||
bit1_mux_2_1 BranMux( // BEQ MUX
|
|
||||||
.A(FU[0]),
|
|
||||||
.B(AluOut[0]),
|
|
||||||
.out(fetchBranch),
|
|
||||||
.switch(FU[2]) // FU[2] only goes high when BEQ
|
|
||||||
);
|
|
||||||
|
|
||||||
///--------------------------Addi Stuff
|
|
||||||
|
|
||||||
add_9bit Addier(
|
|
||||||
.A(SE3N), // Change with signer module!
|
|
||||||
.B(op0),
|
|
||||||
.Cin(1'b0),
|
|
||||||
.Sum(AddiOut),
|
|
||||||
.Cout(cout1)
|
|
||||||
);
|
|
||||||
|
|
||||||
sign_extend_3bit SE3(
|
|
||||||
.A(instr[2:0]),
|
|
||||||
.B(SE3N)
|
|
||||||
);
|
|
||||||
|
|
||||||
mux_2_1 mux3(
|
|
||||||
.A(AluOut),
|
|
||||||
.B(AddiOut),
|
|
||||||
.out(loadMux),
|
|
||||||
.switch(addiS)
|
|
||||||
);
|
|
||||||
|
|
||||||
///--------------------------Mem stuff
|
|
||||||
|
|
||||||
mux_2_1 mux4(
|
|
||||||
.A(linkData),
|
|
||||||
.B(dataMemOut), // This is DATA MEM
|
|
||||||
.out(bankData),
|
|
||||||
.switch(loadS)
|
|
||||||
);
|
|
||||||
|
|
||||||
///--------------------------Bank stuff
|
|
||||||
|
|
||||||
mux_2_1 mux5(
|
|
||||||
.A(bankData),
|
|
||||||
.B(bankOP),
|
|
||||||
.out(RFIn),
|
|
||||||
.switch(bankS[0])
|
|
||||||
);
|
|
||||||
|
|
||||||
///--------------------------Link Stuff
|
|
||||||
|
|
||||||
mux_2_1 mux6(
|
|
||||||
.A(loadMux),
|
|
||||||
.B(PCout),
|
|
||||||
.out(linkData),
|
|
||||||
.switch(link)
|
|
||||||
);
|
|
||||||
|
|
||||||
always @ (instr, dataMemOut, AluOut, AddiOut)
|
|
||||||
begin
|
|
||||||
case(instr[8:5])
|
|
||||||
4'b0001: // Load Byte
|
|
||||||
result <= dataMemOut;
|
|
||||||
4'b0101: // Add/Subtract
|
|
||||||
result <= AluOut;
|
|
||||||
4'b0110: // Add Immediate
|
|
||||||
result <= AddiOut;
|
|
||||||
4'b0111: // Set if Less Than
|
|
||||||
result <= AluOut;
|
|
||||||
4'b1101: // NOR
|
|
||||||
result <= AluOut;
|
|
||||||
4'b1110: // OR/AND
|
|
||||||
result <= AluOut;
|
|
||||||
4'b1111: // Shift Right Logical/Shift Left Logical
|
|
||||||
result <= AluOut;
|
|
||||||
default:
|
|
||||||
result <= 9'bXXXXXXXXX;
|
|
||||||
endcase
|
|
||||||
end
|
|
||||||
|
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
module CPU9bits_tb();
|
module CPU9bits_tb();
|
||||||
reg clk, reset;
|
reg clk, reset;
|
||||||
wire done;
|
wire done;
|
||||||
|
wire [8:0] result;
|
||||||
|
|
||||||
|
initial begin
|
||||||
|
clk = 1'b0;
|
||||||
|
end
|
||||||
|
always begin
|
||||||
|
#5 clk = ~clk; // Period to be determined
|
||||||
|
end
|
||||||
|
|
||||||
always
|
|
||||||
#5 clk = ~clk; // Period to be determined
|
|
||||||
|
|
||||||
CPU9bits CPU9bits0(
|
CPU9bits CPU9bits0(
|
||||||
.reset(reset),
|
.reset(reset),
|
||||||
.clk(clk),
|
.clk(clk),
|
||||||
.done(done));
|
.done(done),
|
||||||
|
.result(result));
|
||||||
|
|
||||||
initial begin
|
initial begin
|
||||||
clk = 1'b0;
|
#5
|
||||||
#5
|
reset = 1'b1;
|
||||||
reset = 1'b1;
|
#10
|
||||||
#10
|
reset = 1'b0;
|
||||||
reset = 1'b0;
|
#50
|
||||||
#50000
|
|
||||||
|
|
||||||
|
|
||||||
|
|
||||||
// instruction = 9'b000100000;
|
|
||||||
// reset = 1'b1;
|
|
||||||
// #10
|
|
||||||
// reset = 1'b0;
|
|
||||||
// #10
|
|
||||||
// instruction = 9'b000101000;
|
|
||||||
// #10
|
|
||||||
// instruction = 9'b010100010;
|
|
||||||
// #10
|
|
||||||
// instruction = 9'b111100000;
|
|
||||||
// #10
|
|
||||||
// instruction = 9'b111100000;
|
|
||||||
// #10
|
|
||||||
// instruction = 9'b001101000;
|
|
||||||
// #10
|
|
||||||
// instruction = 9'b010001000;
|
|
||||||
// #10
|
|
||||||
// instruction = 9'b000000000;
|
|
||||||
// #10
|
|
||||||
$finish;
|
$finish;
|
||||||
|
|
||||||
end
|
end
|
||||||
|
|||||||
26
lab2CA.srcs/sources_1/new/CPU9bitsRemastered.v
Normal file
26
lab2CA.srcs/sources_1/new/CPU9bitsRemastered.v
Normal file
@@ -0,0 +1,26 @@
|
|||||||
|
`timescale 1ns / 1ps
|
||||||
|
//////////////////////////////////////////////////////////////////////////////////
|
||||||
|
// Company:
|
||||||
|
// Engineer:
|
||||||
|
//
|
||||||
|
// Create Date: 04/06/2019 01:18:50 PM
|
||||||
|
// Design Name:
|
||||||
|
// Module Name: CPU9bitsRemastered
|
||||||
|
// Project Name:
|
||||||
|
// Target Devices:
|
||||||
|
// Tool Versions:
|
||||||
|
// Description:
|
||||||
|
//
|
||||||
|
// Dependencies:
|
||||||
|
//
|
||||||
|
// Revision:
|
||||||
|
// Revision 0.01 - File Created
|
||||||
|
// Additional Comments:
|
||||||
|
//
|
||||||
|
//////////////////////////////////////////////////////////////////////////////////
|
||||||
|
|
||||||
|
|
||||||
|
module CPU9bitsRemastered(
|
||||||
|
|
||||||
|
);
|
||||||
|
endmodule
|
||||||
120
lab2CA.srcs/sources_1/new/EMModule.v
Normal file
120
lab2CA.srcs/sources_1/new/EMModule.v
Normal file
@@ -0,0 +1,120 @@
|
|||||||
|
`timescale 1ns / 1ps
|
||||||
|
|
||||||
|
|
||||||
|
|
||||||
|
module EMModule(
|
||||||
|
input wire reset, clk,
|
||||||
|
input wire [50:0] PipIn,
|
||||||
|
output wire [61:0] PipOut
|
||||||
|
);
|
||||||
|
|
||||||
|
wire [8:0] instr, op1, op0, FUAddr,FUJB,PCout,JBRes,FUJ,FUB,AddiOut,AluOut,RFIn,dataMemOut,SE1N,SE2N,SE3N,bankOP,jumpNeg;
|
||||||
|
wire [3:0] aluOp;
|
||||||
|
wire [2:0] FU;
|
||||||
|
wire [1:0] bankS;
|
||||||
|
wire addiS, RegEn, loadS, fetchBranch, cout0, cout1, link, js, dataMemEn;
|
||||||
|
|
||||||
|
assign instr = PipIn[50:42];
|
||||||
|
assign op0 = PipIn[41:33];
|
||||||
|
assign op1 = PipIn[32:24];
|
||||||
|
assign PCout = PipIn[23:15];
|
||||||
|
assign addiS = PipIn[14];
|
||||||
|
assign RegEn = PipIn[13];
|
||||||
|
assign loadS = PipIn[12];
|
||||||
|
assign link = PipIn[11];
|
||||||
|
assign js = PipIn[10];
|
||||||
|
assign dataMemEn = PipIn[9];
|
||||||
|
assign aluOp = PipIn[8:5];
|
||||||
|
assign FU = PipIn[4:2];
|
||||||
|
assign bankS = PipIn[1:0];
|
||||||
|
|
||||||
|
assign PipOut = {RegEn,PCout,bankOP,FUAddr,AluOut,dataMemOut,AddiOut,instr[4:3],bankS[0],loadS,link,addiS,fetchBranch}; // concat all signals into one
|
||||||
|
|
||||||
|
dataMemory dM(
|
||||||
|
.clk(clk),
|
||||||
|
.writeEnable(dataMemEn),
|
||||||
|
.writeData(op0),
|
||||||
|
.address(op1),
|
||||||
|
.readData(dataMemOut)
|
||||||
|
);
|
||||||
|
|
||||||
|
RegFile Bank(
|
||||||
|
.clk(clk),
|
||||||
|
.reset(reset),
|
||||||
|
.write_index(instr[2:1]),
|
||||||
|
.op0_idx(instr[2:1]),
|
||||||
|
.op1_idx(2'b00),//Doesn't matter
|
||||||
|
.write_data(op0),
|
||||||
|
.op0(bankOP),
|
||||||
|
.op1(),
|
||||||
|
.En(bankS[1])
|
||||||
|
);
|
||||||
|
|
||||||
|
ALU alu(
|
||||||
|
.opcode(aluOp),
|
||||||
|
.operand0(op0),
|
||||||
|
.operand1(op1),
|
||||||
|
.result(AluOut)
|
||||||
|
);
|
||||||
|
|
||||||
|
add_9bit JBAdder(
|
||||||
|
.A(PCout),
|
||||||
|
.B(JBRes),
|
||||||
|
.Cin(1'b0),
|
||||||
|
.Sum(FUJB),
|
||||||
|
.Cout(cout0)
|
||||||
|
);
|
||||||
|
|
||||||
|
mux_2_1 mux0(
|
||||||
|
.A(op0),
|
||||||
|
.B(FUJB),
|
||||||
|
.out(FUAddr),
|
||||||
|
.switch(FU[1])
|
||||||
|
);
|
||||||
|
|
||||||
|
twos_compliment_9bit two_comp0(
|
||||||
|
.A({4'b0000,instr[4:0]}),
|
||||||
|
.B(jumpNeg)
|
||||||
|
);
|
||||||
|
|
||||||
|
mux_2_1 mux1(
|
||||||
|
.A({4'b0000,instr[4:0]}),
|
||||||
|
.B(jumpNeg),
|
||||||
|
.out(SE2N),
|
||||||
|
.switch(js)
|
||||||
|
);
|
||||||
|
|
||||||
|
mux_2_1 mux2(
|
||||||
|
.A(SE2N), //Jump -- Change with signer module!
|
||||||
|
.B(SE1N),//Branch -- Change with signer module!
|
||||||
|
.out(JBRes),
|
||||||
|
.switch(FU[2])
|
||||||
|
);
|
||||||
|
|
||||||
|
sign_extend_3bit SE1(
|
||||||
|
.A(instr[2:0]),
|
||||||
|
.B(SE1N)
|
||||||
|
);
|
||||||
|
|
||||||
|
bit1_mux_2_1 BranMux( // BEQ MUX
|
||||||
|
.A(FU[0]),
|
||||||
|
.B(AluOut[0]),
|
||||||
|
.out(fetchBranch),
|
||||||
|
.switch(FU[2]) // FU[2] only goes high when BEQ
|
||||||
|
);
|
||||||
|
|
||||||
|
///--------------------------Addi Stuff
|
||||||
|
|
||||||
|
add_9bit Addier(
|
||||||
|
.A(SE3N), // Change with signer module!
|
||||||
|
.B(op0),
|
||||||
|
.Cin(1'b0),
|
||||||
|
.Sum(AddiOut),
|
||||||
|
.Cout(cout1)
|
||||||
|
);
|
||||||
|
|
||||||
|
sign_extend_3bit SE3(
|
||||||
|
.A(instr[2:0]),
|
||||||
|
.B(SE3N)
|
||||||
|
);
|
||||||
|
endmodule
|
||||||
60
lab2CA.srcs/sources_1/new/FDModule.v
Normal file
60
lab2CA.srcs/sources_1/new/FDModule.v
Normal file
@@ -0,0 +1,60 @@
|
|||||||
|
`timescale 1ns / 1ps
|
||||||
|
|
||||||
|
module FDModule(
|
||||||
|
input wire reset, clk, FUIdx, En,
|
||||||
|
input wire [8:0] RFIn, AddrIn,
|
||||||
|
input wire[1:0] RFIdx,
|
||||||
|
output wire [50:0] result,
|
||||||
|
output wire done
|
||||||
|
);
|
||||||
|
|
||||||
|
|
||||||
|
wire [8:0] instr, op1, op0, PCout;
|
||||||
|
wire [3:0] aluOp;
|
||||||
|
wire [2:0] FU;
|
||||||
|
wire [1:0] bankS;
|
||||||
|
wire addiS, RegEn, loadS, halt, link, js, dataMemEn;
|
||||||
|
|
||||||
|
assign result = {instr,op0,op1,PCout,addiS,RegEn,loadS,link,js,dataMemEn,aluOp,FU,bankS}; // concat all signals into one
|
||||||
|
|
||||||
|
|
||||||
|
instructionMemory iM(
|
||||||
|
.address(PCout),
|
||||||
|
.readData(instr)
|
||||||
|
);
|
||||||
|
|
||||||
|
FetchUnit FetchU(
|
||||||
|
.clk(clk),
|
||||||
|
.reset(reset),
|
||||||
|
.op_idx(FUIdx),
|
||||||
|
.AddrIn(AddrIn),
|
||||||
|
.AddrOut(PCout)
|
||||||
|
);
|
||||||
|
|
||||||
|
RegFile RF(
|
||||||
|
.clk(clk),
|
||||||
|
.reset(reset),
|
||||||
|
.write_index(RFIdx),
|
||||||
|
.op0_idx(instr[4:3]),
|
||||||
|
.op1_idx(instr[2:1]),
|
||||||
|
.write_data(RFIn),
|
||||||
|
.op0(op0),
|
||||||
|
.op1(op1),
|
||||||
|
.En(En)
|
||||||
|
);
|
||||||
|
|
||||||
|
ControlUnit CU(
|
||||||
|
.instIn(instr[8:5]),
|
||||||
|
.functBit(instr[0]),
|
||||||
|
.aluOut(aluOp),
|
||||||
|
.FU(FU),
|
||||||
|
.addi(addiS),
|
||||||
|
.mem(loadS),
|
||||||
|
.dataMemEn(dataMemEn),
|
||||||
|
.RegEn(RegEn),
|
||||||
|
.halt(done),
|
||||||
|
.link(link),
|
||||||
|
.bank(bankS),
|
||||||
|
.js(js)
|
||||||
|
);
|
||||||
|
endmodule
|
||||||
@@ -1,6 +1,6 @@
|
|||||||
`timescale 1ns / 1ps
|
`timescale 1ns / 1ps
|
||||||
|
|
||||||
module RegFile(input wire clk, reset,
|
module RegFile(input wire clk, reset,En,
|
||||||
input wire [1:0] write_index, op0_idx, op1_idx,
|
input wire [1:0] write_index, op0_idx, op1_idx,
|
||||||
input wire [8:0] write_data,
|
input wire [8:0] write_data,
|
||||||
output wire [8:0] op0, op1);
|
output wire [8:0] op0, op1);
|
||||||
@@ -12,7 +12,8 @@ module RegFile(input wire clk, reset,
|
|||||||
|
|
||||||
decoder d0(
|
decoder d0(
|
||||||
.index(write_index),
|
.index(write_index),
|
||||||
.regOut(decOut)
|
.regOut(decOut),
|
||||||
|
.En(En)
|
||||||
);
|
);
|
||||||
|
|
||||||
|
|
||||||
|
|||||||
58
lab2CA.srcs/sources_1/new/WMUdule.v
Normal file
58
lab2CA.srcs/sources_1/new/WMUdule.v
Normal file
@@ -0,0 +1,58 @@
|
|||||||
|
`timescale 1ns / 1ps
|
||||||
|
|
||||||
|
module WMUdule(
|
||||||
|
input wire [61:0] PipIn,
|
||||||
|
output wire [8:0] RFIn,FUAddr,
|
||||||
|
output wire [1:0] instr,
|
||||||
|
output wire fetchBranch, RegEn
|
||||||
|
);
|
||||||
|
|
||||||
|
wire [8:0] PCout,AddiOut,AluOut,dataMemOut,bankOP,loadMux,linkData,bankData;
|
||||||
|
wire addiS,loadS,link,bankS;
|
||||||
|
|
||||||
|
assign RegEn = PipIn[61];
|
||||||
|
assign PCout = PipIn[60:52];
|
||||||
|
assign bankOP = PipIn[51:43];
|
||||||
|
assign FUAddr = PipIn[42:34];
|
||||||
|
assign AluOut = PipIn[33:25];
|
||||||
|
assign dataMemOut = PipIn[24:16];
|
||||||
|
assign AddiOut = PipIn[15:7];
|
||||||
|
assign instr = PipIn[6:5];
|
||||||
|
assign bankS = PipIn[4];
|
||||||
|
assign loadS = PipIn[3];
|
||||||
|
assign link = PipIn[2];
|
||||||
|
assign addiS = PipIn[1];
|
||||||
|
assign fetchBranch = PipIn[0];
|
||||||
|
|
||||||
|
mux_2_1 mux3(
|
||||||
|
.A(AluOut),
|
||||||
|
.B(AddiOut),
|
||||||
|
.out(loadMux),
|
||||||
|
.switch(addiS)
|
||||||
|
);
|
||||||
|
|
||||||
|
mux_2_1 mux4(
|
||||||
|
.A(linkData),
|
||||||
|
.B(dataMemOut), // This is DATA MEM
|
||||||
|
.out(bankData),
|
||||||
|
.switch(loadS)
|
||||||
|
);
|
||||||
|
|
||||||
|
///--------------------------Bank stuff
|
||||||
|
|
||||||
|
mux_2_1 mux5(
|
||||||
|
.A(bankData),
|
||||||
|
.B(bankOP),
|
||||||
|
.out(RFIn),
|
||||||
|
.switch(bankS)
|
||||||
|
);
|
||||||
|
|
||||||
|
///--------------------------Link Stuff
|
||||||
|
|
||||||
|
mux_2_1 mux6(
|
||||||
|
.A(loadMux),
|
||||||
|
.B(PCout),
|
||||||
|
.out(linkData),
|
||||||
|
.switch(link)
|
||||||
|
);
|
||||||
|
endmodule
|
||||||
26
lab2CA.srcs/sources_1/new/wModule.v
Normal file
26
lab2CA.srcs/sources_1/new/wModule.v
Normal file
@@ -0,0 +1,26 @@
|
|||||||
|
`timescale 1ns / 1ps
|
||||||
|
//////////////////////////////////////////////////////////////////////////////////
|
||||||
|
// Company:
|
||||||
|
// Engineer:
|
||||||
|
//
|
||||||
|
// Create Date: 04/06/2019 03:23:21 PM
|
||||||
|
// Design Name:
|
||||||
|
// Module Name: wModule
|
||||||
|
// Project Name:
|
||||||
|
// Target Devices:
|
||||||
|
// Tool Versions:
|
||||||
|
// Description:
|
||||||
|
//
|
||||||
|
// Dependencies:
|
||||||
|
//
|
||||||
|
// Revision:
|
||||||
|
// Revision 0.01 - File Created
|
||||||
|
// Additional Comments:
|
||||||
|
//
|
||||||
|
//////////////////////////////////////////////////////////////////////////////////
|
||||||
|
|
||||||
|
|
||||||
|
module wModule(
|
||||||
|
|
||||||
|
);
|
||||||
|
endmodule
|
||||||
27
lab2CA.xpr
27
lab2CA.xpr
@@ -3,7 +3,7 @@
|
|||||||
<!-- -->
|
<!-- -->
|
||||||
<!-- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -->
|
<!-- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -->
|
||||||
|
|
||||||
<Project Version="7" Minor="39" Path="C:/REPOSITORIES/Educational/Western Michigan University/ECE3570-Lab/lab2CA.xpr">
|
<Project Version="7" Minor="39" Path="C:/Users/ecelab/ECE3570-Lab/lab2CA.xpr">
|
||||||
<DefaultLaunch Dir="$PRUNDIR"/>
|
<DefaultLaunch Dir="$PRUNDIR"/>
|
||||||
<Configuration>
|
<Configuration>
|
||||||
<Option Name="Id" Val="0a5803efda44405bb28bbf43ba22e808"/>
|
<Option Name="Id" Val="0a5803efda44405bb28bbf43ba22e808"/>
|
||||||
@@ -31,7 +31,7 @@
|
|||||||
<Option Name="EnableBDX" Val="FALSE"/>
|
<Option Name="EnableBDX" Val="FALSE"/>
|
||||||
<Option Name="DSAVendor" Val="xilinx"/>
|
<Option Name="DSAVendor" Val="xilinx"/>
|
||||||
<Option Name="DSANumComputeUnits" Val="60"/>
|
<Option Name="DSANumComputeUnits" Val="60"/>
|
||||||
<Option Name="WTXSimLaunchSim" Val="343"/>
|
<Option Name="WTXSimLaunchSim" Val="360"/>
|
||||||
<Option Name="WTModelSimLaunchSim" Val="0"/>
|
<Option Name="WTModelSimLaunchSim" Val="0"/>
|
||||||
<Option Name="WTQuestaLaunchSim" Val="0"/>
|
<Option Name="WTQuestaLaunchSim" Val="0"/>
|
||||||
<Option Name="WTIesLaunchSim" Val="0"/>
|
<Option Name="WTIesLaunchSim" Val="0"/>
|
||||||
@@ -80,6 +80,20 @@
|
|||||||
<Attr Name="UsedIn" Val="simulation"/>
|
<Attr Name="UsedIn" Val="simulation"/>
|
||||||
</FileInfo>
|
</FileInfo>
|
||||||
</File>
|
</File>
|
||||||
|
<File Path="$PSRCDIR/sources_1/new/EMModule.v">
|
||||||
|
<FileInfo>
|
||||||
|
<Attr Name="UsedIn" Val="synthesis"/>
|
||||||
|
<Attr Name="UsedIn" Val="implementation"/>
|
||||||
|
<Attr Name="UsedIn" Val="simulation"/>
|
||||||
|
</FileInfo>
|
||||||
|
</File>
|
||||||
|
<File Path="$PSRCDIR/sources_1/new/FDModule.v">
|
||||||
|
<FileInfo>
|
||||||
|
<Attr Name="UsedIn" Val="synthesis"/>
|
||||||
|
<Attr Name="UsedIn" Val="implementation"/>
|
||||||
|
<Attr Name="UsedIn" Val="simulation"/>
|
||||||
|
</FileInfo>
|
||||||
|
</File>
|
||||||
<File Path="$PSRCDIR/sources_1/new/FetchUnit.v">
|
<File Path="$PSRCDIR/sources_1/new/FetchUnit.v">
|
||||||
<FileInfo>
|
<FileInfo>
|
||||||
<Attr Name="UsedIn" Val="synthesis"/>
|
<Attr Name="UsedIn" Val="synthesis"/>
|
||||||
@@ -94,6 +108,13 @@
|
|||||||
<Attr Name="UsedIn" Val="simulation"/>
|
<Attr Name="UsedIn" Val="simulation"/>
|
||||||
</FileInfo>
|
</FileInfo>
|
||||||
</File>
|
</File>
|
||||||
|
<File Path="$PSRCDIR/sources_1/new/WMUdule.v">
|
||||||
|
<FileInfo>
|
||||||
|
<Attr Name="UsedIn" Val="synthesis"/>
|
||||||
|
<Attr Name="UsedIn" Val="implementation"/>
|
||||||
|
<Attr Name="UsedIn" Val="simulation"/>
|
||||||
|
</FileInfo>
|
||||||
|
</File>
|
||||||
<File Path="$PSRCDIR/sources_1/new/dataMemory.v">
|
<File Path="$PSRCDIR/sources_1/new/dataMemory.v">
|
||||||
<FileInfo>
|
<FileInfo>
|
||||||
<Attr Name="UsedIn" Val="synthesis"/>
|
<Attr Name="UsedIn" Val="synthesis"/>
|
||||||
@@ -148,7 +169,7 @@
|
|||||||
</File>
|
</File>
|
||||||
<Config>
|
<Config>
|
||||||
<Option Name="DesignMode" Val="RTL"/>
|
<Option Name="DesignMode" Val="RTL"/>
|
||||||
<Option Name="TopModule" Val="CPU9bits"/>
|
<Option Name="TopModule" Val="CPU9bits_tb"/>
|
||||||
<Option Name="TopLib" Val="xil_defaultlib"/>
|
<Option Name="TopLib" Val="xil_defaultlib"/>
|
||||||
<Option Name="TransportPathDelay" Val="0"/>
|
<Option Name="TransportPathDelay" Val="0"/>
|
||||||
<Option Name="TransportIntDelay" Val="0"/>
|
<Option Name="TransportIntDelay" Val="0"/>
|
||||||
|
|||||||
Reference in New Issue
Block a user